{"version":3,"file":"static/js/main.8fde2350.js","mappings":";mCAEA,IAAIA,EAASC,OAAOC,UAAUC,eAC1BC,EAAQH,OAAOC,UAAUG,SACzBC,EAAiBL,OAAOK,eACxBC,EAAON,OAAOO,yBAEdC,EAAU,SAAiBC,GAC9B,MAA6B,oBAAlBC,MAAMF,QACTE,MAAMF,QAAQC,GAGK,mBAApBN,EAAMQ,KAAKF,EACnB,EAEIG,EAAgB,SAAuBC,GAC1C,IAAKA,GAA2B,oBAApBV,EAAMQ,KAAKE,GACtB,OAAO,EAGR,IASIC,EATAC,EAAoBhB,EAAOY,KAAKE,EAAK,eACrCG,EAAmBH,EAAII,aAAeJ,EAAII,YAAYhB,WAAaF,EAAOY,KAAKE,EAAII,YAAYhB,UAAW,iBAE9G,GAAIY,EAAII,cAAgBF,IAAsBC,EAC7C,OAAO,EAMR,IAAKF,KAAOD,GAEZ,MAAsB,qBAARC,GAAuBf,EAAOY,KAAKE,EAAKC,EACvD,EAGII,EAAc,SAAqBC,EAAQC,GAC1Cf,GAAmC,cAAjBe,EAAQC,KAC7BhB,EAAec,EAAQC,EAAQC,KAAM,CACpCC,YAAY,EACZC,cAAc,EACdC,MAAOJ,EAAQK,SACfC,UAAU,IAGXP,EAAOC,EAAQC,MAAQD,EAAQK,QAEjC,EAGIE,EAAc,SAAqBd,EAAKQ,GAC3C,GAAa,cAATA,EAAsB,CACzB,IAAKtB,EAAOY,KAAKE,EAAKQ,GACrB,OACM,GAAIf,EAGV,OAAOA,EAAKO,EAAKQ,GAAMG,KAEzB,CAEA,OAAOX,EAAIQ,EACZ,EAEAO,EAAOC,QAAU,SAASC,IACzB,IAAIV,EAASC,EAAMU,EAAKC,EAAMC,EAAaC,EACvCf,EAASgB,UAAU,GACnBC,EAAI,EACJC,EAASF,UAAUE,OACnBC,GAAO,EAaX,IAVsB,mBAAXnB,IACVmB,EAAOnB,EACPA,EAASgB,UAAU,IAAM,CAAC,EAE1BC,EAAI,IAES,MAAVjB,GAAqC,kBAAXA,GAAyC,oBAAXA,KAC3DA,EAAS,CAAC,GAGJiB,EAAIC,IAAUD,EAGpB,GAAe,OAFfhB,EAAUe,UAAUC,IAInB,IAAKf,KAAQD,EACZW,EAAMJ,EAAYR,EAAQE,GAItBF,KAHJa,EAAOL,EAAYP,EAASC,MAKvBiB,GAAQN,IAASpB,EAAcoB,KAAUC,EAAczB,EAAQwB,MAC9DC,GACHA,GAAc,EACdC,EAAQH,GAAOvB,EAAQuB,GAAOA,EAAM,IAEpCG,EAAQH,GAAOnB,EAAcmB,GAAOA,EAAM,CAAC,EAI5Cb,EAAYC,EAAQ,CAAEE,KAAMA,EAAMI,SAAUK,EAAOQ,EAAMJ,EAAOF,MAGtC,qBAATA,GACjBd,EAAYC,EAAQ,CAAEE,KAAMA,EAAMI,SAAUO,KAQjD,OAAOb,CACR,yBClHAS,EAAOC,QAKP,SAAeU,EAAUC,GACvB,IAIIC,EACAC,EACAC,EANAnB,EAAQe,GAAY,GACpBlB,EAAOmB,GAAkB,MACzBI,EAAQ,CAAC,EACTC,EAAQ,EAKZ,KAAOA,EAAQrB,EAAMa,QACnBS,EAAOC,UAAYF,EACnBF,EAAQG,EAAOE,KAAKxB,IACpBiB,EAAWjB,EAAMyB,MAAMJ,EAAOF,EAAQA,EAAMO,MAAQ1B,EAAMa,WAGnDK,EAEmB,MAAbA,EACTE,EAAMO,GAAKV,EACFG,EAAMQ,UACfR,EAAMQ,UAAUC,KAAKZ,GAErBG,EAAMQ,UAAY,CAACX,GANnBpB,EAAOoB,EASTI,GAASJ,EAASJ,QAGhBM,IACFD,EAAWC,EAAM,GACjBE,KAIJ,MAAO,CAACS,KAAM,UAAWC,QAASlC,EAAMmC,WAAYZ,EAAOa,SAAU,GACvE,EAtCA,IAAIX,EAAS,qCCFb,IAAIY,EAAOC,EAAQ,KACfC,EAAYD,EAAQ,MACpBE,EAAgBF,EAAQ,MACxBG,EAASH,EAAAA,MAAAA,EACTI,EAASJ,EAAAA,MAAAA,EAEb/B,EAAOC,QAIP,SAAiBmC,EAAQxB,EAAgByB,GACvC,IAAIC,EAASD,EA0Lf,SAAyBE,GACvB,IAGI3C,EAHAa,EAAS8B,EAAO9B,OAChBa,GAAS,EACTkB,EAAS,CAAC,EAGd,OAASlB,EAAQb,GAEf+B,GADA5C,EAAQ2C,EAAOjB,IACFmB,eAAiB7C,EAGhC,OAAO4C,CACT,CAtM+BE,CAAgBL,GAAiB,KAE9D,OAGA,SAAW1B,EAAUiB,GACnB,IAGIe,EAHAC,EAAOX,EAActB,EAAUC,GAC/BiB,EAAW/C,MAAMT,UAAUgD,MAAMtC,KAAKwB,UAAW,GACjDd,EAAOmD,EAAKjB,QAAQc,cAGxBG,EAAKjB,QAAUW,GAAUO,EAAI9D,KAAKuD,EAAQ7C,GAAQ6C,EAAO7C,GAAQA,EAE7DmC,GA4DR,SAAoBhC,EAAOgD,GACzB,MACmB,kBAAVhD,GACP,WAAYA,GAKhB,SAAgB+B,EAAS/B,GACvB,IAAI8B,EAAO9B,EAAM8B,KAEjB,GAAgB,UAAZC,IAAwBD,GAAwB,kBAATA,EACzC,OAAO,EAGT,GAA8B,kBAAnB9B,EAAMiC,UAAyB,WAAYjC,EAAMiC,SAC1D,OAAO,EAKT,GAFAH,EAAOA,EAAKe,cAEI,WAAZd,EACF,MACW,SAATD,GACS,WAATA,GACS,UAATA,GACS,WAATA,EAIJ,MAAO,UAAW9B,CACpB,CA3BIkD,CAAOF,EAAKjB,QAAS/B,EAEzB,CAlEsBmD,CAAWnB,EAAYgB,KACvCf,EAASmB,QAAQpB,GACjBA,EAAa,MAGf,GAAIA,EACF,IAAKe,KAAYf,EACfqB,EAAYL,EAAKhB,WAAYe,EAAUf,EAAWe,IAItDO,EAASN,EAAKf,SAAUA,GAEH,aAAjBe,EAAKjB,UACPiB,EAAKO,QAAU,CAACzB,KAAM,OAAQG,SAAUe,EAAKf,UAC7Ce,EAAKf,SAAW,IAGlB,OAAOe,CACT,EAEA,SAASK,EAAYrB,EAAY1C,EAAKU,GACpC,IAAIwD,EACAT,EACAH,EAGU,OAAV5C,QAA4ByD,IAAVzD,GAAuBA,IAAUA,IAKvD+C,GADAS,EAAOtB,EAAKM,EAAQlD,IACJyD,SAIM,kBAHtBH,EAAS5C,KAIHwD,EAAKE,eACPd,EAASN,EAAOM,GACPY,EAAKG,eACdf,EAASL,EAAOK,GACPY,EAAKI,wBACdhB,EAASN,EAAOC,EAAOK,GAAQiB,KAAK,QAKvB,UAAbd,GAAyC,kBAAV/C,IACjC4C,EAkHN,SAAe5C,GACb,IACIV,EADAsD,EAAS,GAGb,IAAKtD,KAAOU,EACV4C,EAAOf,KAAK,CAACvC,EAAKU,EAAMV,IAAMuE,KAAK,OAGrC,OAAOjB,EAAOiB,KAAK,KACrB,CA3HeC,CAAMlB,IAIA,cAAbG,GAA4Bf,EAAWJ,YACzCgB,EAASZ,EAAWJ,UAAUmC,OAAOnB,IAGvCZ,EAAWe,GAiEf,SAAyBS,EAAM3D,EAAMG,GACnC,IAAI0B,EACAb,EACA+B,EAEJ,GAAqB,kBAAV5C,KAAwB,WAAYA,GAC7C,OAAOgE,EAAeR,EAAM3D,EAAMG,GAGpCa,EAASb,EAAMa,OACfa,GAAS,EACTkB,EAAS,GAET,OAASlB,EAAQb,GACf+B,EAAOlB,GAASsC,EAAeR,EAAM3D,EAAMG,EAAM0B,IAGnD,OAAOkB,CACT,CAnF2BqB,CAAgBT,EAAMT,EAAUH,GACzD,CACF,EA1EA,IAAIK,EAAM,CAAC,EAAEvE,eA6Gb,SAAS4E,EAASY,EAAOlE,GACvB,IAAI0B,EACAb,EAEJ,GAAqB,kBAAVb,GAAuC,kBAAVA,EAKxC,GAAqB,kBAAVA,GAAsB,WAAYA,EAI3C,IAHA0B,GAAS,EACTb,EAASb,EAAMa,SAENa,EAAQb,GACfyC,EAASY,EAAOlE,EAAM0B,QAL1B,CAWA,GAAqB,kBAAV1B,KAAwB,SAAUA,GAC3C,MAAM,IAAImE,MAAM,yCAA2CnE,EAAQ,KAGrEkE,EAAMrC,KAAK7B,EANX,MAbEkE,EAAMrC,KAAK,CAACC,KAAM,OAAQ9B,MAAOoE,OAAOpE,IAoB5C,CAwBA,SAASgE,EAAeR,EAAM3D,EAAMG,GAClC,IAAI4C,EAAS5C,EAgBb,OAdIwD,EAAKa,QAAUb,EAAKc,eACjBC,MAAM3B,IAAsB,KAAXA,IACpBA,EAAS4B,OAAO5B,KAETY,EAAKiB,SAAWjB,EAAKkB,qBAGV,kBAAX9B,GACK,KAAXA,GAAiBR,EAAUpC,KAAWoC,EAAUvC,KAEjD+C,GAAS,IAINA,CACT,+BCxLA,IAAIJ,EAASL,EAAQ,MAGjBwC,EAFUxC,EAAQ,KAEXyC,CAAQpC,EAAQ,OAC3BmC,EAAKE,YAAc,OAEnBzE,EAAOC,QAAUsE,+BCNjBvE,EAAOC,QAAU,EAAjBD,iCCAAC,EAAQ,EAQR,SAAeL,GACb,IAKI8E,EALAnC,EAAS,GACToC,EAAQX,OAAOpE,GAASgF,GACxBtD,EAAQqD,EAAME,QAAQC,GACtB3D,EAAY,EACZ4D,GAAM,EAGV,MAAQA,IACS,IAAXzD,IACFA,EAAQqD,EAAMlE,OACdsE,GAAM,KAGRL,EAAMC,EAAMtD,MAAMF,EAAWG,GAAO0D,SAExBD,GACVxC,EAAOd,KAAKiD,GAGdvD,EAAYG,EAAQ,EACpBA,EAAQqD,EAAME,QAAQC,EAAO3D,GAG/B,OAAOoB,CACT,EA9BA,IAAIuC,EAAQ,IACRG,EAAQ,IACRL,EAAQ,+BCLZ,IAAI5C,EAAYD,EAAQ,MACpBmD,EAAcnD,EAAQ,MACtBoD,EAAOpD,EAAQ,MAEfqD,EAAO,OAEXpF,EAAOC,QAMP,SAAcmC,EAAQxC,GACpB,IAAIyF,EAASrD,EAAUpC,GACnB0F,EAAO1F,EACP2F,EAAOJ,EAEX,GAAIE,KAAUjD,EAAOiD,OACnB,OAAOjD,EAAOO,SAASP,EAAOiD,OAAOA,IAGnCA,EAAO5E,OAAS,GAAK4E,EAAOhE,MAAM,EAAG,KAAO+D,GAAQI,EAAMC,KAAK7F,KAEzC,MAApBA,EAAM8F,OAAO,GACfJ,EAWN,SAA2BK,GACzB,IAAI/F,EAAQ+F,EAAUtE,MAAM,GAAGuE,QAAQC,EAAMC,GAC7C,OAAOV,EAAOxF,EAAM8F,OAAO,GAAGK,cAAgBnG,EAAMyB,MAAM,EAC5D,CAda2E,CAAkBpG,GAEzBA,EAcN,SAA4B+C,GAC1B,IAAI/C,EAAQ+C,EAAStB,MAAM,GAE3B,GAAIwE,EAAKJ,KAAK7F,GACZ,OAAO+C,EAGT/C,EAAQA,EAAMgG,QAAQK,EAAKC,GAEH,MAApBtG,EAAM8F,OAAO,KACf9F,EAAQ,IAAMA,GAGhB,OAAOwF,EAAOxF,CAChB,CA5BcuG,CAAmBvG,GAG7B2F,EAAOL,GAGT,OAAO,IAAIK,EAAKD,EAAM1F,EACxB,EAzBA,IAAI4F,EAAQ,kBACRK,EAAO,UACPI,EAAM,SA8CV,SAASC,EAAME,GACb,MAAO,IAAMA,EAAG3D,aAClB,CAEA,SAASqD,EAAUM,GACjB,OAAOA,EAAGV,OAAO,GAAGK,aACtB,+BC9DA,IAAIM,EAAQtE,EAAQ,KAChBuE,EAAQvE,EAAQ,MAChBwE,EAAMxE,EAAQ,KACdyE,EAAQzE,EAAQ,MAChB0E,EAAO1E,EAAQ,MACfwC,EAAOxC,EAAQ,MAEnB/B,EAAOC,QAAUoG,EAAM,CAACE,EAAKD,EAAOE,EAAOC,EAAMlC,iCCPjD,IAAImC,EAAQ3E,EAAQ,MAChB4E,EAAS5E,EAAQ,MAEjB6E,EAAaF,EAAME,WACnB3C,EAASyC,EAAMzC,OACfX,EAAiBoD,EAAMpD,eAE3BtD,EAAOC,QAAU0G,EAAO,CACtBE,UAsDF,SAAuBC,EAAGxB,GACxB,MAAgB,SAATA,EAAkBA,EAAO,QAAUA,EAAKjE,MAAM,GAAGoB,aAC1D,EAvDEb,WAAY,CACVmF,qBAAsB,KACtBC,WAAYJ,EACZK,iBAAkB,KAClBC,SAAUN,EACVO,YAAaP,EACbQ,aAAcnD,EACdoD,aAAcpD,EACdqD,YAAarD,EACbsD,aAAcjE,EACdkE,YAAa,KACbC,gBAAiBnE,EACjBoE,YAAa,KACbC,aAAcf,EACdgB,eAAgBtE,EAChBuE,iBAAkB,KAClBC,aAAclB,EACdmB,WAAYzE,EACZ0E,YAAapB,EACbqB,aAAc,KACdC,WAAYtB,EACZuB,YAAa,KACbC,iBAAkB,KAClBC,UAAW,KACXC,eAAgBhF,EAChBiF,UAAWtE,EACXuE,SAAU,KACVC,UAAW7B,EACX8B,cAAe9B,EACf+B,oBAAqB/B,EACrBgC,gBAAiB,KACjBC,SAAUvF,EACVwF,gBAAiB,KACjBC,aAAc9E,EACd+E,YAAapC,EACbqC,aAAcrC,EACdsC,aAAc,KACdC,aAAcvC,EACdwC,oBAAqB9F,EACrB+F,aAAcpF,EACdqF,aAAcrF,EACdsF,YAAatF,EACbuF,aAAc5C,EACd6C,YAAaxF,EACbyF,SAAU,KACVC,aAAc1F,EACd2F,aAAc3F,EACd4F,aAAc5F,EACd6F,cAAe,KACfC,KAAM,qCC1DV,IAAIrD,EAAQ3E,EAAQ,MAChB4E,EAAS5E,EAAQ,MACjBiI,EAA2BjI,EAAQ,MAEnCsC,EAAUqC,EAAMrC,QAChBC,EAAoBoC,EAAMpC,kBAC1BsC,EAAaF,EAAME,WACnB3C,EAASyC,EAAMzC,OACfX,EAAiBoD,EAAMpD,eACvBC,EAAiBmD,EAAMnD,eAE3BvD,EAAOC,QAAU0G,EAAO,CACtB1B,MAAO,OACPgF,WAAY,CACVC,cAAe,iBACfC,UAAW,QACXC,QAAS,MACTC,UAAW,cAEbxD,UAAWmD,EACXM,gBAAiB,CAAC,UAAW,WAAY,QAAS,YAClD1I,WAAY,CAEV2I,KAAM,KACNC,OAAQjH,EACRkH,cAAenH,EACfoH,UAAWpH,EACXqH,OAAQ,KACRC,MAAO,KACPC,gBAAiBxG,EACjByG,oBAAqBzG,EACrB0G,eAAgB1G,EAChB2G,IAAK,KACLC,GAAI,KACJC,MAAO7G,EACP8G,eAAgB,KAChBC,aAAc9H,EACd+H,UAAWhH,EACXiH,SAAUjH,EACVkH,QAASlH,EACTmH,QAAS,KACTC,QAASpH,EACTqH,KAAM,KACNlK,UAAW8B,EACXqI,KAAM1H,EACN2H,QAAS,KACTzI,QAAS,KACT0I,gBAAiBjF,EACjBkF,SAAUzH,EACV0H,aAAczI,EACd0I,OAAQ/H,EAASV,EACjB0I,YAAa,KACb7G,KAAM,KACN8G,SAAU,KACVC,SAAU,KACVC,QAAS/H,EACTgI,MAAOhI,EACPiI,IAAK,KACLC,QAAS,KACTC,SAAUnI,EACVoI,SAAUnI,EACVoI,UAAW9F,EACX+F,QAAS,KACTC,aAAc,KACdC,KAAM,KACNC,WAAY,KACZC,YAAa,KACbC,WAAY,KACZC,eAAgB5I,EAChB6I,WAAY,KACZC,QAAS7J,EACT8J,OAAQnJ,EACRoJ,OAAQhJ,EACRiJ,KAAMrJ,EACNsJ,KAAM,KACNC,SAAU,KACVC,QAASnK,EACToK,UAAWpK,EACX/B,GAAI,KACJoM,WAAY,KACZC,YAAarK,EACbsK,UAAW,KACXC,UAAW,KACXC,GAAI,KACJC,MAAO3J,EACP4J,OAAQ,KACRC,SAAU5K,EACV6K,QAAS7K,EACT8K,UAAW/J,EACXgK,SAAU/K,EACVgL,KAAM,KACNC,MAAO,KACPC,KAAM,KACNC,SAAU,KACVC,KAAM,KACNC,QAAS,KACTC,KAAMvK,EACNwK,IAAK5K,EACL6K,SAAU,KACVC,IAAK,KACLC,UAAW/K,EACXgL,MAAO,KACPC,OAAQ,KACRC,IAAK,KACLC,UAAWnL,EACXoL,SAAUhL,EACViL,MAAOjL,EACP5E,KAAM,KACN8P,MAAO,KACPC,SAAUnL,EACVoL,WAAYpL,EACZqL,QAAS,KACTC,aAAc,KACdC,WAAY,KACZC,cAAe,KACfC,eAAgB,KAChBC,OAAQ,KACRC,SAAU,KACVC,UAAW,KACXC,iBAAkB,KAClBC,SAAU,KACVC,QAAS,KACTC,QAAS,KACTC,cAAe,KACfC,OAAQ,KACRC,YAAa,KACbC,MAAO,KACPC,WAAY,KACZC,OAAQ,KACRC,UAAW,KACXC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,OAAQ,KACRC,iBAAkB,KAClBC,UAAW,KACXC,QAAS,KACTC,QAAS,KACTC,QAAS,KACTC,WAAY,KACZC,aAAc,KACdC,QAAS,KACTC,UAAW,KACXC,UAAW,KACXC,WAAY,KACZC,QAAS,KACTC,iBAAkB,KAClBC,OAAQ,KACRC,aAAc,KACdC,iBAAkB,KAClBC,UAAW,KACXC,YAAa,KACbC,UAAW,KACXC,eAAgB,KAChBC,YAAa,KACbC,aAAc,KACdC,aAAc,KACdC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,UAAW,KACXC,UAAW,KACXC,SAAU,KACVC,WAAY,KACZC,WAAY,KACZC,QAAS,KACTC,QAAS,KACTC,OAAQ,KACRC,UAAW,KACXC,WAAY,KACZC,WAAY,KACZC,aAAc,KACdC,mBAAoB,KACpBC,QAAS,KACTC,SAAU,KACVC,SAAU,KACVC,0BAA2B,KAC3BC,SAAU,KACVC,UAAW,KACXC,SAAU,KACVC,aAAc,KACdC,UAAW,KACXC,UAAW,KACXC,SAAU,KACVC,UAAW,KACXC,aAAc,KACdC,SAAU,KACVC,qBAAsB,KACtBC,SAAU,KACVC,eAAgB,KAChBC,UAAW,KACXC,QAAS,KACTC,KAAMxQ,EACNyQ,QAAS7Q,EACT8Q,QAAS,KACTC,KAAM1R,EACN2R,YAAa,KACbC,YAAa7Q,EACb8Q,OAAQ,KACRC,QAAS,KACTC,SAAUhR,EACViR,eAAgB,KAChBC,IAAKjS,EACLkS,SAAUnR,EACVoR,SAAUpR,EACVqR,KAAMzR,EACN0R,QAAS1R,EACT2R,QAAStS,EACTuS,MAAO,KACPC,OAAQzR,EACR0R,SAAU1R,EACV2R,SAAU3R,EACV4R,MAAO,KACPC,KAAMjS,EACNkS,MAAO,KACPC,KAAM,KACNC,KAAMpS,EACNqS,WAAY1P,EACZzG,IAAK,KACLoW,OAAQ,KACRC,QAAS,KACTC,OAAQlT,EACRtC,MAAOgD,EACPyS,KAAM,KACNhT,MAAO,KACPiT,SAAU1S,EACV1E,OAAQ,KACRqX,MAAO,KACPC,UAAW,KACXnV,KAAM,KACNoV,cAAezS,EACf0S,OAAQ,KACRnX,MAAOgH,EACPoQ,MAAO/S,EACPgT,KAAM,KAINC,MAAO,KACPC,MAAO,KACPC,QAAS9T,EACT+T,KAAM,KACNC,WAAY,KACZC,QAAS,KACTC,OAAQvT,EACRwT,YAAa,KACbC,aAAczT,EACd0T,YAAa,KACbC,YAAa,KACbC,KAAM,KACNC,QAAS,KACTC,QAAS,KACTC,MAAO,KACPC,KAAM,KACNC,SAAU,KACVC,SAAU,KACVC,MAAO,KACPC,QAAShU,EACTiU,QAASjU,EACTkU,MAAO,KACPC,KAAM,KACNC,MAAO,KACPC,YAAa,KACbC,OAAQ1U,EACR2U,WAAY3U,EACZ4U,KAAM,KACNC,SAAU,KACVC,OAAQ,KACRC,aAAc/U,EACdgV,YAAahV,EACbiV,SAAU7U,EACV8U,OAAQ9U,EACR+U,QAAS/U,EACTgV,OAAQhV,EACRiV,OAAQ,KACRC,QAAS,KACTC,OAAQ,KACRC,IAAK,KACLC,YAAazV,EACb0V,MAAO,KACPC,OAAQ,KACRC,UAAWjT,EACXkT,QAAS,KACTC,QAAS,KACTC,KAAM,KACNC,UAAWhW,EACXiW,UAAW,KACXC,QAAS,KACTC,OAAQ,KACRC,MAAO,KACPC,OAAQrW,EAGRsW,kBAAmB,KACnBC,YAAa,KACbC,SAAU,KACVC,wBAAyBrW,EACzBsW,sBAAuBtW,EACvBuW,OAAQ,KACRjY,SAAU,KACVkY,QAAS5W,EACT6W,SAAU,KACVC,aAAc,qCChTlB,IAAIC,EAAyBjZ,EAAQ,MAErC/B,EAAOC,QAEP,SAAkCgK,EAAYtH,GAC5C,OAAOqY,EAAuB/Q,EAAYtH,EAASF,cACrD,yBCNAzC,EAAOC,QAEP,SAAgCgK,EAAYtE,GAC1C,OAAOA,KAAasE,EAAaA,EAAWtE,GAAaA,CAC3D,+BCJA,IAAI3D,EAAYD,EAAQ,MACpBkZ,EAASlZ,EAAQ,MACjBmD,EAAcnD,EAAQ,MAE1B/B,EAAOC,QAEP,SAAgBib,GACd,IAOI5V,EACAlC,EARA6B,EAAQiW,EAAWjW,MACnBqF,EAAkB4Q,EAAW5Q,iBAAmB,GAChDL,EAAaiR,EAAWjR,YAAc,CAAC,EACvCjJ,EAAQka,EAAWtZ,WACnBiF,EAAYqU,EAAWrU,UACvBlE,EAAW,CAAC,EACZ0C,EAAS,CAAC,EAId,IAAKC,KAAQtE,EACXoC,EAAO,IAAI8B,EACTI,EACAuB,EAAUoD,EAAY3E,GACtBtE,EAAMsE,GACNL,IAGqC,IAAnCqF,EAAgBzF,QAAQS,KAC1BlC,EAAKkH,iBAAkB,GAGzB3H,EAAS2C,GAAQlC,EAEjBiC,EAAOrD,EAAUsD,IAASA,EAC1BD,EAAOrD,EAAUoB,EAAKuC,YAAcL,EAGtC,OAAO,IAAI2V,EAAOtY,EAAU0C,EAAQJ,EACtC,+BCpCA,IAAIE,EAAOpD,EAAQ,MACf2E,EAAQ3E,EAAQ,MAEpB/B,EAAOC,QAAUiF,EAEjBA,EAAY7G,UAAY,IAAI8G,EAC5BD,EAAY7G,UAAU8c,SAAU,EAEhC,IAAIC,EAAS,CACX,UACA,aACA,oBACA,SACA,iBACA,iBACA,yBAEEC,EAAeD,EAAO3a,OAE1B,SAASyE,EAAYvC,EAAUgD,EAAW2V,EAAMrW,GAC9C,IACIsW,EADAja,GAAS,EAOb,IAJAka,EAAKC,KAAM,QAASxW,GAEpBE,EAAKpG,KAAK0c,KAAM9Y,EAAUgD,KAEjBrE,EAAQ+Z,GAEfG,EAAKC,KADLF,EAAQH,EAAO9Z,IACIga,EAAO5U,EAAM6U,MAAY7U,EAAM6U,GAEtD,CAEA,SAASC,EAAKjZ,EAAQrD,EAAKU,GACrBA,IACF2C,EAAOrD,GAAOU,EAElB,yBCrCAI,EAAOC,QAAUkF,EAEjB,IAAIuW,EAAQvW,EAAK9G,UAejB,SAAS8G,EAAKxC,EAAUgD,GACtB8V,KAAK9Y,SAAWA,EAChB8Y,KAAK9V,UAAYA,CACnB,CAhBA+V,EAAMzW,MAAQ,KACdyW,EAAM/V,UAAY,KAClB+V,EAAM/Y,SAAW,KACjB+Y,EAAMrX,SAAU,EAChBqX,EAAM9U,YAAa,EACnB8U,EAAMpX,mBAAoB,EAC1BoX,EAAMzX,QAAS,EACfyX,EAAMnY,gBAAiB,EACvBmY,EAAMpY,gBAAiB,EACvBoY,EAAMlY,uBAAwB,EAC9BkY,EAAMpR,iBAAkB,EACxBoR,EAAMP,SAAU,8BCfhB,IAAIQ,EAAQ5Z,EAAQ,IAChBkZ,EAASlZ,EAAQ,MAErB/B,EAAOC,QAEP,SAAe2b,GACb,IAIIxY,EACA6B,EALAxE,EAASmb,EAAYnb,OACrBkC,EAAW,GACX0C,EAAS,GACT/D,GAAS,EAIb,OAASA,EAAQb,GACf2C,EAAOwY,EAAYta,GACnBqB,EAASlB,KAAK2B,EAAKT,UACnB0C,EAAO5D,KAAK2B,EAAKiC,QACjBJ,EAAQ7B,EAAK6B,MAGf,OAAO,IAAIgW,EACTU,EAAME,MAAM,KAAMlZ,GAClBgZ,EAAME,MAAM,KAAMxW,GAClBJ,EAEJ,yBCzBAjF,EAAOC,QAAUgb,EAEjB,IAAIS,EAAQT,EAAO5c,UAMnB,SAAS4c,EAAOtY,EAAU0C,EAAQJ,GAChCwW,KAAK9Y,SAAWA,EAChB8Y,KAAKpW,OAASA,EAEVJ,IACFwW,KAAKxW,MAAQA,EAEjB,CAXAyW,EAAMzW,MAAQ,KACdyW,EAAMrW,OAAS,CAAC,EAChBqW,EAAM/Y,SAAW,CAAC,6BCNlB,IAAImZ,EAAS,EAUb,SAASC,IACP,OAAOC,KAAKC,IAAI,IAAKH,EACvB,CAVA7b,EAAQoE,QAAU0X,IAClB9b,EAAQ2G,WAAamV,IACrB9b,EAAQqE,kBAAoByX,IAC5B9b,EAAQgE,OAAS8X,IACjB9b,EAAQqD,eAAiByY,IACzB9b,EAAQsD,eAAiBwY,IACzB9b,EAAQuD,sBAAwBuY,iCCRhC,IAAIpV,EAAS5E,EAAQ,MAErB/B,EAAOC,QAAU0G,EAAO,CACtB1B,MAAO,QACP4B,UAYF,SAAwBC,EAAGxB,GACzB,MAAO,SAAWA,EAAKjE,MAAM,GAAGoB,aAClC,EAbEb,WAAY,CACVsa,aAAc,KACdC,aAAc,KACdC,UAAW,KACXC,UAAW,KACXC,UAAW,KACXC,WAAY,KACZC,UAAW,oCCZf,IAAI7V,EAAS5E,EAAQ,MAErB/B,EAAOC,QAAU0G,EAAO,CACtB1B,MAAO,MACP4B,UAQF,SAAsBC,EAAGxB,GACvB,MAAO,OAASA,EAAKjE,MAAM,GAAGoB,aAChC,EATEb,WAAY,CACV6a,QAAS,KACTC,QAAS,KACTC,SAAU,qCCRd,IAAIhW,EAAS5E,EAAQ,MACjBiI,EAA2BjI,EAAQ,MAEvC/B,EAAOC,QAAU0G,EAAO,CACtB1B,MAAO,QACPgF,WAAY,CACV2S,WAAY,eAEd/V,UAAWmD,EACXpI,WAAY,CACV4E,MAAO,KACPqW,WAAY,+BCXhB7c,EAAOC,QAEP,SAAmBL,GACjB,OAAOA,EAAM6C,aACf,6BCJAxC,EAAQ,EAOR,SAAeL,GACb,IAAI+E,EAAQX,OAAOpE,GAASgF,GAAOI,OACnC,OAAOL,IAAUC,EAAQ,GAAKD,EAAMmY,MAAMC,EAC5C,EAPA,IAAInY,EAAQ,GACRK,EAAQ,IACR8X,EAAa,0BCLjB,IAAIC,EAAgB,kCAEhBC,EAAgB,MAChBC,EAAmB,OAGnBC,EAAiB,yCACjBC,EAAc,QACdC,EAAc,uDACdC,EAAkB,UAGlBC,EAAa,aAMbC,EAAe,GA8OnB,SAASxY,EAAKyY,GACZ,OAAOA,EAAMA,EAAI7X,QAAQ2X,EAAYC,GAAgBA,CACvD,CAnOAxd,EAAOC,QAAU,SAASyD,EAAOlE,GAC/B,GAAqB,kBAAVkE,EACT,MAAM,IAAIga,UAAU,mCAGtB,IAAKha,EAAO,MAAO,GAEnBlE,EAAUA,GAAW,CAAC,EAKtB,IAAIme,EAAS,EACTC,EAAS,EAOb,SAASC,EAAeJ,GACtB,IAAIK,EAAQL,EAAI1c,MAAMkc,GAClBa,IAAOH,GAAUG,EAAMrd,QAC3B,IAAID,EAAIid,EAAIM,YAvCF,MAwCVH,GAAUpd,EAAIid,EAAIhd,OAASD,EAAIod,EAASH,EAAIhd,MAC9C,CAOA,SAASud,IACP,IAAI/c,EAAQ,CAAEgd,KAAMN,EAAQC,OAAQA,GACpC,OAAO,SAAShb,GAGd,OAFAA,EAAKob,SAAW,IAAIE,EAASjd,GAC7Bkd,IACOvb,CACT,CACF,CAUA,SAASsb,EAASjd,GAChBwa,KAAKxa,MAAQA,EACbwa,KAAK1W,IAAM,CAAEkZ,KAAMN,EAAQC,OAAQA,GACnCnC,KAAK2C,OAAS5e,EAAQ4e,MACxB,CAKAF,EAAS7f,UAAU8E,QAAUO,EAE7B,IAAI2a,EAAa,GAQjB,SAASC,EAAMC,GACb,IAAIC,EAAM,IAAIza,MACZvE,EAAQ4e,OAAS,IAAMT,EAAS,IAAMC,EAAS,KAAOW,GAQxD,GANAC,EAAIC,OAASF,EACbC,EAAIE,SAAWlf,EAAQ4e,OACvBI,EAAIP,KAAON,EACXa,EAAIZ,OAASA,EACbY,EAAIJ,OAAS1a,GAETlE,EAAQmf,OAGV,MAAMH,EAFNH,EAAW5c,KAAK+c,EAIpB,CAQA,SAASzd,EAAM6d,GACb,IAAIC,EAAID,EAAGxd,KAAKsC,GAChB,GAAKmb,EAAL,CACA,IAAIpB,EAAMoB,EAAE,GAGZ,OAFAhB,EAAeJ,GACf/Z,EAAQA,EAAMrC,MAAMoc,EAAIhd,QACjBoe,CAJO,CAKhB,CAKA,SAASV,IACPpd,EAAMmc,EACR,CAQA,SAAS4B,EAASnF,GAChB,IAAIoF,EAEJ,IADApF,EAAQA,GAAS,GACToF,EAAIC,MACA,IAAND,GACFpF,EAAMlY,KAAKsd,GAGf,OAAOpF,CACT,CAQA,SAASqF,IACP,IAAIC,EAAMjB,IACV,GAnJgB,KAmJKta,EAAMgC,OAAO,IAlJvB,KAkJyChC,EAAMgC,OAAO,GAAjE,CAGA,IADA,IAAIlF,EAAI,EAENgd,GAAgB9Z,EAAMgC,OAAOlF,KAtJpB,KAuJIkD,EAAMgC,OAAOlF,IAxJZ,KAwJmCkD,EAAMgC,OAAOlF,EAAI,OAEhEA,EAIJ,GAFAA,GAAK,EAEDgd,IAAiB9Z,EAAMgC,OAAOlF,EAAI,GACpC,OAAO8d,EAAM,0BAGf,IAAIb,EAAM/Z,EAAMrC,MAAM,EAAGb,EAAI,GAM7B,OALAod,GAAU,EACVC,EAAeJ,GACf/Z,EAAQA,EAAMrC,MAAMb,GACpBod,GAAU,EAEHqB,EAAI,CACTvd,KApKa,UAqKbsd,QAASvB,GAvBgE,CAyB7E,CAQA,SAASyB,IACP,IAAID,EAAMjB,IAGN1Y,EAAOvE,EAAMoc,GACjB,GAAK7X,EAAL,CAIA,GAHA0Z,KAGKje,EAAMqc,GAAc,OAAOkB,EAAM,wBAGtC,IAAI5Z,EAAM3D,EAAMsc,GAEZ8B,EAAMF,EAAI,CACZvd,KA7LiB,cA8LjBiB,SAAUqC,EAAKM,EAAK,GAAGM,QAAQoX,EAAeQ,IAC9C5d,MAAO8E,EACHM,EAAKN,EAAI,GAAGkB,QAAQoX,EAAeQ,IACnCA,IAMN,OAFAzc,EAAMuc,GAEC6B,CApBU,CAqBnB,CAyBA,OADAhB,IAjBA,WACE,IAKIiB,EALAC,EAAQ,GAMZ,IAJAP,EAASO,GAIDD,EAAOF,MACA,IAATE,IACFC,EAAM5d,KAAK2d,GACXN,EAASO,IAIb,OAAOA,CACT,CAGOC,EACT,yBCxPAtf,EAAOC,QAIP,SAAsBsf,GACpB,IAAItH,EAA4B,kBAAdsH,EAAyBA,EAAUC,WAAW,GAAKD,EAErE,OACGtH,GAAQ,IAAMA,GAAQ,KACtBA,GAAQ,IAAMA,GAAQ,EAE3B,+BCXA,IAAIwH,EAAe1d,EAAQ,MACvB2d,EAAU3d,EAAQ,KAEtB/B,EAAOC,QAIP,SAAwBsf,GACtB,OAAOE,EAAaF,IAAcG,EAAQH,EAC5C,YCJAvf,EAAOC,QAAU,SAAmBhB,GAClC,OAAc,MAAPA,GAAkC,MAAnBA,EAAII,aACY,oBAA7BJ,EAAII,YAAYsgB,UAA2B1gB,EAAII,YAAYsgB,SAAS1gB,EAC/E,wBCRAe,EAAOC,QAIP,SAAiBsf,GACf,IAAItH,EAA4B,kBAAdsH,EAAyBA,EAAUC,WAAW,GAAKD,EAErE,OAAOtH,GAAQ,IAAMA,GAAQ,EAC/B,yBCRAjY,EAAOC,QAIP,SAAqBsf,GACnB,IAAItH,EAA4B,kBAAdsH,EAAyBA,EAAUC,WAAW,GAAKD,EAErE,OACGtH,GAAQ,IAAcA,GAAQ,KAC9BA,GAAQ,IAAcA,GAAQ,IAC9BA,GAAQ,IAAcA,GAAQ,EAEnC,yBCVA,IAAI2H,EAIJ5f,EAAOC,QAEP,SAAsB4f,GACpB,IACIhI,EADAiI,EAAS,IAAMD,EAAa,IAYhC,IATAD,EAAKA,GAAMG,SAASC,cAAc,MAC/BC,UAAYH,EATD,MAUdjI,EAAO+H,EAAGM,aAODV,WAAW3H,EAAKpX,OAAS,IAAmC,SAAfof,EACpD,OAAO,EAIT,OAAOhI,IAASiI,GAAiBjI,CACnC,+BC3BA,IAAIsI,EAASpe,EAAQ,MACjBqe,EAAUre,EAAQ,MAClB2d,EAAU3d,EAAQ,KAClBse,EAActe,EAAQ,MACtBue,EAAiBve,EAAQ,MACzBwe,EAAexe,EAAQ,MAE3B/B,EAAOC,QAgFP,SAAuBL,EAAOJ,GAC5B,IACIghB,EACAthB,EAFAuhB,EAAW,CAAC,EAIXjhB,IACHA,EAAU,CAAC,GAGb,IAAKN,KAAOwhB,EACVF,EAAShhB,EAAQN,GACjBuhB,EAASvhB,GACI,OAAXshB,QAA8Bnd,IAAXmd,EAAuBE,EAASxhB,GAAOshB,GAG1DC,EAASzC,SAAS2C,QAAUF,EAASzC,SAAS/c,SAChDwf,EAASE,OAASF,EAASzC,SAAS2C,QAAU,GAC9CF,EAASzC,SAAWyC,EAASzC,SAAS/c,OAGxC,OAKF,SAAerB,EAAO6gB,GACpB,IAiBIG,EACAC,EACAC,EACAjB,EACAN,EACAwB,EACAC,EACAC,EACAxC,EACAyC,EACApB,EACAqB,EACAlgB,EACAS,EACA+D,EACA2b,EACAC,EACAC,EACAvc,GAnCAwc,GAAad,EAASc,WACtBC,GAAgBf,EAASe,cACzBC,GAAahB,EAASzG,KACtB0H,GAAkBjB,EAASM,UAC3BY,GAAgBlB,EAASQ,QACzBW,GAAcnB,EAASmB,YACvBC,GAAmBpB,EAASoB,iBAC5BC,GAAiBrB,EAASqB,eAC1B7C,GAAMwB,EAASzC,SACf2C,GAASF,EAASE,QAAU,GAC5BlgB,GAASb,EAAMa,OACfa,GAAQ,EACRwc,IAAS,EACTF,GAASqB,GAAIrB,QAAU,EACvBK,GAAOgB,GAAIhB,MAAQ,EACnB8D,GAAQ,GACRvf,GAAS,GAqBa,kBAAf+e,KACTA,GAAaA,GAAW/B,WAAW,IAIrC4B,EAAOY,KAGPf,EAAUU,GAAgBM,GAAaC,EAIvC5gB,KACAb,KAEA,OAASa,GAAQb,IAQf,GANI8e,IAAc4C,IAChBvE,GAAS+C,GAAO7C,KAAU,IAG5ByB,EAAY3f,EAAM4f,WAAWle,OAEX8gB,EAAW,CAI3B,IAHApB,EAAYphB,EAAM4f,WAAWle,GAAQ,MAIrB+gB,GACdrB,IAAcmB,GACdnB,IAAcsB,GACdtB,IAAc/b,GACd+b,IAAcoB,GACdpB,IAAcuB,GACdvB,IAAcA,GACbO,IAAcP,IAAcO,GAC7B,CAIAQ,IAASS,EAAajD,GACtB3B,KAEA,QACF,CAgCA,IA7BAuD,EADAlgB,EAAQK,GAAQ,EAEhByD,GAAM9D,EAEF+f,IAAcyB,GAEhB1d,KAAQoc,GAGRH,EAAYphB,EAAM4f,WAAWza,OAEX2d,GAAc1B,IAAc2B,GAE5CjhB,EAAOkhB,EACP7d,KAAQoc,GAGRzf,EAAOmhB,GAITnhB,EAAOjC,EAGTmhB,EAAmB,GACnBd,EAAS,GACTD,EAAa,GACbpa,EAAOqd,EAAMphB,GACbqD,OAESA,GAAMtE,IAGRgF,EAFLub,EAAYphB,EAAM4f,WAAWza,MAM7B8a,GAAc2C,EAAaxB,GAKvBtf,IAASjC,GAAQoD,EAAI9D,KAAKohB,EAAQN,KACpCe,EAAmBf,EACnBC,EAASK,EAAON,KAIpBiB,EAAalhB,EAAM4f,WAAWza,MAASge,KAGrChe,MAEA8b,EAAcnf,IAASjC,GAAO8gB,EAAaV,MAGzCe,EAAmBf,EACnBC,EAASe,IAIbS,EAAO,EAAIvc,GAAM9D,GAEZ6f,GAAeU,MAER3B,EAMDne,IAASjC,GAGdqhB,IAAehB,EACjBmB,EAAQ+B,EAAc,IAIlBpC,IAAqBf,IAEvByB,EAAO,GADPvc,GAAMoc,EAAQP,EAAiBngB,QACd0gB,EACjBL,GAAa,GAIVA,IACHrC,EAASmC,EAAmBqC,EAAqBC,EAE7CzC,EAAS9a,WACXqb,EAAYphB,EAAM4f,WAAWza,OAEXoe,GAChBlC,EAAQxC,EAAQ6C,GAChBxB,EAAS,MACAQ,EAAeU,GACxBlB,EAAS,KAETmB,EAAQxC,EAAQ6C,GAGlBL,EAAQxC,EAAQ6C,KAKtBP,EAAYjB,IAEPgB,GAGHG,EAAQmC,EAAsB9B,GAQ5B+B,EAJJtC,EAAYuC,SAASzD,EAAY0D,EAAM7hB,MAKrCuf,EAAQuC,EAAmBlC,GAC3BP,EAAYyB,EAAaiB,IAChB1C,KAAaX,GAGtBa,EAAQyC,EAAmBpC,GAC3BP,EAAYX,EAAQW,KAGpBG,EAAS,GAGLyC,EAAW5C,IACbE,EAAQyC,EAAmBpC,GAIzBP,EAAY,QAEdG,GAAUsB,GADVzB,GAAa,SACyB,GAAgB,OACtDA,EAAY,MAAsB,KAAZA,GAGxBA,EAAYG,EAASsB,EAAazB,KA3EhCrf,IAASjC,GACXwhB,EAAQ2C,EAActC,IAgFtBP,GACF8C,KAEAzC,EAAOY,KACP1gB,GAAQyD,GAAM,EACd6Y,IAAU7Y,GAAM9D,EAAQ,EACxBuB,GAAOf,KAAKsf,IACZM,EAAOW,MACF8B,SAEDpC,IACFA,GAAgB3iB,KACd8iB,GACAd,EACA,CAAC9f,MAAOmgB,EAAMrc,IAAKsc,GACnBzhB,EAAMyB,MAAMJ,EAAQ,EAAG8D,KAI3Bqc,EAAOC,IAMPxB,EAAajgB,EAAMyB,MAAMJ,EAAQ,EAAG8D,IACpCgd,IAASlC,EACTjC,IAAUiC,EAAWpf,OACrBa,GAAQyD,GAAM,EAElB,MAGkB,KAAdwa,IAEAtB,KACAH,KACAF,GAAS,GAGP2B,IAAcA,GAChBwC,IAASS,EAAajD,GACtB3B,MAEAiG,KAMN,OAAOrhB,GAAOiB,KAAK,IAGnB,SAASue,KACP,MAAO,CACL/D,KAAMA,GACNL,OAAQA,GACRkG,OAAQxiB,IAAS2d,GAAI6E,QAAU,GAEnC,CAGA,SAAS7B,GAAWhK,EAAM6L,GACxB,IAAI9F,EAAWgE,KAEfhE,EAASJ,QAAUkG,EACnB9F,EAAS8F,QAAUA,EAEnBnC,GAAc5iB,KAAK+iB,GAAgBiC,EAAS9L,GAAO+F,EAAU/F,EAC/D,CAKA,SAAS4L,KACH9B,KACFvf,GAAOf,KAAKsgB,IAERN,IACFA,GAAW1iB,KAAK6iB,GAAaG,GAAO,CAAC9gB,MAAOmgB,EAAMrc,IAAKid,OAGzDD,GAAQ,GAEZ,CACF,CAnUSiC,CAAMpkB,EAAO6gB,EACtB,EAnGA,IAAI5d,EAAM,CAAC,EAAEvE,eACTkkB,EAAexe,OAAOwe,aACtBN,EAAO+B,SAAS5lB,UAGhBqiB,EAAW,CACbO,QAAS,KACTF,UAAW,KACX/G,KAAM,KACN8H,eAAgB,KAChBD,iBAAkB,KAClBD,YAAa,KACb5D,SAAU,CAAC,EACXuD,WAAY,KACZ5b,WAAW,EACX6b,eAAe,GAIba,EAAM,EACNF,EAAW,GACXG,EAAW,GACXrd,EAAQ,GACRmd,EAAY,GACZW,EAAY,GACZR,EAAW,GACXY,EAAW,GACXV,EAAa,GACbC,EAAa,GACbC,EAAa,IACbc,EAAuB,MAGvBhkB,EAAO,QACPmjB,EAAO,cACPC,EAAO,UAGPU,EAAQ,CAAC,EAEbA,EAAMX,GAAQ,GACdW,EAAMV,GAAQ,GAMd,IAAIC,EAAQ,CAAC,EAEbA,EAAMrjB,GAAQ6gB,EACdwC,EAAMD,GAAQnD,EACdoD,EAAMF,GAAQvC,EAGd,IAAI4C,EAAqB,EACrBG,EAAuB,EACvBF,EAAa,EACbU,EAAe,EACfZ,EAAe,EACfU,EAAoB,EACpBF,EAAoB,EAGpBO,EAAW,CAAC,EAyWhB,SAASV,EAAWpL,GAClB,OAAQA,GAAQ,OAAUA,GAAQ,OAAWA,EAAO,OACtD,CAGA,SAAS0L,EAAW1L,GAClB,OACGA,GAAQ,GAAUA,GAAQ,GAClB,KAATA,GACCA,GAAQ,IAAUA,GAAQ,IAC1BA,GAAQ,KAAUA,GAAQ,KAC1BA,GAAQ,OAAUA,GAAQ,OACP,SAAZ,MAAPA,IACmB,SAAZ,MAAPA,EAEL,CAtXA8L,EAASd,GACP,+DACFc,EAASX,GACP,iEACFW,EAASb,GAAc,6CACvBa,EAASH,GAAgB,+CACzBG,EAASf,GAAgB,2CACzBe,EAASL,GACP,oDACFK,EAASP,GACP,4GCpFF,MAAM,cAAEU,GAAkBniB,EAAQ,MAG5BoiB,EAAqB,OAIrBC,EAAiC,CACnC,6CACA,6CACA,uDACA,kCACA,kCACA,yCACA,yCACA,iCACA,0BACA,2BACA,0BACA,gCACA,0BACA,wBACA,8BACA,8BACA,8BACA,8BACA,qCACA,qCACA,qCACA,qCACA,6BACA,sBACA,2CACA,wDACA,iDACA,mDACA,wDACA,iDACA,mDACA,sCACA,6CACA,6CACA,sDACA,8DACA,8CACA,iFACA,wEACA,uCACA,gDACA,uDACA,kCACA,+BACA,+BACA,yBACA,gCACA,kCACA,sCACA,2CACA,yCACA,wBACA,4BACA,sCACA,mCAGEC,EAA8CD,EAA+BzgB,OAAO,CACtF,mCACA,yCAGE2gB,EAAyB,CAAC,uCAAwC,qCAAsC,QACxGC,EAAoC,CAAC,mCAAoC,wCAEzEC,EAAmDD,EAAkC5gB,OAAO,CAC9F,mCACA,yCAIJ,SAAS8gB,EAAiBljB,GACtB,MAAMmjB,GAA6B,IAArBnjB,EAAGsD,QAAQ,KAAc,IAAM,IAE7C,OAAO6f,EAAQnjB,EAAKmjB,CACxB,CAEA,SAASC,EAAUC,EAAUC,GACzB,IAAK,IAAIrkB,EAAI,EAAGA,EAAIqkB,EAASpkB,OAAQD,IACjC,GAAsC,IAAlCokB,EAAS/f,QAAQggB,EAASrkB,IAC1B,OAAO,EAIf,OAAO,CACX,CAGAP,EAAQ6kB,aAAe,SAASC,GAC5B,OACIA,EAAMtlB,OAAS0kB,GACI,OAAnBY,EAAMH,WACc,OAAnBG,EAAMC,UAhGS,wBAgGYD,EAAMC,SAE1C,EAEA/kB,EAAQglB,gBAAkB,SAASF,GAC/B,GAAIA,EAAMtlB,OAAS0kB,EACf,OAAOD,EAAcgB,OAGzB,MAAMF,EAAWD,EAAMC,SAEvB,GAAIA,GA1GsB,+DA0GVA,EAASviB,cACrB,OAAOyhB,EAAcgB,OAGzB,IAAIN,EAAWG,EAAMH,SAErB,GAAiB,OAAbA,EAAmB,CAGnB,GAFAA,EAAWA,EAASniB,cAEhB6hB,EAAuBzf,QAAQ+f,IAAa,EAC5C,OAAOV,EAAcgB,OAGzB,IAAIL,EAAwB,OAAbG,EAAoBX,EAA8CD,EAEjF,GAAIO,EAAUC,EAAUC,GACpB,OAAOX,EAAcgB,OAMzB,GAHAL,EACiB,OAAbG,EAAoBT,EAAoCC,EAExDG,EAAUC,EAAUC,GACpB,OAAOX,EAAciB,cAE7B,CAEA,OAAOjB,EAAckB,SACzB,EAEAnlB,EAAQolB,iBAAmB,SAAS5lB,EAAMmlB,EAAUI,GAChD,IAAIvH,EAAM,YAgBV,OAdIhe,IACAge,GAAOhe,GAGPmlB,EACAnH,GAAO,WAAagH,EAAiBG,GAC9BI,IACPvH,GAAO,WAGM,OAAbuH,IACAvH,GAAO,IAAMgH,EAAiBO,IAG3BvH,CACX,yBC/JAzd,EAAOC,QAAU,CACbqlB,8BAA+B,oCAC/BC,0BAA2B,+BAC3BC,uBAAwB,4BACxBC,8CAA+C,wDAC/CC,qBAAsB,0BACtBC,0BAA2B,gCAC3BC,uBAAwB,4BACxBC,wBAAyB,4BACzBC,uCAAwC,+CACxCC,+BAAgC,sCAChCC,wCAAyC,+CACzCC,kBAAmB,uBACnBC,mCAAoC,yCACpCC,+BAAgC,oCAChCC,wCAAyC,8CACzCC,gDAAiD,uDACjDC,4CAA6C,mDAC7CC,iBAAkB,sBAClBC,SAAU,aACVC,sBAAuB,0BACvBC,mCAAoC,wCACpCC,2CAA4C,kDAC5CC,0DACI,mEACJC,2CAA4C,kDAC5CC,0CAA2C,iDAC3CC,0CAA2C,iDAC3CC,+BAAgC,oCAChCC,+BAAgC,oCAChCC,8BAA+B,mCAC/BC,8BAA+B,mCAC/BC,mBAAoB,wBACpBC,yBAA0B,6BAC1BC,+BAAgC,uCAChCC,aAAc,iBACdC,cAAe,iBACfC,4BAA6B,kCAC7BC,aAAc,iBACdC,yBAA0B,6BAC1BC,WAAY,eACZC,2CAA4C,mDAC5CC,uBAAwB,2BACxBC,4BAA6B,gCAC7BC,sCAAuC,4CACvCC,0BAA2B,8BAC3BC,+BAAgC,mCAChCC,mCAAoC,yCACpCC,mBAAoB,uBACpBC,yCAA0C,gDAC1CC,mBAAoB,sBACpBC,qBAAsB,yBACtBC,eAAgB,kBAChBC,iBAAkB,oBAClBC,iCAAkC,wCAClCC,sCAAuC,8CACvCC,kCAAmC,yCACnCC,yBAA0B,+BAC1BC,0BAA2B,+BAC3BC,gCAAiC,uCACjCC,qBAAsB,0BACtBC,mCAAoC,0EC7DxC,MAAMC,EAAYnnB,EAAQ,MACpBonB,EAAOpnB,EAAQ,MAGfqnB,EAAID,EAAKE,UACTC,EAAKH,EAAKI,WACVC,EAAQL,EAAKK,MAGbC,EACS,YADTA,EAEe,wBAMfC,EAA2B,CAC7BC,cAAe,gBACfC,cAAe,gBACfC,cAAe,gBACfC,YAAa,cACbC,SAAU,WACVC,cAAe,gBACfC,gBAAiB,kBACjBC,SAAU,WACVC,YAAa,cACbC,SAAU,WACVC,kBAAmB,oBACnBC,cAAe,gBACfC,aAAc,eACdC,iBAAkB,mBAClBC,UAAW,YACXC,WAAY,aACZC,SAAU,WACVC,aAAc,eACdC,kBAAmB,oBACnBC,aAAc,eACdC,YAAa,cACbC,YAAa,cACbC,iBAAkB,mBAClBC,UAAW,YACXC,WAAY,aACZC,WAAY,aACZC,oBAAqB,sBACrBC,iBAAkB,mBAClBC,aAAc,eACdC,UAAW,YACXC,UAAW,YACXC,UAAW,YACXC,cAAe,gBACfC,oBAAqB,sBACrBC,eAAgB,iBAChBC,KAAM,OACNC,KAAM,OACNC,YAAa,cACbC,UAAW,YACXC,mBAAoB,qBACpBC,iBAAkB,mBAClBC,iBAAkB,mBAClBC,iBAAkB,mBAClBC,aAAc,eACdC,YAAa,cACbC,aAAc,eACdC,YAAa,cACbC,aAAc,eACdC,eAAgB,iBAChBC,YAAa,cACbC,QAAS,UACTC,QAAS,UACTC,WAAY,aACZC,QAAS,UACTC,WAAY,aACZC,iBAAkB,mBAClBC,iBAAkB,mBAClBC,WAAY,cAGVC,EAA2B,CAC7B,gBAAiB,CAAEzS,OAAQ,QAASnb,KAAM,UAAW6tB,UAAWhE,EAAGiE,OACnE,gBAAiB,CAAE3S,OAAQ,QAASnb,KAAM,UAAW6tB,UAAWhE,EAAGiE,OACnE,aAAc,CAAE3S,OAAQ,QAASnb,KAAM,OAAQ6tB,UAAWhE,EAAGiE,OAC7D,aAAc,CAAE3S,OAAQ,QAASnb,KAAM,OAAQ6tB,UAAWhE,EAAGiE,OAC7D,aAAc,CAAE3S,OAAQ,QAASnb,KAAM,OAAQ6tB,UAAWhE,EAAGiE,OAC7D,cAAe,CAAE3S,OAAQ,QAASnb,KAAM,QAAS6tB,UAAWhE,EAAGiE,OAC/D,aAAc,CAAE3S,OAAQ,QAASnb,KAAM,OAAQ6tB,UAAWhE,EAAGiE,OAC7D,WAAY,CAAE3S,OAAQ,MAAOnb,KAAM,OAAQ6tB,UAAWhE,EAAGkE,KACzD,WAAY,CAAE5S,OAAQ,MAAOnb,KAAM,OAAQ6tB,UAAWhE,EAAGkE,KACzD,YAAa,CAAE5S,OAAQ,MAAOnb,KAAM,QAAS6tB,UAAWhE,EAAGkE,KAC3DhnB,MAAO,CAAEoU,OAAQ,GAAInb,KAAM,QAAS6tB,UAAWhE,EAAGmE,OAClD,cAAe,CAAE7S,OAAQ,QAASnb,KAAM,QAAS6tB,UAAWhE,EAAGmE,QAI7DC,EAAgCztB,EAAQytB,6BAA+B,CACzEC,SAAU,WACVC,YAAa,cACbC,aAAc,eACdC,aAAc,eACdC,cAAe,gBACfC,iBAAkB,mBAClBC,SAAU,WACVC,QAAS,UACTC,cAAe,gBACfC,oBAAqB,sBACrBC,YAAa,cACbC,iBAAkB,mBAClBC,kBAAmB,oBACnBC,kBAAmB,oBACnBC,eAAgB,iBAChBC,QAAS,UACTC,QAAS,UACTC,QAAS,UACTC,QAAS,UACTC,QAAS,UACTC,eAAgB,iBAChBC,QAAS,UACTC,QAAS,UACTC,YAAa,cACbC,aAAc,eACdC,SAAU,WACVC,aAAc,eACdC,mBAAoB,qBACpBC,YAAa,cACbC,OAAQ,SACRC,aAAc,eACdC,cAAe,gBACftF,SAAU,WACVuF,eAAgB,iBAChBC,eAAgB,iBAChBC,SAAU,YAIRC,EAAwB,CAC1B,CAAC1G,EAAE2G,IAAI,EACP,CAAC3G,EAAE4G,MAAM,EACT,CAAC5G,EAAE6G,aAAa,EAChB,CAAC7G,EAAE8G,OAAO,EACV,CAAC9G,EAAE+G,KAAK,EACR,CAAC/G,EAAEgH,SAAS,EACZ,CAAChH,EAAEiH,OAAO,EACV,CAACjH,EAAEkH,KAAK,EACR,CAAClH,EAAEmH,MAAM,EACT,CAACnH,EAAEoH,KAAK,EACR,CAACpH,EAAEqH,KAAK,EACR,CAACrH,EAAEsH,KAAK,EACR,CAACtH,EAAEuH,QAAQ,EACX,CAACvH,EAAEwH,KAAK,EACR,CAACxH,EAAEyH,KAAK,EACR,CAACzH,EAAE0H,KAAK,EACR,CAAC1H,EAAE2H,KAAK,EACR,CAAC3H,EAAE4H,KAAK,EACR,CAAC5H,EAAE6H,KAAK,EACR,CAAC7H,EAAE8H,OAAO,EACV,CAAC9H,EAAE+H,KAAK,EACR,CAAC/H,EAAEgI,IAAI,EACP,CAAChI,EAAEiI,MAAM,EACT,CAACjI,EAAEkI,KAAK,EACR,CAAClI,EAAEmI,UAAU,EACb,CAACnI,EAAEoI,OAAO,EACV,CAACpI,EAAEqI,OAAO,EACV,CAACrI,EAAEsI,OAAO,EACV,CAACtI,EAAEuI,KAAK,EACR,CAACvI,EAAEwI,IAAI,EACP,CAACxI,EAAEyI,MAAM,EACT,CAACzI,EAAE0I,OAAO,EACV,CAAC1I,EAAE2I,IAAI,EACP,CAAC3I,EAAE4I,QAAQ,EACX,CAAC5I,EAAE6I,OAAO,EACV,CAAC7I,EAAE8I,SAAS,EACZ,CAAC9I,EAAE+I,SAAS,EACZ,CAAC/I,EAAEgJ,MAAM,EACT,CAAChJ,EAAEiJ,MAAM,EACT,CAACjJ,EAAEkJ,QAAQ,EACX,CAAClJ,EAAEmJ,KAAK,EACR,CAACnJ,EAAEoJ,IAAI,EACP,CAACpJ,EAAEqJ,KAAK,EACR,CAACrJ,EAAEsJ,MAAM,GAIbzyB,EAAQ0yB,WAAa,SAASC,GAC1B,MAAMC,EAAKD,EAAcjxB,QAOzB,SALIkxB,IAAOzJ,EAAE0J,OAC+C,OAAvD5J,EAAU6J,aAAaH,EAAepJ,EAAMwJ,QACa,OAAtD9J,EAAU6J,aAAaH,EAAepJ,EAAMyJ,OACU,OAAtD/J,EAAU6J,aAAaH,EAAepJ,EAAM0J,SAEpBpD,EAAsB+C,EAC1D,EAGA5yB,EAAQkzB,uBAAyB,SAASpO,GACtC,IAAK,IAAIvkB,EAAI,EAAGA,EAAIukB,EAAMqO,MAAM3yB,OAAQD,IACpC,GArLoB,kBAqLhBukB,EAAMqO,MAAM5yB,GAAGf,KAA8B,CAC7CslB,EAAMqO,MAAM5yB,GAAGf,KArLU,gBAsLzB,KACJ,CAER,EAEAQ,EAAQozB,oBAAsB,SAAStO,GACnC,IAAK,IAAIvkB,EAAI,EAAGA,EAAIukB,EAAMqO,MAAM3yB,OAAQD,IAAK,CACzC,MAAM8yB,EAAmB5J,EAAyB3E,EAAMqO,MAAM5yB,GAAGf,MAE7D6zB,IACAvO,EAAMqO,MAAM5yB,GAAGf,KAAO6zB,EAE9B,CACJ,EAEArzB,EAAQszB,oBAAsB,SAASxO,GACnC,IAAK,IAAIvkB,EAAI,EAAGA,EAAIukB,EAAMqO,MAAM3yB,OAAQD,IAAK,CACzC,MAAMgzB,EAAoBnG,EAAyBtI,EAAMqO,MAAM5yB,GAAGf,MAE9D+zB,IACAzO,EAAMqO,MAAM5yB,GAAGoa,OAAS4Y,EAAkB5Y,OAC1CmK,EAAMqO,MAAM5yB,GAAGf,KAAO+zB,EAAkB/zB,KACxCslB,EAAMqO,MAAM5yB,GAAG8sB,UAAYkG,EAAkBlG,UAErD,CACJ,EAEArtB,EAAQwzB,sBAAwB,SAAS1O,GACrC,MAAM2O,EAAkBhG,EAA6B3I,EAAMpjB,SAEvD+xB,IACA3O,EAAMpjB,QAAU+xB,EAExB,EAqBAzzB,EAAQ0zB,mBAAqB,SAASd,EAAIe,EAAIR,EAAOS,GACjD,QAAMA,GAAaA,IAAcvK,EAAGH,OAfxC,SAAgC0J,EAAIe,EAAIR,GACpC,GAAIQ,IAAOtK,EAAGwK,QAAUjB,IAAOzJ,EAAE2K,eAC7B,IAAK,IAAIvzB,EAAI,EAAGA,EAAI4yB,EAAM3yB,OAAQD,IAC9B,GAAI4yB,EAAM5yB,GAAGf,OAAS+pB,EAAMwK,SAAU,CAClC,MAAMp0B,EAAQwzB,EAAM5yB,GAAGZ,MAAM6C,cAE7B,OAAO7C,IAAU6pB,GAAwB7pB,IAAU6pB,CACvD,CAIR,OAAOmK,IAAOtK,EAAG2K,MAAQpB,IAAOzJ,EAAE8K,gBAAkBrB,IAAOzJ,EAAE+K,MAAQtB,IAAOzJ,EAAEgL,MAClF,CAGiDC,CAAuBxB,EAAIe,EAAIR,OAItES,GAAaA,IAAcvK,EAAGwK,SAvBxC,SAAsCjB,EAAIe,GACtC,OAAOA,IAAOtK,EAAGwK,SAAWjB,IAAOzJ,EAAEkL,IAAMzB,IAAOzJ,EAAEmL,IAAM1B,IAAOzJ,EAAEoL,IAAM3B,IAAOzJ,EAAEqL,IAAM5B,IAAOzJ,EAAEsL,MACrG,CAqBmDC,CAA6B9B,EAAIe,GAKpF,6BCtQA,MAAMtK,EAAMrpB,EAAQspB,WAAa,CAC7BJ,KAAM,+BACN2K,OAAQ,qCACRG,IAAK,6BACL1G,MAAO,+BACPC,IAAK,uCACLC,MAAO,iCAGXxtB,EAAQupB,MAAQ,CACZoL,KAAM,OACNC,OAAQ,SACRb,SAAU,WACVc,OAAQ,SACRC,KAAM,OACN/B,MAAO,QACPE,KAAM,OACND,KAAM,QAGVhzB,EAAQikB,cAAgB,CACpBkB,UAAW,YACXF,OAAQ,SACRC,eAAgB,kBAGpB,MAAMiE,EAAKnpB,EAAQopB,UAAY,CAC3B2L,EAAG,IACHC,QAAS,UACTlB,eAAgB,iBAChBmB,OAAQ,SACRC,KAAM,OACNC,QAAS,UACTC,MAAO,QAEPtF,EAAG,IACHuF,KAAM,OACNC,SAAU,WACVC,QAAS,UACTxF,IAAK,MACLC,WAAY,aACZC,KAAM,OACNC,GAAI,KACJsF,OAAQ,SAERC,QAAS,UACTtF,OAAQ,SACRC,KAAM,OACNsF,IAAK,MACLC,SAAU,WAEVtF,GAAI,KACJ6D,KAAM,OACN0B,QAAS,UACTC,OAAQ,SACRC,IAAK,MACLxF,IAAK,MACLC,GAAI,KACJC,GAAI,KAEJC,GAAI,KACJC,MAAO,QAEPqF,SAAU,WACVC,WAAY,aACZC,OAAQ,SACRpD,KAAM,OACNqD,OAAQ,SACRjC,eAAgB,gBAChBkC,KAAM,OACNC,MAAO,QACPC,SAAU,WAEV1F,GAAI,KACJC,GAAI,KACJC,GAAI,KACJC,GAAI,KACJC,GAAI,KACJC,GAAI,KACJC,KAAM,OACNqF,OAAQ,SACRC,OAAQ,SACRrF,GAAI,KACJhI,KAAM,OAENiI,EAAG,IACHC,IAAK,MACLoF,MAAO,QACPC,MAAO,QACPC,OAAQ,SAERC,OAAQ,SAERC,MAAO,QACPvF,GAAI,KACJwF,KAAM,OACNvF,QAAS,UAETwF,KAAM,OACNC,WAAY,aACZC,QAAS,UACTC,KAAM,OACN1F,KAAM,OACNC,KAAM,OACN0F,OAAQ,SACR7C,GAAI,KACJC,GAAI,KACJC,GAAI,KACJC,GAAI,KACJC,MAAO,QAEP0C,IAAK,MACL1F,KAAM,OACN2F,SAAU,WACVC,QAAS,UACTC,SAAU,WAEVC,OAAQ,SACR7F,GAAI,KACJ8F,SAAU,WACVC,OAAQ,SAER9F,EAAG,IACH+F,MAAO,QACPC,UAAW,YACX/F,IAAK,MAELgG,GAAI,KACJC,GAAI,KACJC,GAAI,KACJC,IAAK,MACLlG,KAAM,OAENC,EAAG,IACHkG,OAAQ,SACRC,QAAS,UACTC,OAAQ,SACRC,OAAQ,SACRpG,MAAO,QACPC,KAAM,OACNE,OAAQ,SACRD,OAAQ,SACRmG,MAAO,QACPjG,IAAK,MACLkG,QAAS,UACTjG,IAAK,MAELC,MAAO,QACPiG,MAAO,QACPC,SAAU,WACVC,SAAU,WACVC,MAAO,QACPC,GAAI,KACJC,GAAI,KACJC,MAAO,QACPzE,MAAO,QACP0E,GAAI,KACJC,MAAO,QACPxG,GAAI,KAEJC,EAAG,IACHC,GAAI,KAEJwB,IAAK,MAELvB,IAAK,MAELsG,IAAK,MAELC,IAAK,OAGTh5B,EAAQi5B,iBAAmB,CACvB,CAAC5P,EAAGH,MAAO,CACP,CAACC,EAAE6L,UAAU,EACb,CAAC7L,EAAE8L,SAAS,EACZ,CAAC9L,EAAE+L,OAAO,EACV,CAAC/L,EAAEgM,UAAU,EACb,CAAChM,EAAEiM,QAAQ,EACX,CAACjM,EAAEkM,OAAO,EACV,CAAClM,EAAEmM,WAAW,EACd,CAACnM,EAAEoM,UAAU,EACb,CAACpM,EAAE6G,aAAa,EAChB,CAAC7G,EAAE8G,OAAO,EACV,CAAC9G,EAAE+G,KAAK,EACR,CAAC/G,EAAEqM,SAAS,EACZ,CAACrM,EAAEsM,UAAU,EACb,CAACtM,EAAEgH,SAAS,EACZ,CAAChH,EAAEuM,MAAM,EACT,CAACvM,EAAEwM,WAAW,EACd,CAACxM,EAAEkH,KAAK,EACR,CAAClH,EAAEyM,UAAU,EACb,CAACzM,EAAE2M,MAAM,EACT,CAAC3M,EAAEmH,MAAM,EACT,CAACnH,EAAEoH,KAAK,EACR,CAACpH,EAAEqH,KAAK,EACR,CAACrH,EAAEuH,QAAQ,EACX,CAACvH,EAAE4M,WAAW,EACd,CAAC5M,EAAE6M,aAAa,EAChB,CAAC7M,EAAE8M,SAAS,EACZ,CAAC9M,EAAE+M,SAAS,EACZ,CAAC/M,EAAEgN,OAAO,EACV,CAAChN,EAAEiN,QAAQ,EACX,CAACjN,EAAEkN,WAAW,EACd,CAAClN,EAAEwH,KAAK,EACR,CAACxH,EAAEyH,KAAK,EACR,CAACzH,EAAE0H,KAAK,EACR,CAAC1H,EAAE2H,KAAK,EACR,CAAC3H,EAAE4H,KAAK,EACR,CAAC5H,EAAE6H,KAAK,EACR,CAAC7H,EAAE8H,OAAO,EACV,CAAC9H,EAAEmN,SAAS,EACZ,CAACnN,EAAEoN,SAAS,EACZ,CAACpN,EAAE+H,KAAK,EACR,CAAC/H,EAAED,OAAO,EACV,CAACC,EAAEuN,SAAS,EACZ,CAACvN,EAAEiI,MAAM,EACT,CAACjI,EAAEsN,QAAQ,EACX,CAACtN,EAAEkI,KAAK,EACR,CAAClI,EAAE0N,OAAO,EACV,CAAC1N,EAAEmI,UAAU,EACb,CAACnI,EAAE2N,OAAO,EACV,CAAC3N,EAAE6N,UAAU,EACb,CAAC7N,EAAEoI,OAAO,EACV,CAACpI,EAAEqI,OAAO,EACV,CAACrI,EAAEgO,MAAM,EACT,CAAChO,EAAEkO,UAAU,EACb,CAAClO,EAAEiO,WAAW,EACd,CAACjO,EAAEmO,WAAW,EACd,CAACnO,EAAEoO,SAAS,EACZ,CAACpO,EAAEuI,KAAK,EACR,CAACvI,EAAEwI,IAAI,EACP,CAACxI,EAAEuO,QAAQ,EACX,CAACvO,EAAEwO,YAAY,EACf,CAACxO,EAAEyI,MAAM,EACT,CAACzI,EAAE6O,SAAS,EACZ,CAAC7O,EAAE8O,UAAU,EACb,CAAC9O,EAAE+O,SAAS,EACZ,CAAC/O,EAAEgP,SAAS,EACZ,CAAChP,EAAEiP,QAAQ,EACX,CAACjP,EAAEkP,UAAU,EACb,CAAClP,EAAEkJ,QAAQ,EACX,CAAClJ,EAAEmP,QAAQ,EACX,CAACnP,EAAEuP,KAAK,EACR,CAACvP,EAAEoP,WAAW,EACd,CAACpP,EAAEqP,WAAW,EACd,CAACrP,EAAEsP,QAAQ,EACX,CAACtP,EAAEwP,KAAK,EACR,CAACxP,EAAEyP,QAAQ,EACX,CAACzP,EAAEgL,QAAQ,EACX,CAAChL,EAAE0P,KAAK,EACR,CAAC1P,EAAE2P,QAAQ,EACX,CAAC3P,EAAEqJ,KAAK,EACR,CAACrJ,EAAE4P,MAAM,EACT,CAAC5P,EAAE6P,MAAM,GAEb,CAAC3P,EAAGwK,QAAS,CACT,CAAC1K,EAAEkL,KAAK,EACR,CAAClL,EAAEmL,KAAK,EACR,CAACnL,EAAEoL,KAAK,EACR,CAACpL,EAAEqL,KAAK,EACR,CAACrL,EAAEsL,QAAQ,EACX,CAACtL,EAAE2K,iBAAiB,GAExB,CAACzK,EAAG2K,KAAM,CACN,CAAC7K,EAAEgL,QAAQ,EACX,CAAChL,EAAE8K,iBAAiB,EACpB,CAAC9K,EAAE+K,OAAO,+BC3QlB,MAAMgF,EAAwB,CAC1B,MACA,MACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,OACA,QACA,QACA,QACA,SAGJl5B,EAAQm5B,sBAAwB,SAEhCn5B,EAAQo5B,YAAc,CAClBC,KAAM,EACNC,KAAM,EACNC,WAAY,EACZC,gBAAiB,GACjBC,UAAW,GACXC,UAAW,GACXC,MAAO,GACPC,iBAAkB,GAClBC,eAAgB,GAChBC,YAAa,GACbC,UAAW,GACXC,WAAY,GACZC,aAAc,GACdC,QAAS,GACTC,QAAS,GACTC,QAAS,GACTC,UAAW,GACXC,eAAgB,GAChBC,YAAa,GACbC,kBAAmB,GACnBC,cAAe,GACfC,gBAAiB,GACjBC,gBAAiB,GACjBC,gBAAiB,GACjBC,gBAAiB,GACjBC,qBAAsB,GACtBC,aAAc,GACdC,cAAe,GACfC,cAAe,IACfC,cAAe,IACfC,cAAe,IACfhC,sBAAuB,OAG3Bn5B,EAAQo7B,qBAAuB,CAC3BC,iBAAkB,CAAC,GAAM,IACzBC,eAAgB,CAAC,GAAM,GAAM,GAAM,GAAM,GAAM,GAAM,IACrDC,mBAAoB,CAAC,GAAM,GAAM,GAAM,GAAM,GAAM,GAAM,IACzDC,cAAe,CAAC,IAAM,GAAM,IAAM,IAAM,IAAM,KAC9CC,cAAe,CAAC,GAAM,GAAM,GAAM,GAAM,GAAM,IAC9CC,cAAe,CAAC,GAAM,GAAM,GAAM,GAAM,GAAM,KAIlD17B,EAAQ27B,YAAc,SAASC,GAC3B,OAAOA,GAAM,OAAUA,GAAM,KACjC,EAEA57B,EAAQ67B,gBAAkB,SAASD,GAC/B,OAAOA,GAAM,OAAUA,GAAM,KACjC,EAEA57B,EAAQ87B,0BAA4B,SAASC,EAAKC,GAC9C,OAAwB,MAAhBD,EAAM,OAAkB,KAASC,CAC7C,EAGAh8B,EAAQi8B,mBAAqB,SAASL,GAClC,OACY,KAAPA,GAAsB,KAAPA,GAAsB,KAAPA,GAAsB,IAAPA,GAAsB,KAAPA,GAAeA,GAAM,GAAQA,GAAM,IAC/FA,GAAM,KAAQA,GAAM,GAE7B,EAEA57B,EAAQk8B,qBAAuB,SAASN,GACpC,OAAQA,GAAM,OAAUA,GAAM,OAAW1C,EAAsBt0B,QAAQg3B,IAAO,CAClF,+BC1GA,MAAMO,EAAQr6B,EAAQ,MAwCtB/B,EAAOC,QAtCP,cAAsCm8B,EAClC/8B,WAAAA,CAAYg9B,EAAMC,GACdC,MAAMF,GAEN5gB,KAAK+gB,WAAa,KAClB/gB,KAAKghB,aAAeH,EAAKG,YAC7B,CAEAC,iBAAAA,CAAkBle,GACdA,EAAIme,UAAYne,EAAIoe,QAAUnhB,KAAK+gB,WAAWve,KAC9CO,EAAIqe,SAAWre,EAAIse,OAASrhB,KAAK+gB,WAAWO,IAC5Cve,EAAIwe,YAAcxe,EAAIye,UAAYxhB,KAAK+gB,WAAW1Y,MACtD,CAEAoZ,YAAAA,CAAajlB,GACT,MAAMuG,EAAM,CACRvG,KAAMA,EACN0kB,WAAY,EACZE,UAAW,EACXG,aAAc,EACdJ,SAAU,EACVE,QAAS,EACTG,WAAY,GAGhBxhB,KAAKihB,kBAAkBle,GACvB/C,KAAKghB,aAAaje,EACtB,CAEA2e,qBAAAA,CAAsBC,GAClB,MAAO,CACHC,IAAAA,CAAKplB,GACDmlB,EAAIF,aAAajlB,EACrB,EAER,gCCrCJ,MAAMqlB,EAA0Bv7B,EAAQ,MAClCw7B,EAA+Bx7B,EAAQ,MACvCy7B,EAA6Bz7B,EAAQ,MACrCq6B,EAAQr6B,EAAQ,MA8CtB/B,EAAOC,QA5CP,cAAwCq9B,EACpCj+B,WAAAA,CAAYo+B,EAAQnB,GAChBC,MAAMkB,EAAQnB,GAEd7gB,KAAK6gB,KAAOA,EACZ7gB,KAAKiiB,MAAQ,KACbjiB,KAAKkiB,gBAAiB,CAC1B,CAEAjB,iBAAAA,CAAkBle,GACV/C,KAAKiiB,QACLlf,EAAIme,UAAYlhB,KAAKiiB,MAAMf,UAC3Bne,EAAIqe,SAAWphB,KAAKiiB,MAAMb,SAC1Bre,EAAIwe,YAAcvhB,KAAKiiB,MAAMV,YAE7Bxe,EAAIoe,QAAUnhB,KAAKkiB,eAAiBliB,KAAKiiB,MAAMf,UAAYlhB,KAAKiiB,MAAMd,QACtEpe,EAAIse,OAASrhB,KAAKkiB,eAAiBliB,KAAKiiB,MAAMb,SAAWphB,KAAKiiB,MAAMZ,OACpEte,EAAIye,UAAYxhB,KAAKkiB,eAAiBliB,KAAKiiB,MAAMV,YAAcvhB,KAAKiiB,MAAMT,UAElF,CAEAE,qBAAAA,CAAsBC,EAAKQ,GACvB,MAAO,CACHC,UAAAA,CAAW9d,EAAU+d,GACjBF,EAAKC,WAAW9+B,KAAK0c,KAAMsE,EAAU+d,GAErC1B,EAAM2B,QAAQtiB,KAAKuiB,UAAWT,EAA8BH,EAAId,MAChEF,EAAM2B,QAAQtiB,KAAKuiB,UAAWR,EAClC,EAEAS,kBAAAA,CAAmBlZ,GACfqY,EAAIM,MAAQ3Y,EAAMmZ,SAElBN,EAAKK,mBAAmBl/B,KAAK0c,KAAMsJ,EACvC,EAEAsY,IAAAA,CAAKplB,EAAMzY,GACP49B,EAAIO,eAAiBn+B,GAAWA,EAAQ2+B,YACxCf,EAAIF,aAAajlB,EACrB,EAER,+BC9CJ,MAAMqlB,EAA0Bv7B,EAAQ,MAClCq8B,EAAoCr8B,EAAQ,MAC5Cq6B,EAAQr6B,EAAQ,MAmBtB/B,EAAOC,QAjBP,cAA8Cq9B,EAC1Cj+B,WAAAA,CAAYg/B,EAAc/B,GACtBC,MAAM8B,EAAc/B,GAEpB7gB,KAAK+gB,WAAaJ,EAAM2B,QAAQM,EAAcD,GAC9C3iB,KAAK6iB,eAAiB,CAC1B,CAEApB,YAAAA,CAAajlB,GAELwD,KAAK6iB,gBAAkB7iB,KAAK+gB,WAAW1Y,SACvCrI,KAAK6iB,cAAgB7iB,KAAK+gB,WAAW1Y,OACrCyY,MAAMW,aAAajlB,GAE3B,gCClBJ,MAAMqlB,EAA0Bv7B,EAAQ,MAClCw8B,EAAkCx8B,EAAQ,KAC1Cq6B,EAAQr6B,EAAQ,MAYtB/B,EAAOC,QAVP,cAA2Cq9B,EACvCj+B,WAAAA,CAAY2+B,EAAW1B,GACnBC,MAAMyB,EAAW1B,GAEjB,MAAMkC,EAAoBpC,EAAM2B,QAAQC,EAAUK,aAAcE,EAAiCjC,GAEjG7gB,KAAK+gB,WAAagC,EAAkBhC,UACxC,gCCXJ,MAAMJ,EAAQr6B,EAAQ,MAgCtB/B,EAAOC,QA9BP,cAAgDm8B,EAC5C/8B,WAAAA,CAAYo/B,EAAOnC,GACfC,MAAMkC,GAENhjB,KAAKijB,UAAYpC,EAAKoC,SAC1B,CAEAvB,qBAAAA,CAAsBC,EAAKQ,GACvB,MAAO,CACHe,GAAAA,GACIvB,EAAIsB,UAAUjjB,KAAKmjB,SACnBhB,EAAKe,IAAI5/B,KAAK0c,KAClB,EAEAojB,qBAAAA,GACI,IAAK,IAAIr+B,EAAIib,KAAKqjB,SAAUt+B,EAAI,EAAGA,IAC/B48B,EAAIsB,UAAUjjB,KAAKsjB,MAAMv+B,IAG7Bo9B,EAAKiB,sBAAsB9/B,KAAK0c,KACpC,EAEAujB,MAAAA,CAAOC,GACH7B,EAAIsB,UAAUjjB,KAAKmjB,SACnBhB,EAAKoB,OAAOjgC,KAAK0c,KAAMwjB,EAC3B,EAER,+BC7BJ,MAAM7C,EAAQr6B,EAAQ,MAChBmnB,EAAYnnB,EAAQ,MACpBy7B,EAA6Bz7B,EAAQ,MACrCm9B,EAAoCn9B,EAAQ,MAI5CqnB,EAHOrnB,EAAQ,MAGNsnB,UAqNfrpB,EAAOC,QAnNP,cAAsCm8B,EAClC/8B,WAAAA,CAAYo+B,GACRlB,MAAMkB,GAENhiB,KAAKgiB,OAASA,EACdhiB,KAAK0jB,YAAc1jB,KAAKgiB,OAAO0B,YAC/B1jB,KAAK+gB,WAAa,KAClB/gB,KAAK2jB,kBAAoB,KACzB3jB,KAAK4jB,4BAA8B,KACnC5jB,KAAK6jB,aAAe,IACxB,CAEAC,iBAAAA,CAAkBN,GACd,IAAIO,EAAM,KAEN/jB,KAAK2jB,oBACLI,EAAMphC,OAAOqhC,OAAO,CAAC,EAAGhkB,KAAK2jB,kBAAkBlB,UAC/CsB,EAAIE,SAAWjkB,KAAK2jB,kBAAkBlB,UAG1CziB,KAAK0jB,YAAYQ,0BAA0BV,EAASO,EACxD,CAEAI,eAAAA,CAAgBX,EAASY,GAGrB,GAFYpkB,KAAK0jB,YAAYW,0BAA0Bb,IAG/CY,EAAa3B,SAAU,CACvB,MAAMR,EAAQmC,EAAa3B,SACrBrL,EAAKpX,KAAK0jB,YAAYY,WAAWd,GAKjCe,EAAS,CAAC,EADQH,EAAan+B,OAASwnB,EAAU+W,eAAiBpN,IAAOgN,EAAal+B,SAGzFq+B,EAAOE,OAAS9hC,OAAOqhC,OAAO,CAAC,EAAG/B,GAClCsC,EAAOpD,QAAUc,EAAMd,QACvBoD,EAAOlD,OAASY,EAAMZ,OACtBkD,EAAO/C,UAAYS,EAAMT,YAEzB+C,EAAOpD,QAAUc,EAAMf,UACvBqD,EAAOlD,OAASY,EAAMb,SACtBmD,EAAO/C,UAAYS,EAAMV,aAG7BvhB,KAAK0jB,YAAYgB,6BAA6BlB,EAASe,EAC3D,CAER,CAEA7C,qBAAAA,CAAsBC,EAAKQ,GACvB,MAAO,CACHC,UAAAA,CAAW9d,EAAU+d,GACjBF,EAAKC,WAAW9+B,KAAK0c,KAAMsE,EAAU+d,GAErCV,EAAIgC,kBAAoB,KACxBhC,EAAIiC,4BAA8B,KAClCjC,EAAIkC,aAAe,KAEnB,MAAMc,EAAiBhE,EAAM2B,QAAQtiB,KAAKuiB,UAAWR,GAErDJ,EAAIZ,WAAa4D,EAAe5D,WAEhCJ,EAAM2B,QAAQtiB,KAAK4kB,aAAcnB,EAAmC,CAChER,UAAW,SAASO,GAChB7B,EAAIwC,gBAAgBX,EAAS7B,EAAIkC,aACrC,GAER,EAEAgB,eAAAA,CAAgBC,GACZ3C,EAAK0C,gBAAgBvhC,KAAK0c,KAAM8kB,GAIhC,IAAK,IAAI//B,EAAIib,KAAK4kB,aAAavB,SAAUt+B,GAAK,EAAGA,IAC7C48B,EAAIwC,gBAAgBnkB,KAAK4kB,aAAatB,MAAMv+B,GAAI48B,EAAIkC,aAE5D,EAGAkB,6BAAAA,CAA8Bzb,GAC1BqY,EAAIkC,aAAeva,EACnB6Y,EAAK4C,8BAA8BzhC,KAAK0c,KAAMsJ,EAClD,EAEA0b,aAAAA,CAAc1b,GACVqY,EAAIkC,aAAeva,EACnB6Y,EAAK6C,cAAc1hC,KAAK0c,KAAMsJ,GAQ9B,GAHIA,EAAMrjB,OAASwnB,EAAU+W,gBACxBlb,EAAMpjB,UAAYynB,EAAED,MAASpE,EAAMpjB,UAAYynB,EAAE8G,MAAQzU,KAAK4kB,aAAaK,WAAWtX,EAAE8G,OAGzF,IAAK,IAAI1vB,EAAIib,KAAK4kB,aAAavB,SAAUt+B,GAAK,EAAGA,IAAK,CAClD,MAAMy+B,EAAUxjB,KAAK4kB,aAAatB,MAAMv+B,GAExC,GAAIib,KAAK0jB,YAAYY,WAAWd,KAAala,EAAMpjB,QAAS,CACxDy7B,EAAIwC,gBAAgBX,EAASla,GAC7B,KACJ,CACJ,CAER,EAGA4b,gBAAAA,CAAiB5b,GACb6Y,EAAK+C,iBAAiB5hC,KAAK0c,KAAMsJ,GAEjC,MAAM6b,EAAmBnlB,KAAK0jB,YAAY0B,cAAcplB,KAAKsE,UACvD+gB,EAAWF,EAAiBngC,OAElC,IAAK,IAAID,EAAI,EAAGA,EAAIsgC,EAAUtgC,IAAK,CAC/B,MAAMoC,EAAOg+B,EAAiBpgC,GAE9B,GAAIib,KAAK0jB,YAAY4B,mBAAmBn+B,GAAO,CAC3C6Y,KAAK0jB,YAAYQ,0BAA0B/8B,EAAMmiB,EAAMmZ,UACvD,KACJ,CACJ,CACJ,EAGA8C,oBAAAA,CAAqB/B,GAGjB7B,EAAImC,kBAAkBN,GACtB7B,EAAIgC,kBAAoB,KACxBxB,EAAKoD,qBAAqBjiC,KAAK0c,KAAMwjB,EACzC,EAEAgC,cAAAA,CAAelc,EAAOmc,GAClB9D,EAAIgC,kBAAoBra,EACxB6Y,EAAKqD,eAAeliC,KAAK0c,KAAMsJ,EAAOmc,EAC1C,EAEAC,cAAAA,CAAepc,EAAOmc,GAClB9D,EAAIgC,kBAAoBra,EACxB6Y,EAAKuD,eAAepiC,KAAK0c,KAAMsJ,EAAOmc,EAC1C,EAEAE,eAAAA,CAAgBrc,GACZqY,EAAIgC,kBAAoBra,EACxB6Y,EAAKwD,gBAAgBriC,KAAK0c,KAAMsJ,GAEhC,MAAMsc,EAAc5lB,KAAK0jB,YAAYmC,mBAAmB7lB,KAAK4kB,aAAazB,SAE1EnjB,KAAK0jB,YAAYQ,0BAA0B0B,EAAa,KAC5D,EAEAE,sBAAAA,GACI3D,EAAK2D,uBAAuBxiC,KAAK0c,MACjCA,KAAK0jB,YAAYQ,0BAA0BlkB,KAAK4kB,aAAazB,QAAS,KAC1E,EAGA4C,kBAAAA,CAAmBzc,EAAO0c,GACtB7D,EAAK4D,mBAAmBziC,KAAK0c,KAAMsJ,EAAO0c,GAE1C,MAAM5/B,EAAW4Z,KAAK0jB,YAAY0B,cAAcY,GAC1CC,EAAc7/B,EAASA,EAASpB,OAAS,GAE/Cgb,KAAK0jB,YAAYQ,0BAA0B+B,EAAa3c,EAAMmZ,SAClE,EAGAyD,4BAAAA,GAKI,OAFAvE,EAAIiC,4BAA8BzB,EAAK+D,6BAA6B5iC,KAAK0c,MAElE2hB,EAAIiC,2BACf,EAEAuC,iBAAAA,CAAkB7c,GACd6Y,EAAKgE,kBAAkB7iC,KAAK0c,KAAMsJ,GAElC,MAAM8c,EAAkBpmB,KAAKqmB,iCAEvBL,EACDI,GAAmBzE,EAAIiC,4BAA4BoC,QACpDhmB,KAAK4kB,aAAa0B,oBAClBtmB,KAAK4kB,aAAazB,QAEhBoD,EAAWvmB,KAAK0jB,YAAY0B,cAAcY,GAE1CQ,EACFJ,GAAmBzE,EAAIiC,4BAA4B6C,cAC7CF,EAASn9B,QAAQu4B,EAAIiC,4BAA4B6C,eAAiB,EAClEF,EAASvhC,OAAS,EAEtB0hC,EAAWH,EAASC,GAK1B,GAFcxmB,KAAK0jB,YAAYW,0BAA0BqC,GAE9C,CACP,MAAM,QAAEvF,EAAO,OAAEE,EAAM,UAAEG,GAAclY,EAAMmZ,SAC7CziB,KAAK0jB,YAAYgB,6BAA6BgC,EAAU,CAAEvF,UAASE,SAAQG,aAC/E,MACIxhB,KAAK0jB,YAAYQ,0BAA0BwC,EAAUpd,EAAMmZ,SAEnE,EAER,gCCzNJ,MAAM9B,EAAQr6B,EAAQ,MAChBmnB,EAAYnnB,EAAQ,MACpBq8B,EAAoCr8B,EAAQ,MA6IlD/B,EAAOC,QA3IP,cAAyCm8B,EACrC/8B,WAAAA,CAAY2+B,GACRzB,MAAMyB,GAENviB,KAAKuiB,UAAYA,EACjBviB,KAAK+gB,WAAaJ,EAAM2B,QAAQC,EAAUK,aAAcD,GACxD3iB,KAAK2mB,oBAAsB,KAC3B3mB,KAAKiiB,MAAQ,IACjB,CAEA2E,mBAAAA,GACI,MAAO,CACH1F,UAAWlhB,KAAK+gB,WAAWve,KAC3B4e,SAAUphB,KAAK+gB,WAAWO,IAC1BC,YAAavhB,KAAK+gB,WAAW1Y,OAC7B8Y,SAAU,EACVE,QAAS,EACTG,WAAY,EAEpB,CAEAqF,8BAAAA,GACI7mB,KAAK2mB,oBAAoBxF,QAAUnhB,KAAK+gB,WAAWve,KACnDxC,KAAK2mB,oBAAoBtF,OAASrhB,KAAK+gB,WAAWO,IAClDthB,KAAK2mB,oBAAoBnF,UAAYxhB,KAAK+gB,WAAW1Y,OAErD,MAAMwb,EAAe7jB,KAAKuiB,UAAUsB,aAC9BiD,EAAc9mB,KAAKuiB,UAAUuE,YAE9BjD,EAAapB,SAAS9K,QACvBkM,EAAapB,SAAS9K,MAAQh1B,OAAOuI,OAAO,OAGhD24B,EAAapB,SAAS9K,MAAMmP,EAAY9iC,MAAQgc,KAAK2mB,mBACzD,CAEAjF,qBAAAA,CAAsBC,EAAKQ,GACvB,MAAM4E,EAAU,CACZC,oBAAAA,GACI7E,EAAK6E,qBAAqB1jC,KAAK0c,MAC/BA,KAAK6jB,aAAapB,SAAWd,EAAIM,KACrC,EAEAgF,kBAAAA,GACI9E,EAAK8E,mBAAmB3jC,KAAK0c,MAC7BA,KAAK6jB,aAAapB,SAAWd,EAAIM,KACrC,EAEAiF,mBAAAA,GACI/E,EAAK+E,oBAAoB5jC,KAAK0c,MAC9BA,KAAK6jB,aAAapB,SAAWd,EAAIM,KACrC,EAEAkF,mBAAAA,CAAoBC,GAChBjF,EAAKgF,oBAAoB7jC,KAAK0c,KAAMonB,GACpCpnB,KAAK6jB,aAAapB,SAAWd,EAAIM,KACrC,EAEAoF,qBAAAA,CAAsBphC,EAAMqhC,GACxBnF,EAAKkF,sBAAsB/jC,KAAK0c,KAAM/Z,EAAMqhC,GAC5CtnB,KAAKunB,sBAAsB9E,SAAWd,EAAIM,KAC9C,EAEAuF,eAAAA,GACIrF,EAAKqF,gBAAgBlkC,KAAK0c,MAC1BA,KAAK6jB,aAAapB,SAAWd,EAAIiF,qBACrC,EAEAa,WAAAA,CAAYC,GACRvF,EAAKsF,YAAYnkC,KAAK0c,KAAM0nB,GAC5B/F,EAAIgF,oBAAsBhF,EAAIiF,qBAClC,EAEAe,cAAAA,CAAeC,GACXzF,EAAKwF,eAAerkC,KAAK0c,KAAM4nB,GAC/BjG,EAAIkF,gCACR,EAEAgB,eAAAA,CAAgBD,GACZzF,EAAK0F,gBAAgBvkC,KAAK0c,KAAM4nB,GAChCjG,EAAIkF,gCACR,EAEAiB,iBAAAA,GACI,MAAM7F,EAAQjiB,KAAK6jB,aAAapB,SAI5BziB,KAAKunB,wBACLvnB,KAAKunB,sBAAsB9E,SAAStB,QAAUc,EAAMf,UACpDlhB,KAAKunB,sBAAsB9E,SAASpB,OAASY,EAAMb,SACnDphB,KAAKunB,sBAAsB9E,SAASjB,UAAYS,EAAMV,aAGtDvhB,KAAK6jB,aAAa59B,OAASwnB,EAAUsa,WACrC9F,EAAMd,QAAUc,EAAMf,UACtBe,EAAMZ,OAASY,EAAMb,SACrBa,EAAMT,UAAYS,EAAMV,cAExBU,EAAMd,QAAUQ,EAAIZ,WAAWve,KAC/Byf,EAAMZ,OAASM,EAAIZ,WAAWO,IAAM,EACpCW,EAAMT,UAAYG,EAAIZ,WAAW1Y,OAAS,GAG9C8Z,EAAK2F,kBAAkBxkC,KAAK0c,KAChC,EAEAgoB,0BAAAA,GACI,MAAM/F,EAAQjiB,KAAKunB,uBAAyBvnB,KAAKunB,sBAAsB9E,SAOnER,IAA8B,IAArBA,EAAMT,YACfS,EAAMd,QAAUQ,EAAIZ,WAAWve,KAC/Byf,EAAMZ,OAASM,EAAIZ,WAAWO,IAC9BW,EAAMT,UAAYG,EAAIZ,WAAW1Y,QAGrC8Z,EAAK6F,2BAA2B1kC,KAAK0c,KACzC,GAaJ,OATArd,OAAOslC,KAAKxa,EAAUya,MAAMC,SAAQC,IAChC,MAAMC,EAAQ5a,EAAUya,KAAKE,GAE7BrB,EAAQsB,GAAS,SAASjI,GACtBuB,EAAIM,MAAQN,EAAIiF,sBAChBzE,EAAKkG,GAAO/kC,KAAK0c,KAAMogB,EAC3B,CAAC,IAGE2G,CACX,gCC5IJ,MAAMpG,EAAQr6B,EAAQ,MA6DtB/B,EAAOC,QA3DP,cAAgDm8B,EAC5C/8B,WAAAA,CAAYg/B,GACR9B,MAAM8B,GAEN5iB,KAAK4iB,aAAeA,EACpB5iB,KAAKsoB,OAAQ,EACbtoB,KAAKuoB,aAAe,EACpBvoB,KAAKwoB,kBAAoB,EAEzBxoB,KAAKqI,OAAS,EACdrI,KAAKshB,IAAM,EACXthB,KAAKwC,KAAO,CAChB,CAEAkf,qBAAAA,CAAsBC,EAAKQ,GACvB,MAAO,CACHsG,OAAAA,GACI,MAAMjlB,EAAMxD,KAAKwD,IAAM,EACjB8jB,EAAKtnB,KAAKlX,KAAK0a,GAgBrB,OAbIme,EAAI2G,QACJ3G,EAAI2G,OAAQ,EACZ3G,EAAInf,OACJmf,EAAI4G,aAAe/kB,IAGZ,OAAP8jB,GAAuB,OAAPA,GAAsC,OAAvBtnB,KAAKlX,KAAK0a,EAAM,MAC/Cme,EAAI2G,OAAQ,GAGhB3G,EAAIL,IAAM9d,EAAMme,EAAI4G,aAAe,EACnC5G,EAAItZ,OAASsZ,EAAI6G,kBAAoBhlB,EAE9B2e,EAAKsG,QAAQnlC,KAAK0c,KAC7B,EAEA0oB,OAAAA,GACIvG,EAAKuG,QAAQplC,KAAK0c,MAElB2hB,EAAI2G,OAAQ,EACZ3G,EAAIL,IAAMthB,KAAKwD,IAAMme,EAAI4G,aAAe,CAC5C,EAEAI,eAAAA,GACI,MAAMC,EAAU5oB,KAAKwD,IAErB2e,EAAKwG,gBAAgBrlC,KAAK0c,MAE1B,MAAM6oB,EAAYD,EAAU5oB,KAAKwD,IAEjCme,EAAI4G,cAAgBM,EACpBlH,EAAI6G,mBAAqBK,EACzBlH,EAAItZ,OAASsZ,EAAI6G,kBAAoBxoB,KAAKwD,GAC9C,EAER,yBCtDJ,MAAMslB,EACFllC,WAAAA,CAAY8/B,GACR1jB,KAAKhb,OAAS,EACdgb,KAAK+oB,QAAU,GACf/oB,KAAK0jB,YAAcA,EACnB1jB,KAAKgpB,SAAW,IACpB,CAKAC,8BAAAA,CAA+BC,GAC3B,MAAMC,EAAa,GAEnB,GAAInpB,KAAKhb,QAjBS,EAiBoB,CAClC,MAAMokC,EAAgBppB,KAAK0jB,YAAY2F,YAAYH,GAAYlkC,OACzDskC,EAAYtpB,KAAK0jB,YAAYY,WAAW4E,GACxCK,EAAiBvpB,KAAK0jB,YAAY8F,gBAAgBN,GAExD,IAAK,IAAInkC,EAAIib,KAAKhb,OAAS,EAAGD,GAAK,EAAGA,IAAK,CACvC,MAAM0kC,EAAQzpB,KAAK+oB,QAAQhkC,GAE3B,GAAI0kC,EAAMxjC,OAAS6iC,EAAsBY,aACrC,MAGJ,MAAMlG,EAAUiG,EAAMjG,QAChBmG,EAAe3pB,KAAK0jB,YAAY2F,YAAY7F,GAG9CxjB,KAAK0jB,YAAYY,WAAWd,KAAa8F,GACzCtpB,KAAK0jB,YAAY8F,gBAAgBhG,KAAa+F,GAC9CI,EAAa3kC,SAAWokC,GAGxBD,EAAWnjC,KAAK,CAAE4jC,IAAK7kC,EAAG4yB,MAAOgS,GAEzC,CACJ,CAEA,OAAOR,EAAWnkC,OA3CA,EA2C6B,GAAKmkC,CACxD,CAEAU,uBAAAA,CAAwBX,GACpB,MAAMC,EAAanpB,KAAKipB,+BAA+BC,GACvD,IAAIY,EAAUX,EAAWnkC,OAEzB,GAAI8kC,EAAS,CACT,MAAMC,EAAU/pB,KAAK0jB,YAAY2F,YAAYH,GACvCE,EAAgBW,EAAQ/kC,OACxBglC,EAAarnC,OAAOuI,OAAO,MAGjC,IAAK,IAAInG,EAAI,EAAGA,EAAIqkC,EAAerkC,IAAK,CACpC,MAAMklC,EAASF,EAAQhlC,GAEvBilC,EAAWC,EAAOjmC,MAAQimC,EAAO9lC,KACrC,CAEA,IAAK,IAAIY,EAAI,EAAGA,EAAIqkC,EAAerkC,IAC/B,IAAK,IAAImlC,EAAI,EAAGA,EAAIJ,EAASI,IAAK,CAC9B,MAAMC,EAAQhB,EAAWe,GAAGvS,MAAM5yB,GAOlC,GALIilC,EAAWG,EAAMnmC,QAAUmmC,EAAMhmC,QACjCglC,EAAWiB,OAAOF,EAAG,GACrBJ,KAGAX,EAAWnkC,OAvET,EAwEF,MAER,CAIJ,IAAK,IAAID,EAAI+kC,EAAU,EAAG/kC,GAAKslC,EAAuBtlC,IAClDib,KAAK+oB,QAAQqB,OAAOjB,EAAWpkC,GAAG6kC,IAAK,GACvC5pB,KAAKhb,QAEb,CACJ,CAGAslC,YAAAA,GACItqB,KAAK+oB,QAAQ/iC,KAAK,CAAEC,KAAM6iC,EAAsBY,eAChD1pB,KAAKhb,QACT,CAEAulC,WAAAA,CAAY/G,EAASla,GACjBtJ,KAAK6pB,wBAAwBrG,GAE7BxjB,KAAK+oB,QAAQ/iC,KAAK,CACdC,KAAM6iC,EAAsB0B,cAC5BhH,QAASA,EACTla,MAAOA,IAGXtJ,KAAKhb,QACT,CAEAylC,0BAAAA,CAA2BjH,EAASla,GAChC,IAAIohB,EAAc1qB,KAAKhb,OAAS,EAEhC,KAAO0lC,GAAe,GACd1qB,KAAK+oB,QAAQ2B,KAAiB1qB,KAAKgpB,SADlB0B,KAMzB1qB,KAAK+oB,QAAQqB,OAAOM,EAAc,EAAG,EAAG,CACpCzkC,KAAM6iC,EAAsB0B,cAC5BhH,QAASA,EACTla,MAAOA,IAGXtJ,KAAKhb,QACT,CAEA2lC,WAAAA,CAAYlB,GACR,IAAK,IAAI1kC,EAAIib,KAAKhb,OAAS,EAAGD,GAAK,EAAGA,IAClC,GAAIib,KAAK+oB,QAAQhkC,KAAO0kC,EAAO,CAC3BzpB,KAAK+oB,QAAQqB,OAAOrlC,EAAG,GACvBib,KAAKhb,SACL,KACJ,CAER,CAEA4lC,iBAAAA,GACI,KAAO5qB,KAAKhb,QAAQ,CAChB,MAAMykC,EAAQzpB,KAAK+oB,QAAQ7F,MAI3B,GAFAljB,KAAKhb,SAEDykC,EAAMxjC,OAAS6iC,EAAsBY,aACrC,KAER,CACJ,CAGAmB,iCAAAA,CAAkC3kC,GAC9B,IAAK,IAAInB,EAAIib,KAAKhb,OAAS,EAAGD,GAAK,EAAGA,IAAK,CACvC,MAAM0kC,EAAQzpB,KAAK+oB,QAAQhkC,GAE3B,GAAI0kC,EAAMxjC,OAAS6iC,EAAsBY,aACrC,OAAO,KAGX,GAAI1pB,KAAK0jB,YAAYY,WAAWmF,EAAMjG,WAAat9B,EAC/C,OAAOujC,CAEf,CAEA,OAAO,IACX,CAEAqB,eAAAA,CAAgBtH,GACZ,IAAK,IAAIz+B,EAAIib,KAAKhb,OAAS,EAAGD,GAAK,EAAGA,IAAK,CACvC,MAAM0kC,EAAQzpB,KAAK+oB,QAAQhkC,GAE3B,GAAI0kC,EAAMxjC,OAAS6iC,EAAsB0B,eAAiBf,EAAMjG,UAAYA,EACxE,OAAOiG,CAEf,CAEA,OAAO,IACX,EAIJX,EAAsBY,aAAe,eACrCZ,EAAsB0B,cAAgB,gBAEtCjmC,EAAOC,QAAUskC,+BClLjB,MAAMrb,EAAYnnB,EAAQ,MACpBykC,EAAmBzkC,EAAQ,MAC3BwiC,EAAwBxiC,EAAQ,KAChC0kC,EAA0B1kC,EAAQ,KAClC2kC,EAA4B3kC,EAAQ,MACpCq6B,EAAQr6B,EAAQ,MAChB4kC,EAAqB5kC,EAAQ,MAC7B6kC,EAAe7kC,EAAQ,MACvB8kC,EAAU9kC,EAAQ,MAClB+kC,EAAiB/kC,EAAQ,MACzBglC,EAAMhlC,EAAQ,MACdilC,EAAUjlC,EAAQ,MAClBonB,EAAOpnB,EAAQ,MAGfqnB,EAAID,EAAKE,UACTC,EAAKH,EAAKI,WACVC,EAAQL,EAAKK,MAEbyd,EAAkB,CACpBC,kBAAkB,EAClBC,wBAAwB,EACxB1K,aAAc,KACd0C,YAAawH,GAIXS,EAAoB,SAGpBC,EAAqB,EACrBC,EAAqB,EAGrBC,EAAe,eACfC,EAAmB,mBACnBC,EAAmB,mBACnBC,EAAe,eACfC,EAAyB,yBACzBC,EAAkB,kBAClBC,EAAe,eACfC,EAAY,YACZC,EAAgB,gBAChBC,EAAqB,qBACrBC,EAAkB,kBAClBC,EAAuB,uBACvBC,EAAqB,qBACrBC,EAAc,cACdC,EAAe,eACfC,EAAiB,iBACjBC,EAA0B,0BAC1BC,EAAmB,mBACnBC,EAAkB,kBAClBC,EAAmB,mBACnBC,EAAsB,sBACtBC,EAAwB,wBACxBC,EAA4B,4BAG5BC,EAA2B,CAC7B,CAAC1f,EAAE0P,IAAKsP,EACR,CAAChf,EAAEmP,OAAQ4P,EACX,CAAC/e,EAAEyP,OAAQsP,EACX,CAAC/e,EAAEsP,OAAQyP,EACX,CAAC/e,EAAEsM,SAAUuS,EACb,CAAC7e,EAAEwM,UAAWsS,EACd,CAAC9e,EAAEkJ,OAAQyV,EACX,CAAC3e,EAAE8G,MAAO2X,EACV,CAACze,EAAEkN,UAAWoS,GAIZK,EAAqC,CACvC,CAAC3f,EAAEsM,SAAUqS,EACb,CAAC3e,EAAEwM,UAAWmS,EACd,CAAC3e,EAAEmP,OAAQwP,EACX,CAAC3e,EAAEsP,OAAQqP,EACX,CAAC3e,EAAEyP,OAAQkP,EACX,CAAC3e,EAAEuM,KAAMuS,EACT,CAAC9e,EAAE0P,IAAKqP,EACR,CAAC/e,EAAEuP,IAAKyP,EACR,CAAChf,EAAEwP,IAAKwP,GAINY,EAAiB,CACnB,CAACzB,GAAe,CACZ,CAACre,EAAU+f,iBAAkBC,GAC7B,CAAChgB,EAAUigB,sBAAuBD,GAClC,CAAChgB,EAAUkgB,4BAA6BC,GACxC,CAACngB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAi9BnB,SAA8BC,EAAG1kB,GAC7B0kB,EAAE9I,iBAAiB5b,GAEnB,MAAM2kB,EAAO3kB,EAAM4kB,YAAcxgB,EAAKjF,cAAcgB,OAAS2hB,EAAQ5hB,gBAAgBF,GAEhF8hB,EAAQ/hB,aAAaC,IACtB0kB,EAAEpM,KAAK0J,EAAIxe,sBAGfkhB,EAAEtK,YAAYyK,gBAAgBH,EAAE1pB,SAAU2pB,GAE1CD,EAAEI,cAAgBrC,CACtB,EA59BQ,CAACte,EAAU4gB,iBAAkBZ,GAC7B,CAAChgB,EAAU+W,eAAgBiJ,GAC3B,CAAChgB,EAAUsa,WAAY0F,IAE3B,CAAC1B,GAAmB,CAChB,CAACte,EAAU+f,iBAAkBc,GAC7B,CAAC7gB,EAAUigB,sBAAuBY,GAClC,CAAC7gB,EAAUkgB,4BAA6BC,GACxC,CAACngB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA69BnB,SAA4BL,EAAG1kB,GACvBA,EAAMpjB,UAAYynB,EAAED,MACpBsgB,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgBpC,GAElBsC,GAAgBN,EAAG1kB,EAE3B,EAn+BQ,CAACmE,EAAU+W,eAq+BnB,SAA0BwJ,EAAG1kB,GACzB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,MAAQ0J,IAAOzJ,EAAE8H,MAAQ2B,IAAOzJ,EAAE8G,MAAQ2C,IAAOzJ,EAAE+G,IAC5D4Z,GAAgBN,EAAG1kB,EAE3B,EA1+BQ,CAACmE,EAAUsa,WAAYuG,IAE3B,CAACtC,GAAmB,CAChB,CAACve,EAAU+f,iBAAkBe,GAC7B,CAAC9gB,EAAUigB,sBAAuBa,GAClC,CAAC9gB,EAAUkgB,4BAA6BC,GACxC,CAACngB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgB/gB,GAC3B,CAACS,EAAU4gB,iBA4+BnB,SAA4BL,EAAG1kB,GAC3B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAE8H,MAChBuY,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAES,YAAcT,EAAEpJ,aAAazB,QAC/B6K,EAAEI,cAAgBnC,GAElBsC,GAAgBP,EAAG1kB,EAE3B,EAv/BQ,CAACmE,EAAU+W,eAy/BnB,SAA0BwJ,EAAG1kB,GACzB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAE8H,MAAQ2B,IAAOzJ,EAAE8G,MAAQ2C,IAAOzJ,EAAED,MAAQ0J,IAAOzJ,EAAE+G,GAC5D6Z,GAAgBP,EAAG1kB,GAEnB0kB,EAAEpM,KAAK0J,EAAIre,iCAEnB,EAhgCQ,CAACQ,EAAUsa,WAAYwG,IAE3B,CAACtC,GAAe,CACZ,CAACxe,EAAU+f,iBAAkBkB,GAC7B,CAACjhB,EAAUigB,sBAAuBgB,GAClC,CAACjhB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgB/gB,GAC3B,CAACS,EAAU4gB,iBAAkBO,GAC7B,CAACnhB,EAAU+W,eAAgBqK,GAC3B,CAACphB,EAAUsa,WAAY2G,IAE3B,CAACxC,GAAyB,CACtB,CAACze,EAAU+f,iBAAkBsB,GAC7B,CAACrhB,EAAUigB,sBAAuBoB,GAClC,CAACrhB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgB/gB,GAC3B,CAACS,EAAU4gB,iBA+jCnB,SAAgCL,EAAG1kB,GAC/B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GAElB8N,IAAOzJ,EAAEmM,UACT1C,IAAOzJ,EAAEoM,SACT3C,IAAOzJ,EAAE8H,MACT2B,IAAOzJ,EAAE0N,MACTjE,IAAOzJ,EAAEqI,MACToB,IAAOzJ,EAAEiO,UACTxE,IAAOzJ,EAAEiP,MAETgS,GAAeZ,EAAG1kB,GACX8N,IAAOzJ,EAAEmO,SAChBkS,EAAEpM,KAAK0J,EAAI/d,sBAEXuhB,GAAoBd,EAAG1kB,EAE/B,EAllCQ,CAACmE,EAAU+W,eAolCnB,SAA8BwJ,EAAG1kB,GAC7B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAEmO,UACTkS,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgBnC,GACX7U,IAAOzJ,EAAE+G,GAChBoa,GAAoBd,EAAG1kB,GAEvB0kB,EAAEpM,KAAK0J,EAAIre,iCAEnB,EA9lCQ,CAACQ,EAAUsa,WAAY+G,IAE3B,CAAC3C,GAAkB,CACf,CAAC1e,EAAU+f,iBAAkBuB,GAC7B,CAACthB,EAAUigB,sBAAuBqB,GAClC,CAACthB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgB/gB,GAC3B,CAACS,EAAU4gB,iBAomCnB,SAA2BL,EAAG1kB,GAC1B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAE8G,MAChBuZ,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgB,YAAa,EACfhB,EAAEI,cAAgBhC,GACXhV,IAAOzJ,EAAEkN,UAChBmT,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgBnB,GAElB7V,IAAOzJ,EAAEkM,MACTzC,IAAOzJ,EAAEmM,UACT1C,IAAOzJ,EAAEoM,SACT3C,IAAOzJ,EAAE0N,MACTjE,IAAOzJ,EAAEqI,MACToB,IAAOzJ,EAAEiO,UACTxE,IAAOzJ,EAAE6O,QACTpF,IAAOzJ,EAAEiP,OACTxF,IAAOzJ,EAAEoP,UACT3F,IAAOzJ,EAAEgL,OAETqV,EAAEpM,KAAK0J,EAAIje,2BACX2gB,EAAEpJ,aAAa5+B,KAAKgoC,EAAES,aACtBG,GAAeZ,EAAG1kB,GAClB0kB,EAAEpJ,aAAarB,OAAOyK,EAAES,cACjBrX,IAAOzJ,EAAE8H,KAChBuY,EAAEpM,KAAK0J,EAAIhe,iCAEXyhB,GAAef,EAAG1kB,EAE1B,EApoCQ,CAACmE,EAAU+W,eAsoCnB,SAAyBwJ,EAAG1kB,GACxB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAE8G,MAAQ2C,IAAOzJ,EAAED,MAAQ0J,IAAOzJ,EAAE+G,GAC3Cqa,GAAef,EAAG1kB,GACX8N,IAAOzJ,EAAEoP,SAChB8R,GAAab,EAAG1kB,GAEhB0kB,EAAEpM,KAAK0J,EAAIre,iCAEnB,EA/oCQ,CAACQ,EAAUsa,WAAYgH,IAE3B,CAAC3C,GAAe,CACZ,CAAC3e,EAAU+f,iBAAkByB,GAC7B,CAACxhB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BuB,GACxC,CAACzhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBAAkBG,GAC7B,CAAC/gB,EAAU+W,eAAgB2K,GAC3B,CAAC1hB,EAAUsa,WAAYqH,IAE3B,CAAC/C,GAAY,CACT,CAAC5e,EAAU+f,iBAAkBmB,GAC7B,CAAClhB,EAAUigB,sBAAuBiB,GAClC,CAAClhB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBD,GAC3B,CAACngB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBAAkBT,GAC7B,CAACngB,EAAU+W,eA87DnB,SAAsBwJ,EAAG1kB,GACjBA,EAAMpjB,UAAYynB,EAAE6O,SACpBwR,EAAEqB,cAAgBrB,EAAEpJ,aAAazB,SAGrC6K,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgBJ,EAAEsB,qBACxB,EAp8DQ,CAAC7hB,EAAUsa,WAs8DnB,SAAmBiG,EAAG1kB,GAClB0kB,EAAEpM,KAAK0J,EAAI9d,oCACXwgB,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgBJ,EAAEsB,sBACpBtB,EAAEhJ,cAAc1b,EACpB,GAz8DI,CAACgjB,GAAgB,CACb,CAAC7e,EAAU+f,iBAAkB+B,GAC7B,CAAC9hB,EAAUigB,sBAAuB6B,GAClC,CAAC9hB,EAAUkgB,4BAA6B4B,GACxC,CAAC9hB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBAAkBmB,GAC7B,CAAC/hB,EAAU+W,eAAgBiL,GAC3B,CAAChiB,EAAUsa,WAAYqH,IAE3B,CAAC7C,GAAqB,CAClB,CAAC9e,EAAU+f,iBAsoEnB,SAA8BQ,EAAG1kB,GAC7B0kB,EAAE0B,uBAAuB1pC,KAAKsjB,GAC9B0kB,EAAE2B,uCAAwC,CAC9C,EAxoEQ,CAACliB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAgoEnB,SAAwCK,EAAG1kB,GACvC0kB,EAAE0B,uBAAuB1pC,KAAKsjB,EAClC,EAjoEQ,CAACmE,EAAUogB,eAAgB+B,GAC3B,CAACniB,EAAUsgB,eAAgB6B,GAC3B,CAACniB,EAAU4gB,iBAAkBuB,GAC7B,CAACniB,EAAU+W,eAAgBoL,GAC3B,CAACniB,EAAUsa,WAAY6H,IAE3B,CAACpD,GAAkB,CACf,CAAC/e,EAAU+f,iBAAkByB,GAC7B,CAACxhB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BuB,GACxC,CAACzhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA+oEnB,SAA2BL,EAAG1kB,GAC1B,MAAM8N,EAAK9N,EAAMpjB,QAGbkxB,IAAOzJ,EAAEsM,SACT7C,IAAOzJ,EAAEuM,KACT9C,IAAOzJ,EAAEwM,UACT/C,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEuP,IACT9F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEwP,IACT/F,IAAOzJ,EAAEyP,OACThG,IAAOzJ,EAAE0P,GAEL2Q,EAAEpJ,aAAaiL,gBAAgBliB,EAAEsM,WACjC+T,EAAEpJ,aAAakL,yBACf9B,EAAEpJ,aAAamL,sBAAsBpiB,EAAEsM,SACvC+T,EAAEgC,yBAAyBpF,oBAC3BoD,EAAEI,cAAgB9B,EAClB0B,EAAEhJ,cAAc1b,IAGpBklB,GAAeR,EAAG1kB,EAE1B,EAtqEQ,CAACmE,EAAU+W,eAwqEnB,SAAyBwJ,EAAG1kB,GACxB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAEsM,SAAW7C,IAAOzJ,EAAEkJ,MACzBmX,EAAEpJ,aAAaiL,gBAAgBliB,EAAEsM,WACjC+T,EAAEpJ,aAAakL,yBACf9B,EAAEpJ,aAAamL,sBAAsBpiB,EAAEsM,SACvC+T,EAAEgC,yBAAyBpF,oBAC3BoD,EAAEI,cAAgB9B,EAEdlV,IAAOzJ,EAAEkJ,OACTmX,EAAEhJ,cAAc1b,IAIxB8N,IAAOzJ,EAAE8G,MACT2C,IAAOzJ,EAAEuM,KACT9C,IAAOzJ,EAAEwM,UACT/C,IAAOzJ,EAAED,MACT0J,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEuP,IACT9F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEwP,IACT/F,IAAOzJ,EAAEyP,OACThG,IAAOzJ,EAAE0P,IAET8R,GAAanB,EAAG1kB,EAExB,EAnsEQ,CAACmE,EAAUsa,WAAYqH,IAE3B,CAAC3C,GAAuB,CACpB,CAAChf,EAAU+f,iBAAkByC,GAC7B,CAACxiB,EAAUigB,sBAAuBuC,GAClC,CAACxiB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA+rEnB,SAA+BL,EAAG1kB,GAC9B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAEuM,KAChB8T,EAAExI,eAAelc,EAAOuE,EAAGH,MAC3BpE,EAAM4mB,gBAAiB,GAChB9Y,IAAOzJ,EAAEoP,SAChB6R,GAAeZ,EAAG1kB,GAElB2mB,GAAmBjC,EAAG1kB,EAE9B,EA3sEQ,CAACmE,EAAU+W,eA6sEnB,SAA6BwJ,EAAG1kB,GAC5B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAEwM,SACL6T,EAAEpJ,aAAauL,iBAAmBxiB,EAAEwM,WACpC6T,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB9B,GAEflV,IAAOzJ,EAAEoP,SAChB8R,GAAab,EAAG1kB,GACT8N,IAAOzJ,EAAEuM,KAChB+V,GAAmBjC,EAAG1kB,EAE9B,EAztEQ,CAACmE,EAAUsa,WAAYqH,IAE3B,CAAC1C,GAAqB,CAClB,CAACjf,EAAU+f,iBAAkB+B,GAC7B,CAAC9hB,EAAUigB,sBAAuB6B,GAClC,CAAC9hB,EAAUkgB,4BAA6B4B,GACxC,CAAC9hB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA6tEnB,SAA6BL,EAAG1kB,GAC5B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAE0P,IACT2Q,EAAEpJ,aAAawL,8BACfpC,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgBzB,GACXvV,IAAOzJ,EAAEwP,IAAM/F,IAAOzJ,EAAEuP,IAC/B8Q,EAAEpJ,aAAawL,8BACfpC,EAAEqC,mBAAmB1iB,EAAE0P,IACvB2Q,EAAEI,cAAgBzB,EAClBqB,EAAEhJ,cAAc1b,IAEhB8N,IAAOzJ,EAAEsM,SACT7C,IAAOzJ,EAAEuM,KACT9C,IAAOzJ,EAAEwM,UACT/C,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEyP,MAEL4Q,EAAEpJ,aAAa0L,oCACftC,EAAEpJ,aAAawL,8BACfpC,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB9B,EAClB0B,EAAEhJ,cAAc1b,IAGpBkmB,GAAgBxB,EAAG1kB,EAE3B,EAzvEQ,CAACmE,EAAU+W,eA2vEnB,SAA2BwJ,EAAG1kB,GAC1B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEsP,OAAS7F,IAAOzJ,EAAEyP,MACzC4Q,EAAEpJ,aAAaiL,gBAAgBzY,KAC/B4W,EAAEpJ,aAAawL,8BACfpC,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB9B,GAEflV,IAAOzJ,EAAEkJ,MACZmX,EAAEpJ,aAAa0L,oCACftC,EAAEpJ,aAAawL,8BACfpC,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB9B,EAClB0B,EAAEhJ,cAAc1b,KAGnB8N,IAAOzJ,EAAE8G,MAAQ2C,IAAOzJ,EAAEsM,SAAW7C,IAAOzJ,EAAEuM,KAAO9C,IAAOzJ,EAAEwM,UAC9D/C,IAAOzJ,EAAED,MAAQ0J,IAAOzJ,EAAEuP,IAAM9F,IAAOzJ,EAAEwP,IAAM/F,IAAOzJ,EAAE0P,KAEzDoS,GAAczB,EAAG1kB,EAEzB,EAhxEQ,CAACmE,EAAUsa,WAAYqH,IAE3B,CAACzC,GAAc,CACX,CAAClf,EAAU+f,iBAAkB+B,GAC7B,CAAC9hB,EAAUigB,sBAAuB6B,GAClC,CAAC9hB,EAAUkgB,4BAA6B4B,GACxC,CAAC9hB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA4wEnB,SAAuBL,EAAG1kB,GACtB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAEwP,IAAM/F,IAAOzJ,EAAEuP,IACxB8Q,EAAEpJ,aAAa2L,6BACfvC,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgBxB,EAClBoB,EAAEgC,yBAAyB1F,gBAE3BlT,IAAOzJ,EAAEsM,SACT7C,IAAOzJ,EAAEuM,KACT9C,IAAOzJ,EAAEwM,UACT/C,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEyP,OACThG,IAAOzJ,EAAE0P,GAEL2Q,EAAEpJ,aAAaiL,gBAAgBliB,EAAE0P,MACjC2Q,EAAEpJ,aAAa2L,6BACfvC,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB1B,EAClBsB,EAAEhJ,cAAc1b,IAGpBkmB,GAAgBxB,EAAG1kB,EAE3B,EAryEQ,CAACmE,EAAU+W,eAuyEnB,SAAqBwJ,EAAG1kB,GACpB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAE0P,GACL2Q,EAAEpJ,aAAaiL,gBAAgBliB,EAAE0P,MACjC2Q,EAAEpJ,aAAa2L,6BACfvC,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB1B,GAEftV,IAAOzJ,EAAEkJ,MACZmX,EAAEpJ,aAAaiL,gBAAgBliB,EAAE0P,MACjC2Q,EAAEpJ,aAAa2L,6BACfvC,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB1B,EAClBsB,EAAEhJ,cAAc1b,IAEb8N,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEsP,OAAS7F,IAAOzJ,EAAEyP,OAChD4Q,EAAEpJ,aAAaiL,gBAAgBzY,IAAO4W,EAAEpJ,aAAaiL,gBAAgBliB,EAAE0P,OACvE2Q,EAAEpJ,aAAa2L,6BACfvC,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB1B,EAClBsB,EAAEhJ,cAAc1b,KAGnB8N,IAAOzJ,EAAE8G,MAAQ2C,IAAOzJ,EAAEsM,SAAW7C,IAAOzJ,EAAEuM,KAAO9C,IAAOzJ,EAAEwM,UAC9D/C,IAAOzJ,EAAED,MAAQ0J,IAAOzJ,EAAEuP,IAAM9F,IAAOzJ,EAAEwP,KAE1CsS,GAAczB,EAAG1kB,EAEzB,EAn0EQ,CAACmE,EAAUsa,WAAYqH,IAE3B,CAACxC,GAAe,CACZ,CAACnf,EAAU+f,iBAAkByB,GAC7B,CAACxhB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BuB,GACxC,CAACzhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA+zEnB,SAAwBL,EAAG1kB,GACvB,MAAM8N,EAAK9N,EAAMpjB,QAGbkxB,IAAOzJ,EAAEsM,SACT7C,IAAOzJ,EAAEuM,KACT9C,IAAOzJ,EAAEwM,UACT/C,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEuP,IACT9F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEwP,IACT/F,IAAOzJ,EAAEyP,OACThG,IAAOzJ,EAAE0P,IAEL2Q,EAAEpJ,aAAaiL,gBAAgBliB,EAAEuP,KAAO8Q,EAAEpJ,aAAaiL,gBAAgBliB,EAAEwP,OACzE6Q,EAAEwC,kBACFxC,EAAEhJ,cAAc1b,IAGpBklB,GAAeR,EAAG1kB,EAE1B,EAn1EQ,CAACmE,EAAU+W,eAq1EnB,SAAsBwJ,EAAG1kB,GACrB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAEuP,IAAM9F,IAAOzJ,EAAEwP,GACpB6Q,EAAEpJ,aAAaiL,gBAAgBzY,KAC/B4W,EAAEpJ,aAAakL,yBACf9B,EAAEpJ,aAAamL,sBAAsB3Y,GACrC4W,EAAEgC,yBAAyBpF,oBAC3BoD,EAAEI,cAAgBzB,GAEfvV,IAAOzJ,EAAEkJ,OAASO,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEsP,OAAS7F,IAAOzJ,EAAEyP,OAAShG,IAAOzJ,EAAE0P,GACpF2Q,EAAEpJ,aAAaiL,gBAAgBzY,KAC/B4W,EAAEwC,kBACFxC,EAAEhJ,cAAc1b,IAEb8N,IAAOzJ,EAAE8G,MAAQ2C,IAAOzJ,EAAEsM,SAAW7C,IAAOzJ,EAAEuM,KAAO9C,IAAOzJ,EAAEwM,UAAY/C,IAAOzJ,EAAED,MAC1FyhB,GAAanB,EAAG1kB,EAExB,EAt2EQ,CAACmE,EAAUsa,WAAYqH,IAE3B,CAACvC,GAAiB,CACd,CAACpf,EAAU+f,iBAAkBmB,GAC7B,CAAClhB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBAAkBoC,GAC7B,CAAChjB,EAAU+W,eAAgBkM,GAC3B,CAACjjB,EAAUsa,WAAYqH,IAE3B,CAACtC,GAA0B,CACvB,CAACrf,EAAU+f,iBAAkBmB,GAC7B,CAAClhB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBAu5EnB,SAAiCL,EAAG1kB,GAChC,MAAM8N,EAAK9N,EAAMpjB,QAGbkxB,IAAOzJ,EAAEsM,SACT7C,IAAOzJ,EAAEkJ,OACTO,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEyP,OACThG,IAAOzJ,EAAE0P,IACTjG,IAAOzJ,EAAEuP,IACT9F,IAAOzJ,EAAEwP,IAET6Q,EAAEpJ,aAAamL,sBAAsBpiB,EAAE+O,QACvCsR,EAAE2C,sBACF3C,EAAEhJ,cAAc1b,IAEhBmnB,GAAiBzC,EAAG1kB,EAE5B,EAz6EQ,CAACmE,EAAU+W,eA26EnB,SAA+BwJ,EAAG1kB,GAC9B,MAAM8N,EAAK9N,EAAMpjB,QAGbkxB,IAAOzJ,EAAEsM,SACT7C,IAAOzJ,EAAEkJ,OACTO,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEyP,OACThG,IAAOzJ,EAAE0P,IACTjG,IAAOzJ,EAAEuP,IACT9F,IAAOzJ,EAAEwP,GAEL6Q,EAAEpJ,aAAaiL,gBAAgBzY,KAC/B4W,EAAEpJ,aAAamL,sBAAsBpiB,EAAE+O,QACvCsR,EAAE2C,sBACF3C,EAAEhJ,cAAc1b,IAGpBonB,GAAe1C,EAAG1kB,EAE1B,EA/7EQ,CAACmE,EAAUsa,WAAYqH,IAE3B,CAACrC,GAAmB,CAChB,CAACtf,EAAU+f,iBAAkByB,GAC7B,CAACxhB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BuB,GACxC,CAACzhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA27EnB,SAA4BL,EAAG1kB,GAC3B,MAAM8N,EAAK9N,EAAMpjB,QAEjB,GACIkxB,IAAOzJ,EAAEkM,MACTzC,IAAOzJ,EAAEmM,UACT1C,IAAOzJ,EAAEoM,SACT3C,IAAOzJ,EAAE0N,MACTjE,IAAOzJ,EAAEqI,MACToB,IAAOzJ,EAAEiO,UACTxE,IAAOzJ,EAAE6O,QACTpF,IAAOzJ,EAAEiP,OACTxF,IAAOzJ,EAAEoP,UACT3F,IAAOzJ,EAAEgL,MAETiW,GAAeZ,EAAG1kB,OACf,CACH,MAAMsnB,EAAmBtD,EAAmClW,IAAOgV,EAEnE4B,EAAE6C,wBACF7C,EAAE8C,uBAAuBF,GACzB5C,EAAEI,cAAgBwC,EAClB5C,EAAEhJ,cAAc1b,EACpB,CACJ,EAl9EQ,CAACmE,EAAU+W,eAo9EnB,SAA0BwJ,EAAG1kB,GACrBA,EAAMpjB,UAAYynB,EAAEoP,UACpB8R,GAAab,EAAG1kB,EAExB,EAv9EQ,CAACmE,EAAUsa,WAAYgJ,IAE3B,CAAC/D,GAAkB,CACf,CAACvf,EAAU+f,iBAAkBwD,GAC7B,CAACvjB,EAAUigB,sBAAuBsD,GAClC,CAACvjB,EAAUkgB,4BAA6BuB,GACxC,CAACzhB,EAAUogB,eA4wBnB,SAAwCG,EAAG1kB,GACvC0kB,EAAEjI,mBAAmBzc,EAAO0kB,EAAEpJ,aAAatB,MAAM,GACrD,EA7wBQ,CAAC7V,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA+9EnB,SAA2BL,EAAG1kB,GACtBA,EAAMpjB,UAAYynB,EAAED,KACpB8gB,GAAeR,EAAG1kB,GAElB0nB,GAAehD,EAAG1kB,EAE1B,EAp+EQ,CAACmE,EAAU+W,eAs+EnB,SAAyBwJ,EAAG1kB,GACpBA,EAAMpjB,UAAYynB,EAAED,KACfsgB,EAAE3L,kBACH2L,EAAEI,cAAgBjB,GAGtB6D,GAAehD,EAAG1kB,EAE1B,EA7+EQ,CAACmE,EAAUsa,WAAYkJ,IAE3B,CAAChE,GAAmB,CAChB,CAACxf,EAAU+f,iBAAkBI,GAC7B,CAACngB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA8+EnB,SAA4BL,EAAG1kB,GAC3B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAEkN,SAChBmT,EAAEtI,eAAepc,EAAOuE,EAAGH,MACpB0J,IAAOzJ,EAAEiN,OAChBoT,EAAExI,eAAelc,EAAOuE,EAAGH,MAC3BpE,EAAM4mB,gBAAiB,GAChB9Y,IAAOzJ,EAAEiO,UAChBgT,GAAeZ,EAAG1kB,EAE1B,EA1/EQ,CAACmE,EAAU+W,eA4/EnB,SAA0BwJ,EAAG1kB,GACrBA,EAAMpjB,UAAYynB,EAAEkN,UAAamT,EAAEpJ,aAAasM,6BAChDlD,EAAEpJ,aAAa1B,MAEV8K,EAAE3L,iBAAmB2L,EAAEpJ,aAAauL,iBAAmBxiB,EAAEkN,WAC1DmT,EAAEI,cAAgBlB,GAG9B,EAngFQ,CAACzf,EAAUsa,WAAYkJ,IAE3B,CAAC/D,GAAsB,CACnB,CAACzf,EAAU+f,iBAAkBI,GAC7B,CAACngB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BgB,GACxC,CAAClhB,EAAUogB,eAAgBC,GAC3B,CAACrgB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA+/EnB,SAA+BL,EAAG1kB,GAC9B,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAEiO,UAChBgT,GAAeZ,EAAG1kB,EAE1B,EAtgFQ,CAACmE,EAAU+W,eAwgFnB,SAA6BwJ,EAAG1kB,GACxBA,EAAMpjB,UAAYynB,EAAED,OACpBsgB,EAAEI,cAAgBhB,EAE1B,EA3gFQ,CAAC3f,EAAUsa,WAAYkJ,IAE3B,CAAC9D,GAAwB,CACrB,CAAC1f,EAAU+f,iBAAkB2D,GAC7B,CAAC1jB,EAAUigB,sBAAuByD,GAClC,CAAC1jB,EAAUkgB,4BAA6BuB,GACxC,CAACzhB,EAAUogB,eAAgBuD,GAC3B,CAAC3jB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBAugFnB,SAAgCL,EAAG1kB,GAC3BA,EAAMpjB,UAAYynB,EAAED,KACpB8gB,GAAeR,EAAG1kB,GAElB6nB,GAAoBnD,EAAG1kB,EAE/B,EA5gFQ,CAACmE,EAAU+W,eAAgB2M,GAC3B,CAAC1jB,EAAUsa,WAAYkJ,IAE3B,CAAC7D,GAA4B,CACzB,CAAC3f,EAAU+f,iBAAkBI,GAC7B,CAACngB,EAAUigB,sBAAuBE,GAClC,CAACngB,EAAUkgB,4BAA6BuB,GACxC,CAACzhB,EAAUogB,eAAgBuD,GAC3B,CAAC3jB,EAAUsgB,eAAgBH,GAC3B,CAACngB,EAAU4gB,iBA4gFnB,SAAoCL,EAAG1kB,GACnC,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAEiO,UAChBgT,GAAeZ,EAAG1kB,EAE1B,EAnhFQ,CAACmE,EAAU+W,eAAgBoJ,GAC3B,CAACngB,EAAUsa,WAAYkJ,KA+jB/B,SAASI,EAA+BrD,EAAG1kB,GACvC,IAAIgoB,EAAyBtD,EAAEgC,yBAAyBnF,kCAAkCvhB,EAAMpjB,SAahG,OAXIorC,EACKtD,EAAEpJ,aAAa2M,SAASD,EAAuB9N,SAGxCwK,EAAEpJ,aAAaK,WAAW3b,EAAMpjB,WACxCorC,EAAyB,OAHzBtD,EAAEgC,yBAAyBrF,YAAY2G,GACvCA,EAAyB,MAK7BE,GAAoBxD,EAAG1kB,GAGpBgoB,CACX,CAGA,SAASG,EAAsBzD,EAAGsD,GAC9B,IAAII,EAAgB,KAEpB,IAAK,IAAI3sC,EAAIipC,EAAEpJ,aAAavB,SAAUt+B,GAAK,EAAGA,IAAK,CAC/C,MAAMy+B,EAAUwK,EAAEpJ,aAAatB,MAAMv+B,GAErC,GAAIy+B,IAAY8N,EAAuB9N,QACnC,MAGAwK,EAAE2D,kBAAkBnO,KACpBkO,EAAgBlO,EAExB,CAOA,OALKkO,IACD1D,EAAEpJ,aAAagN,sBAAsBN,EAAuB9N,SAC5DwK,EAAEgC,yBAAyBrF,YAAY2G,IAGpCI,CACX,CAGA,SAASG,EAAY7D,EAAG0D,EAAeI,GACnC,IAAIC,EAAcL,EACdM,EAAchE,EAAEpJ,aAAaqN,kBAAkBP,GAEnD,IAAK,IAAI3sC,EAAI,EAAGy+B,EAAUwO,EAAaxO,IAAYsO,EAAmB/sC,IAAKy+B,EAAUwO,EAAa,CAE9FA,EAAchE,EAAEpJ,aAAaqN,kBAAkBzO,GAE/C,MAAM0O,EAAelE,EAAEgC,yBAAyBlF,gBAAgBtH,GAC1D2O,EAAkBD,GAAgBntC,GAAK8mC,GACPqG,GAAgBC,GAG9CA,GACAnE,EAAEgC,yBAAyBrF,YAAYuH,GAG3ClE,EAAEpJ,aAAarB,OAAOC,KAEtBA,EAAU4O,EAA2BpE,EAAGkE,GAEpCH,IAAgBL,IAChB1D,EAAEgC,yBAAyBhH,SAAWkJ,GAG1ClE,EAAEtK,YAAY2O,WAAWN,GACzB/D,EAAEtK,YAAY4O,YAAY9O,EAASuO,GACnCA,EAAcvO,EAEtB,CAEA,OAAOuO,CACX,CAGA,SAASK,EAA2BpE,EAAGkE,GACnC,MAAM/Z,EAAK6V,EAAEtK,YAAY8F,gBAAgB0I,EAAa1O,SAChD0F,EAAa8E,EAAEtK,YAAYnf,cAAc2tB,EAAa5oB,MAAMpjB,QAASiyB,EAAI+Z,EAAa5oB,MAAMqO,OAKlG,OAHAqW,EAAEpJ,aAAaz6B,QAAQ+nC,EAAa1O,QAAS0F,GAC7CgJ,EAAa1O,QAAU0F,EAEhBA,CACX,CAGA,SAASqJ,EAAiCvE,EAAGwE,EAAgBT,GACzD,GAAI/D,EAAEyE,gCAAgCD,GAClCxE,EAAE0E,qBAAqBX,OACpB,CACH,MAAM3a,EAAK4W,EAAEtK,YAAYY,WAAWkO,GAC9Bra,EAAK6V,EAAEtK,YAAY8F,gBAAgBgJ,GAErCpb,IAAOzJ,EAAEoP,UAAY5E,IAAOtK,EAAGH,OAC/B8kB,EAAiBxE,EAAEtK,YAAYmC,mBAAmB2M,IAGtDxE,EAAEtK,YAAY4O,YAAYE,EAAgBT,EAC9C,CACJ,CAGA,SAASY,GAA2B3E,EAAG0D,EAAeJ,GAClD,MAAMnZ,EAAK6V,EAAEtK,YAAY8F,gBAAgB8H,EAAuB9N,SAC1Dla,EAAQgoB,EAAuBhoB,MAC/B4f,EAAa8E,EAAEtK,YAAYnf,cAAc+E,EAAMpjB,QAASiyB,EAAI7O,EAAMqO,OAExEqW,EAAE4E,YAAYlB,EAAexI,GAC7B8E,EAAEtK,YAAY4O,YAAYZ,EAAexI,GAEzC8E,EAAEgC,yBAAyBvF,2BAA2BvB,EAAYoI,EAAuBhoB,OACzF0kB,EAAEgC,yBAAyBrF,YAAY2G,GAEvCtD,EAAEpJ,aAAarB,OAAO+N,EAAuB9N,SAC7CwK,EAAEpJ,aAAaiO,YAAYnB,EAAexI,EAC9C,CAGA,SAAS4J,GAAmB9E,EAAG1kB,GAC3B,IAAIgoB,EAEJ,IAAK,IAAIvsC,EAAI,EAAGA,EAAI6mC,IAChB0F,EAAyBD,EAA+BrD,EAAG1kB,GAEtDgoB,GAH+BvsC,IAAK,CAOzC,MAAM2sC,EAAgBD,EAAsBzD,EAAGsD,GAE/C,IAAKI,EACD,MAGJ1D,EAAEgC,yBAAyBhH,SAAWsI,EAEtC,MAAMS,EAAcF,EAAY7D,EAAG0D,EAAeJ,EAAuB9N,SACnEgP,EAAiBxE,EAAEpJ,aAAaqN,kBAAkBX,EAAuB9N,SAE/EwK,EAAEtK,YAAY2O,WAAWN,GACzBQ,EAAiCvE,EAAGwE,EAAgBT,GACpDY,GAA2B3E,EAAG0D,EAAeJ,EACjD,CACJ,CAIA,SAAS1D,KACL,CAGJ,SAAS5gB,GAAiBghB,GACtBA,EAAEpM,KAAK0J,EAAIte,iBACf,CAEA,SAAS8gB,GAAcE,EAAG1kB,GACtB0kB,EAAEjI,mBAAmBzc,EAAO0kB,EAAEpJ,aAAa0B,oBAAsB0H,EAAEpJ,aAAazB,QACpF,CAMA,SAASiO,GAAwBpD,EAAG1kB,GAChC0kB,EAAEjI,mBAAmBzc,EAAO0kB,EAAE1pB,SAClC,CAEA,SAASqqB,GAAiBX,EAAG1kB,GACzB0kB,EAAE7H,kBAAkB7c,EACxB,CAEA,SAAS2nB,GAAYjD,GACjBA,EAAE+E,SAAU,CAChB,CAkBA,SAAStF,GAAmBO,EAAG1kB,GAC3B0kB,EAAEpM,KAAK0J,EAAIve,eAAgB,CAAE2V,aAAa,IAC1CsL,EAAEtK,YAAYyK,gBAAgBH,EAAE1pB,SAAUoJ,EAAKjF,cAAcgB,QAC7DukB,EAAEI,cAAgBrC,EAClBiC,EAAEhJ,cAAc1b,EACpB,CAqBA,SAASglB,GAAgBN,EAAG1kB,GACxB0kB,EAAElI,yBACFkI,EAAEI,cAAgBpC,EAClBgC,EAAEhJ,cAAc1b,EACpB,CA4BA,SAASilB,GAAgBP,EAAG1kB,GACxB0kB,EAAEqC,mBAAmB1iB,EAAE8H,MACvBuY,EAAES,YAAcT,EAAEpJ,aAAazB,QAC/B6K,EAAEI,cAAgBnC,EAClB+B,EAAEhJ,cAAc1b,EACpB,CAIA,SAASslB,GAAeZ,EAAG1kB,GACvB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAEkM,MAAQzC,IAAOzJ,EAAEmM,UAAY1C,IAAOzJ,EAAEoM,SAAW3C,IAAOzJ,EAAE0N,MAAQjE,IAAOzJ,EAAEqI,MAC3FgY,EAAExI,eAAelc,EAAOuE,EAAGH,MAC3BpE,EAAM4mB,gBAAiB,GAChB9Y,IAAOzJ,EAAEgL,MAChBqV,EAAEgF,qBAAqB1pB,EAAOmE,EAAUya,KAAK+K,QACtC7b,IAAOzJ,EAAEmO,SACZkS,EAAEjqC,QAAQ0nC,iBACVuC,EAAEgF,qBAAqB1pB,EAAOmE,EAAUya,KAAKgL,UAE7ClF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgBlC,GAEf9U,IAAOzJ,EAAEiO,UAAYxE,IAAOzJ,EAAEiP,MACrCoR,EAAEgF,qBAAqB1pB,EAAOmE,EAAUya,KAAKgL,SACtC9b,IAAOzJ,EAAE6O,OAChBwR,EAAEgF,qBAAqB1pB,EAAOmE,EAAUya,KAAKiL,aACtC/b,IAAOzJ,EAAEoP,UAChBiR,EAAErI,gBAAgBrc,EAAOuE,EAAGH,MAC5BsgB,EAAEgC,yBAAyB1F,eAC3B0D,EAAEgB,YAAa,EACfhB,EAAEI,cAAgBrB,EAClBiB,EAAE8C,uBAAuB/D,IAClB3V,IAAOzJ,EAAE8H,KAChBuY,EAAEpM,KAAK0J,EAAIhe,iCAEXohB,GAAYV,EAAG1kB,EAEvB,CAEA,SAASulB,GAAab,EAAG1kB,GACrB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAE8H,MACTuY,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgBjC,GACX/U,IAAOzJ,EAAE8G,MAAQ2C,IAAOzJ,EAAE+G,IAAM0C,IAAOzJ,EAAED,KAChDghB,GAAYV,EAAG1kB,GACR8N,IAAOzJ,EAAEoP,UACZiR,EAAEpJ,aAAawO,UAAY,GAC3BpF,EAAEpJ,aAAayO,mCAEXrF,EAAEpJ,aAAauL,iBAAmBxiB,EAAEoP,UACpCiR,EAAEpM,KAAK0J,EAAIpe,uCAGf8gB,EAAEpJ,aAAamL,sBAAsBpiB,EAAEoP,UACvCiR,EAAEgC,yBAAyBpF,oBAC3BoD,EAAE6C,wBACF7C,EAAE2C,uBAKN3C,EAAEpM,KAAK0J,EAAIre,iCAEnB,CAEA,SAASyhB,GAAYV,EAAG1kB,GACpB0kB,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgBjC,EAClB6B,EAAEhJ,cAAc1b,EACpB,CAuCA,SAASwlB,GAAoBd,EAAG1kB,GAC5B,MAAMgqB,EACFhqB,EAAMrjB,OAASwnB,EAAUsa,UAAYuD,EAAIle,yBAA2Bke,EAAIne,kCAE5E6gB,EAAEpM,KAAK0R,GACPtF,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgBnC,EAClB+B,EAAEhJ,cAAc1b,EACpB,CAmDA,SAASylB,GAAef,EAAG1kB,GACvB0kB,EAAEqC,mBAAmB1iB,EAAE8G,MACvBuZ,EAAEI,cAAgBhC,EAClB4B,EAAEhJ,cAAc1b,EACpB,CAIA,SAAS4lB,GAA0BlB,EAAG1kB,GAClC0kB,EAAEuF,uCACFvF,EAAE7H,kBAAkB7c,EACxB,CAEA,SAAS2lB,GAAgBjB,EAAG1kB,GACxB0kB,EAAEuF,uCACFvF,EAAE7H,kBAAkB7c,GACpB0kB,EAAEgB,YAAa,CACnB,CA4BA,SAASwE,GAAsBxF,EAAG1kB,GAC1B0kB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CAgBA,SAASimB,GAAkB3F,EAAG1kB,GACtB0kB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAEtI,eAAepc,EAAOuE,EAAGH,MAG3BsgB,EAAE4F,iBAAkB,EACpB5F,EAAEgB,YAAa,CACnB,CAsFA,SAAS6E,GAAgB7F,EAAG1kB,GACxB0kB,EAAEuF,uCACFvF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgC,yBAAyBzF,YAAYyD,EAAEpJ,aAAazB,QAAS7Z,EACnE,CAcA,SAASwqB,GAAqB9F,EAAG1kB,GAC7B0kB,EAAEuF,uCACFvF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgC,yBAAyB1F,eAC3B0D,EAAEgB,YAAa,CACnB,CAeA,SAAS+E,GAAmB/F,EAAG1kB,GAC3B0kB,EAAEuF,uCACFvF,EAAExI,eAAelc,EAAOuE,EAAGH,MAC3BsgB,EAAEgB,YAAa,EACf1lB,EAAM4mB,gBAAiB,CAC3B,CAeA,SAAS8D,GAAoBhG,EAAG1kB,GAC5B0kB,EAAExI,eAAelc,EAAOuE,EAAGH,MAC3BpE,EAAM4mB,gBAAiB,CAC3B,CA6CA,SAAS+D,GAAsBjG,EAAG1kB,GAC9B0kB,EAAEgF,qBAAqB1pB,EAAOmE,EAAUya,KAAKgL,QACjD,CAoBA,SAASgB,GAAuBlG,EAAG1kB,GAC3B0kB,EAAEpJ,aAAauL,iBAAmBxiB,EAAEsO,QACpC+R,EAAEpJ,aAAa1B,MAGnB8K,EAAEuF,uCACFvF,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CAEA,SAASymB,GAAiBnG,EAAG1kB,GACrB0kB,EAAEpJ,aAAaK,WAAWtX,EAAE0I,OAC5B2X,EAAEpJ,aAAakL,yBAGnB9B,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CAgDA,SAAS0mB,GAAsBpG,EAAG1kB,GAC9B0kB,EAAEuF,uCACFvF,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CAIA,SAAS8gB,GAAeR,EAAG1kB,GACvB,MAAM8N,EAAK9N,EAAMpjB,QAEjB,OAAQkxB,EAAGpyB,QACP,KAAK,EACGoyB,IAAOzJ,EAAEgI,GAAKyB,IAAOzJ,EAAE2I,GAAKc,IAAOzJ,EAAE2G,GAAK8C,IAAOzJ,EAAEoJ,EACnD8c,GAAgB7F,EAAG1kB,GACZ8N,IAAOzJ,EAAEwI,EAChBqd,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAE4L,EA7NhC,SAAyByU,EAAG1kB,GACxB,MAAM+qB,EAAqBrG,EAAEgC,yBAAyBnF,kCAAkCld,EAAE4L,GAEtF8a,IACAvB,GAAmB9E,EAAG1kB,GACtB0kB,EAAEpJ,aAAarB,OAAO8Q,EAAmB7Q,SACzCwK,EAAEgC,yBAAyBrF,YAAY0J,IAG3CrG,EAAEuF,uCACFvF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgC,yBAAyBzF,YAAYyD,EAAEpJ,aAAazB,QAAS7Z,EACnE,CAkNgBgrB,CAAgBtG,EAAG1kB,GAEnB8qB,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEoH,IAAMqC,IAAOzJ,EAAEuI,IAAMkB,IAAOzJ,EAAEqJ,GACvCwc,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAEwH,IAAMiC,IAAOzJ,EAAEyH,IAAMgC,IAAOzJ,EAAE0H,IAAM+B,IAAOzJ,EAAE2H,IAAM8B,IAAOzJ,EAAE4H,IAAM6B,IAAOzJ,EAAE6H,GAxU3G,SAAsCwY,EAAG1kB,GACjC0kB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN,MAAMtc,EAAK4W,EAAEpJ,aAAauL,eAEtB/Y,IAAOzJ,EAAEwH,IAAMiC,IAAOzJ,EAAEyH,IAAMgC,IAAOzJ,EAAE0H,IAAM+B,IAAOzJ,EAAE2H,IAAM8B,IAAOzJ,EAAE4H,IAAM6B,IAAOzJ,EAAE6H,IACpFwY,EAAEpJ,aAAa1B,MAGnB8K,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CA6TgB6mB,CAA6BvG,EAAG1kB,GACzB8N,IAAOzJ,EAAEkI,IAAMuB,IAAOzJ,EAAEkH,IAAMuC,IAAOzJ,EAAEqH,GAhS9D,SAAgCgZ,EAAG1kB,GAC/B0kB,EAAEgB,YAAa,EAEf,MAAM5X,EAAK9N,EAAMpjB,QAEjB,IAAK,IAAInB,EAAIipC,EAAEpJ,aAAavB,SAAUt+B,GAAK,EAAGA,IAAK,CAC/C,MAAMy+B,EAAUwK,EAAEpJ,aAAatB,MAAMv+B,GAC/ByvC,EAAYxG,EAAEtK,YAAYY,WAAWd,GAC3C,IAAIiR,EAAU,KAQd,GANIrd,IAAOzJ,EAAEkI,IAAM2e,IAAc7mB,EAAEkI,GAC/B4e,EAAU9mB,EAAEkI,GACJuB,IAAOzJ,EAAEkH,IAAMuC,IAAOzJ,EAAEqH,IAAQwf,IAAc7mB,EAAEkH,IAAM2f,IAAc7mB,EAAEqH,KAC9Eyf,EAAUD,GAGVC,EAAS,CACTzG,EAAEpJ,aAAa8P,oCAAoCD,GACnDzG,EAAEpJ,aAAamL,sBAAsB0E,GACrC,KACJ,CAEA,GAAID,IAAc7mB,EAAE6L,SAAWgb,IAAc7mB,EAAEmH,KAAO0f,IAAc7mB,EAAEwI,GAAK6X,EAAE2D,kBAAkBnO,GAC3F,KAER,CAEIwK,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CAiQgBinB,CAAuB3G,EAAG1kB,GACnB8N,IAAOzJ,EAAEsH,IAAMmC,IAAOzJ,EAAEmJ,GAC/B+c,GAAgB7F,EAAG1kB,GACZ8N,IAAOzJ,EAAE+G,GAChBqf,GAAmB/F,EAAG1kB,GACf8N,IAAOzJ,EAAE+H,GAnKhC,SAA0BsY,EAAG1kB,GACrB0kB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAExI,eAAelc,EAAOuE,EAAGH,MAC3BsgB,EAAEgB,YAAa,EACf1lB,EAAM4mB,gBAAiB,CAC3B,CA4JgB0E,CAAiB5G,EAAG1kB,GACb8N,IAAOzJ,EAAEyO,GAChB+X,GAAiBnG,EAAG1kB,GACb8N,IAAOzJ,EAAE2O,IAAMlF,IAAOzJ,EAAE0O,GArF/C,SAA0B2R,EAAG1kB,GACrB0kB,EAAEpJ,aAAaK,WAAWtX,EAAE0I,OAC5B2X,EAAEpJ,aAAa8P,oCAAoC/mB,EAAE4O,KAGzDyR,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CAgFgBmnB,CAAiB7G,EAAG1kB,GACb8N,IAAOzJ,EAAEwP,IAAM/F,IAAOzJ,EAAEuP,IAAM9F,IAAOzJ,EAAE0P,IAC9C+W,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEmH,KAAOsC,IAAOzJ,EAAE2M,KAAOlD,IAAOzJ,EAAEgO,IACzC6X,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAEyI,IAChBud,GAAkB3F,EAAG1kB,GACd8N,IAAOzJ,EAAE4G,IAChBsf,GAAgB7F,EAAG1kB,GACZ8N,IAAOzJ,EAAEiI,KAAOwB,IAAOzJ,EAAE4P,IAChCwW,GAAmB/F,EAAG1kB,GACf8N,IAAOzJ,EAAE6P,IA9JhC,SAA2BwQ,EAAG1kB,GACtB0kB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAEuF,uCACFvF,EAAEgB,YAAa,EACfhB,EAAEgF,qBAAqB1pB,EAAOmE,EAAUya,KAAKgL,QACjD,CAuJgB4B,CAAkB9G,EAAG1kB,GACd8N,IAAOzJ,EAAE6K,IAzEhC,SAA2BwV,EAAG1kB,GAC1B0kB,EAAEuF,uCAEFlI,EAAezT,oBAAoBtO,GACnC+hB,EAAevT,oBAAoBxO,GAE/BA,EAAMyrB,YACN/G,EAAExI,eAAelc,EAAOuE,EAAG2K,KAE3BwV,EAAEtI,eAAepc,EAAOuE,EAAG2K,KAG/BlP,EAAM4mB,gBAAiB,CAC3B,CA6DgB8E,CAAkBhH,EAAG1kB,GACd8N,IAAOzJ,EAAE4O,IAChB4X,GAAiBnG,EAAG1kB,GACb8N,IAAOzJ,EAAEuM,KAChBka,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAED,KApZzB,SAA4BsgB,EAAG1kB,GACM,IAA7B0kB,EAAEpJ,aAAawO,WACfpF,EAAEtK,YAAYuR,gBAAgBjH,EAAEpJ,aAAatB,MAAM,GAAIha,EAAMqO,MAErE,CAiZgBud,CAAmBlH,EAAG1kB,GACf8N,IAAOzJ,EAAEkM,MAAQzC,IAAOzJ,EAAE0N,MAAQjE,IAAOzJ,EAAEqI,KAClD4Y,GAAeZ,EAAG1kB,GACX8N,IAAOzJ,EAAE8G,KAlZhC,SAA4BuZ,EAAG1kB,GAC3B,MAAM6rB,EAAcnH,EAAEpJ,aAAawQ,mCAE/BD,GAA4C,IAA7BnH,EAAEpJ,aAAawO,YAC9BpF,EAAEgB,YAAa,EACfhB,EAAEtK,YAAYuR,gBAAgBE,EAAa7rB,EAAMqO,OAEzD,CA4YgB0d,CAAmBrH,EAAG1kB,GACf8N,IAAOzJ,EAAE2N,MAAQlE,IAAOzJ,EAAEoI,KACjCyd,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAEgN,KAhWhC,SAA4BqT,EAAG1kB,GAC3B,MAAMgsB,EAAatH,EAAEpJ,aAAawO,UAAY,EAEzCpF,EAAEuH,cAAeD,IACdtH,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAEtI,eAAepc,EAAOuE,EAAGH,MAEtB4nB,IACDtH,EAAEuH,YAAcvH,EAAEpJ,aAAazB,SAG3C,CAmVgBqS,CAAmBxH,EAAG1kB,GACf8N,IAAOzJ,EAAEiH,MAAQwC,IAAOzJ,EAAE0J,KACjCwc,GAAgB7F,EAAG1kB,GACZ8N,IAAOzJ,EAAEsI,KA1QhC,SAA4B+X,EAAG1kB,GAC3B0kB,EAAEuF,uCAEEvF,EAAEpJ,aAAaK,WAAWtX,EAAEsI,QAC5B6c,GAAmB9E,EAAG1kB,GACtB0kB,EAAEuF,wCAGNvF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgC,yBAAyBzF,YAAYyD,EAAEpJ,aAAazB,QAAS7Z,EACnE,CAiQgBmsB,CAAmBzH,EAAG1kB,GACf8N,IAAOzJ,EAAE+L,KAChBqa,GAAmB/F,EAAG1kB,GACf8N,IAAOzJ,EAAE8N,KAnHhC,SAA4BuS,EAAG1kB,GAC3B0kB,EAAEuF,uCAEFlI,EAAe3T,uBAAuBpO,GACtC+hB,EAAevT,oBAAoBxO,GAE/BA,EAAMyrB,YACN/G,EAAExI,eAAelc,EAAOuE,EAAGwK,QAE3B2V,EAAEtI,eAAepc,EAAOuE,EAAGwK,QAG/B/O,EAAM4mB,gBAAiB,CAC3B,CAuGgBwF,CAAmB1H,EAAG1kB,GACf8N,IAAOzJ,EAAEoI,KA7HhC,SAA4BiY,EAAG1kB,GACvB0kB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAEtI,eAAepc,EAAOuE,EAAGH,KAC/B,CAwHgBioB,CAAmB3H,EAAG1kB,GACf8N,IAAOzJ,EAAE8H,MAChB2e,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEiP,OAASxF,IAAOzJ,EAAEgL,MAC3BiW,GAAeZ,EAAG1kB,GACX8N,IAAOzJ,EAAEiM,MAChB4Z,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAE4I,MAChBsd,GAAgB7F,EAAG1kB,GACZ8N,IAAOzJ,EAAEkJ,MA5QhC,SAA6BmX,EAAG1kB,GAExB0kB,EAAEtK,YAAYla,gBAAgBwkB,EAAE1pB,YAAcoJ,EAAKjF,cAAcgB,QACjEukB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAElC6X,EAAE0F,iBAGN1F,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgB,YAAa,EACfhB,EAAEI,cAAgB9B,CACtB,CAkQgBsJ,CAAoB5H,EAAG1kB,GAChB8N,IAAOzJ,EAAEuH,MAChB6e,GAAmB/F,EAAG1kB,GACf8N,IAAOzJ,EAAEsN,MA5PhC,SAA6B+S,EAAG1kB,GAC5B0kB,EAAEuF,uCACFvF,EAAExI,eAAelc,EAAOuE,EAAGH,MAE3B,MAAMmoB,EAAYpoB,EAAU6J,aAAahO,EAAOyE,EAAMoL,MAEjD0c,GAAaA,EAAU7uC,gBAAkB2kC,IAC1CqC,EAAEgB,YAAa,GAGnB1lB,EAAM4mB,gBAAiB,CAC3B,CAkPgB4F,CAAoB9H,EAAG1kB,GAChB8N,IAAOzJ,EAAEuO,OAAS9E,IAAOzJ,EAAE2P,MAClC0W,GAAoBhG,EAAG1kB,GAChB8N,IAAOzJ,EAAEqN,MApOhC,SAA6BgT,EAAG1kB,GAC5BA,EAAMpjB,QAAUynB,EAAEiI,IAClBme,GAAmB/F,EAAG1kB,EAC1B,CAkOgBysB,CAAoB/H,EAAG1kB,GAChB8N,IAAOzJ,EAAEiN,OAASxD,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEsP,OAAS7F,IAAOzJ,EAAEyP,OACtEgX,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAE6O,OACToS,GAAeZ,EAAG1kB,GAElB8N,IAAOzJ,EAAEgH,QACTyC,IAAOzJ,EAAE8M,QACTrD,IAAOzJ,EAAE+M,QACTtD,IAAOzJ,EAAEmN,QACT1D,IAAOzJ,EAAEoN,QACT3D,IAAOzJ,EAAE0M,OAETmZ,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAEqM,OA1VhC,SAA8BgU,EAAG1kB,GACzB0kB,EAAEpJ,aAAaK,WAAWtX,EAAEqM,UAC5BgU,EAAEpJ,aAAakL,yBACf9B,EAAEpJ,aAAamL,sBAAsBpiB,EAAEqM,SAG3CgU,EAAEuF,uCACFvF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgB,YAAa,CACnB,CAkVgBgH,CAAqBhI,EAAG1kB,GACjB8N,IAAOzJ,EAAE+I,QAAUU,IAAOzJ,EAAE8I,OACnCod,GAAgB7F,EAAG1kB,GACZ8N,IAAOzJ,EAAE8L,QAAUrC,IAAOzJ,EAAEoO,OACnC+X,GAAqB9F,EAAG1kB,GACjB8N,IAAOzJ,EAAEwN,OAChB4Y,GAAmB/F,EAAG1kB,GACf8N,IAAOzJ,EAAEgP,OAChBqX,GAAoBhG,EAAG1kB,GAChB8N,IAAOzJ,EAAEuN,OAxOhC,SAA8B8S,EAAG1kB,GAC7B0kB,EAAEgB,YAAa,EACfhB,EAAEgF,qBAAqB1pB,EAAOmE,EAAUya,KAAKgL,QACjD,CAsOgB+C,CAAqBjI,EAAG1kB,GACjB8N,IAAOzJ,EAAE+O,OA/NhC,SAA8BsR,EAAG1kB,GAC7B0kB,EAAEuF,uCACFvF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEgB,YAAa,EAGXhB,EAAEI,gBAAkB9B,GACpB0B,EAAEI,gBAAkB5B,GACpBwB,EAAEI,gBAAkB1B,GACpBsB,EAAEI,gBAAkBzB,GACpBqB,EAAEI,gBAAkBxB,EAEpBoB,EAAEI,cAAgBtB,EAElBkB,EAAEI,cAAgBvB,CAE1B,CAgNgBqJ,CAAqBlI,EAAG1kB,GACjB8N,IAAOzJ,EAAEsO,OAChBiY,GAAuBlG,EAAG1kB,GAE1B8qB,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEoM,QACT6U,GAAeZ,EAAG1kB,GAElB8N,IAAOzJ,EAAEyM,SACThD,IAAOzJ,EAAE6L,SACTpC,IAAOzJ,EAAEgM,SACTvC,IAAOzJ,EAAE8O,SACTrF,IAAOzJ,EAAEkP,QAET2W,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAEmI,QAChB6d,GAAkB3F,EAAG1kB,GACd8N,IAAOzJ,EAAE6N,QAChBsY,GAAqB9F,EAAG1kB,GACjB8N,IAAOzJ,EAAEkO,QAChBoY,GAAsBjG,EAAG1kB,GAClB8N,IAAOzJ,EAAEsM,SAChBma,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEmM,SACT8U,GAAeZ,EAAG1kB,GACX8N,IAAOzJ,EAAEkN,SAlfhC,SAAgCmT,EAAG1kB,GAC/B,MAAM6rB,EAAcnH,EAAEpJ,aAAawQ,mCAE/BpH,EAAEgB,YAAcmG,IAChBnH,EAAEtK,YAAY2O,WAAW8C,GACzBnH,EAAEpJ,aAAaxB,wBACf4K,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgBnB,EAE1B,CA0egBkJ,CAAuBnI,EAAG1kB,GACnB8N,IAAOzJ,EAAE4M,SAChBiZ,GAAsBxF,EAAG1kB,GAClB8N,IAAOzJ,EAAEqP,SAvShC,SAAgCgR,EAAG1kB,GAC/B0kB,EAAEtI,eAAepc,EAAOuE,EAAGH,MAG3BsgB,EAAE4F,iBAAkB,EACpB5F,EAAEzL,UAAU8F,MAAQ5a,EAAUya,KAAK+K,OACnCjF,EAAEsB,sBAAwBtB,EAAEI,cAC5BJ,EAAEgB,YAAa,EACfhB,EAAEI,cAAgB/B,CACtB,CA+RgB+J,CAAuBpI,EAAG1kB,GACnB8N,IAAOzJ,EAAEoP,SAChB6R,GAAeZ,EAAG1kB,GACX8N,IAAOzJ,EAAEmO,SACZkS,EAAEjqC,QAAQ0nC,iBACVwI,GAAsBjG,EAAG1kB,GAEzB8qB,GAAsBpG,EAAG1kB,GAEtB8N,IAAOzJ,EAAEqO,SAChBkY,GAAuBlG,EAAG1kB,GACnB8N,IAAOzJ,EAAEwM,UAChBia,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEwO,UA1azB,SAAiC6R,EAAG1kB,GAC5B0kB,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IAClC6X,EAAE0F,iBAGN1F,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEzL,UAAU8F,MAAQ5a,EAAUya,KAAK/L,SACvC,CAoagBka,CAAwBrI,EAAG1kB,GAE3B8qB,GAAsBpG,EAAG1kB,GAG7B,MAEJ,KAAK,GACG8N,IAAOzJ,EAAE6G,YAAc4C,IAAOzJ,EAAE6M,WAChCgZ,GAAsBxF,EAAG1kB,GAEzB8qB,GAAsBpG,EAAG1kB,GAG7B,MAEJ,QACI8qB,GAAsBpG,EAAG1kB,GAErC,CAeA,SAASgtB,GAAoBtI,EAAG1kB,GAC5B,MAAM8N,EAAK9N,EAAMpjB,QAEb8nC,EAAEpJ,aAAaK,WAAW7N,KAC1B4W,EAAEpJ,aAAakL,yBACf9B,EAAEpJ,aAAamL,sBAAsB3Y,GAE7C,CAoDA,SAASmf,GAAmBvI,EAAG1kB,GAC3B,MAAM8N,EAAK9N,EAAMpjB,QAEb8nC,EAAEpJ,aAAaK,WAAW7N,KAC1B4W,EAAEpJ,aAAakL,yBACf9B,EAAEpJ,aAAamL,sBAAsB3Y,GACrC4W,EAAEgC,yBAAyBpF,oBAEnC,CASA,SAAS4G,GAAoBxD,EAAG1kB,GAC5B,MAAM8N,EAAK9N,EAAMpjB,QAEjB,IAAK,IAAInB,EAAIipC,EAAEpJ,aAAavB,SAAUt+B,EAAI,EAAGA,IAAK,CAC9C,MAAMy+B,EAAUwK,EAAEpJ,aAAatB,MAAMv+B,GAErC,GAAIipC,EAAEtK,YAAYY,WAAWd,KAAapM,EAAI,CAC1C4W,EAAEpJ,aAAa8P,oCAAoCtd,GACnD4W,EAAEpJ,aAAagN,sBAAsBpO,GACrC,KACJ,CAEA,GAAIwK,EAAE2D,kBAAkBnO,GACpB,KAER,CACJ,CAIA,SAAS2L,GAAanB,EAAG1kB,GACrB,MAAM8N,EAAK9N,EAAMpjB,QAEjB,OAAQkxB,EAAGpyB,QACP,KAAK,EACGoyB,IAAOzJ,EAAE4L,GAAKnC,IAAOzJ,EAAE2G,GAAK8C,IAAOzJ,EAAEgI,GAAKyB,IAAOzJ,EAAE2I,GAAKc,IAAOzJ,EAAEoJ,EACjE+b,GAAmB9E,EAAG1kB,GACf8N,IAAOzJ,EAAEwI,EA3EhC,SAAuB6X,GACdA,EAAEpJ,aAAa6O,iBAAiB9lB,EAAEwI,IACnC6X,EAAEqC,mBAAmB1iB,EAAEwI,GAG3B6X,EAAE0F,gBACN,CAsEgB8C,CAAcxI,GAEdwD,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEoH,IAAMqC,IAAOzJ,EAAEqJ,IAAMI,IAAOzJ,EAAEuI,GACvCogB,GAAoBtI,EAAG1kB,GAChB8N,IAAOzJ,EAAEkI,GA9EhC,SAAwBmY,GAChBA,EAAEpJ,aAAa6R,mBAAmB9oB,EAAEkI,MACpCmY,EAAEpJ,aAAa8P,oCAAoC/mB,EAAEkI,IACrDmY,EAAEpJ,aAAamL,sBAAsBpiB,EAAEkI,IAE/C,CA0EgB6gB,CAAe1I,GACR5W,IAAOzJ,EAAEkH,IAAMuC,IAAOzJ,EAAEqH,GAzE/C,SAAwBgZ,EAAG1kB,GACvB,MAAM8N,EAAK9N,EAAMpjB,QAEb8nC,EAAEpJ,aAAaK,WAAW7N,KAC1B4W,EAAEpJ,aAAa8P,oCAAoCtd,GACnD4W,EAAEpJ,aAAamL,sBAAsB3Y,GAE7C,CAmEgBuf,CAAe3I,EAAG1kB,GACX8N,IAAOzJ,EAAEwH,IAAMiC,IAAOzJ,EAAEyH,IAAMgC,IAAOzJ,EAAE0H,IAAM+B,IAAOzJ,EAAE2H,IAAM8B,IAAOzJ,EAAE4H,IAAM6B,IAAOzJ,EAAE6H,GAlE3G,SAAoCwY,GAC5BA,EAAEpJ,aAAagS,6BACf5I,EAAEpJ,aAAakL,yBACf9B,EAAEpJ,aAAaiS,+BAEvB,CA8DgBC,CAA2B9I,GACpB5W,IAAOzJ,EAAE+G,GAnDhC,SAAwBsZ,GACpBA,EAAEuF,uCACFvF,EAAEqC,mBAAmB1iB,EAAE+G,IACvBsZ,EAAEpJ,aAAa1B,MACf8K,EAAEgB,YAAa,CACnB,CA+CgB+H,CAAe/I,GACR5W,IAAOzJ,EAAEsH,IAAMmC,IAAOzJ,EAAEmJ,GAC/Bgc,GAAmB9E,EAAG1kB,GAEtBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAE4G,IACTue,GAAmB9E,EAAG1kB,GACf8N,IAAOzJ,EAAE2M,KAAOlD,IAAOzJ,EAAEmH,KAAOsC,IAAOzJ,EAAEgO,KAAOvE,IAAOzJ,EAAEyI,IAChEkgB,GAAoBtI,EAAG1kB,GAEvBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAE8G,KA3JzB,SAA0BuZ,GAClBA,EAAEpJ,aAAaK,WAAWtX,EAAE8G,QAC5BuZ,EAAEI,cAAgBpB,EAE1B,CAwJgBgK,CAAiBhJ,GACV5W,IAAOzJ,EAAED,KAvJhC,SAA0BsgB,EAAG1kB,GACrB0kB,EAAEpJ,aAAaK,WAAWtX,EAAE8G,QAC5BuZ,EAAEI,cAAgBpB,EAClBgB,EAAEhJ,cAAc1b,GAExB,CAmJgB2tB,CAAiBjJ,EAAG1kB,GACb8N,IAAOzJ,EAAEgN,KAzIhC,SAA0BqT,GACtB,MAAMsH,EAAatH,EAAEpJ,aAAawO,UAAY,EACxCmC,EAAcvH,EAAEuH,YAEjBD,IACDtH,EAAEuH,YAAc,OAGfA,GAAeD,IAAetH,EAAEpJ,aAAaK,WAAWtX,EAAEgN,QAC3DqT,EAAEpJ,aAAakL,yBAEXwF,EACAtH,EAAEpJ,aAAamL,sBAAsBpiB,EAAEgN,MAEvCqT,EAAEpJ,aAAarB,OAAOgS,GAGlC,CAyHgB2B,CAAiBlJ,GACV5W,IAAOzJ,EAAEiH,MAAQwC,IAAOzJ,EAAE0J,MAAQD,IAAOzJ,EAAEsI,KAClD6c,GAAmB9E,EAAG1kB,GACf8N,IAAOzJ,EAAE2N,MAAQlE,IAAOzJ,EAAEoI,KACjCugB,GAAoBtI,EAAG1kB,GAEvBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEiM,MACT0c,GAAoBtI,EAAG1kB,GAChB8N,IAAOzJ,EAAE4I,MAChBuc,GAAmB9E,EAAG1kB,GAEtBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,EAEG8N,IAAOzJ,EAAEgH,QACTyC,IAAOzJ,EAAE8M,QACTrD,IAAOzJ,EAAE+M,QACTtD,IAAOzJ,EAAEmN,QACT1D,IAAOzJ,EAAEoN,QACT3D,IAAOzJ,EAAE0M,OAETic,GAAoBtI,EAAG1kB,GAChB8N,IAAOzJ,EAAE8L,QAAUrC,IAAOzJ,EAAEoO,OACnCwa,GAAmBvI,EAAG1kB,GACf8N,IAAOzJ,EAAE+I,QAAUU,IAAOzJ,EAAE8I,OACnCqc,GAAmB9E,EAAG1kB,GAEtBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,EAEG8N,IAAOzJ,EAAE6L,SACTpC,IAAOzJ,EAAEgM,SACTvC,IAAOzJ,EAAEyM,SACThD,IAAOzJ,EAAE8O,SACTrF,IAAOzJ,EAAEkP,SACTzF,IAAOzJ,EAAEmI,QAETwgB,GAAoBtI,EAAG1kB,GAChB8N,IAAOzJ,EAAE6N,QAChB+a,GAAmBvI,EAAG1kB,GAEtBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,EACG8N,IAAOzJ,EAAE4M,SACT+b,GAAoBtI,EAAG1kB,GAChB8N,IAAOzJ,EAAEoP,SAChB8R,GAAab,EAAG1kB,GAEhBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,KAAK,GACG8N,IAAOzJ,EAAE6G,YAAc4C,IAAOzJ,EAAE6M,WAChC8b,GAAoBtI,EAAG1kB,GAEvBkoB,GAAoBxD,EAAG1kB,GAG3B,MAEJ,QACIkoB,GAAoBxD,EAAG1kB,GAEnC,CAEA,SAAS8lB,GAAUpB,EAAG1kB,GACd0kB,EAAEmJ,2BAA6B,EAC/BpG,GAAc/C,EAAG1kB,GAEjB0kB,EAAE+E,SAAU,CAEpB,CAsBA,SAASxD,GAAiBvB,EAAG1kB,GACzB,MAAM8tB,EAAQpJ,EAAEpJ,aAAauL,eAEzBiH,IAAUzpB,EAAEkJ,OAASugB,IAAUzpB,EAAEmP,OAASsa,IAAUzpB,EAAEsP,OAASma,IAAUzpB,EAAEyP,OAASga,IAAUzpB,EAAE0P,IAChG2Q,EAAE0B,uBAAyB,GAC3B1B,EAAE2B,uCAAwC,EAC1C3B,EAAEsB,sBAAwBtB,EAAEI,cAC5BJ,EAAEI,cAAgB7B,EAClByB,EAAEhJ,cAAc1b,IAEhB+tB,GAAarJ,EAAG1kB,EAExB,CA+DA,SAASkmB,GAAgBxB,EAAG1kB,GACxB,MAAM8N,EAAK9N,EAAMpjB,QAEjB,OAAQkxB,EAAGpyB,QACP,KAAK,EACGoyB,IAAOzJ,EAAEuP,IAAM9F,IAAOzJ,EAAEwP,IAAM/F,IAAOzJ,EAAE0P,GAxCvD,SAA2B2Q,EAAG1kB,GAC1B0kB,EAAEpJ,aAAa0S,0BACftJ,EAAEqC,mBAAmB1iB,EAAEmP,OACvBkR,EAAEI,cAAgB1B,EAClBsB,EAAEhJ,cAAc1b,EACpB,CAoCgBiuB,CAAkBvJ,EAAG1kB,GAErB+tB,GAAarJ,EAAG1kB,GAGpB,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEuM,IA9DzB,SAA4B8T,EAAG1kB,GAC3B0kB,EAAEpJ,aAAa0S,0BACftJ,EAAEqC,mBAAmB1iB,EAAEwM,UACvB6T,EAAEI,cAAgB3B,EAClBuB,EAAEhJ,cAAc1b,EACpB,CA0DgBkuB,CAAmBxJ,EAAG1kB,GAEtB+tB,GAAarJ,EAAG1kB,GAGpB,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEgN,KA/BzB,SAA6BqT,EAAG1kB,GACvB0kB,EAAEuH,aAA4C,IAA7BvH,EAAEpJ,aAAawO,YACjCpF,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEuH,YAAcvH,EAAEpJ,aAAazB,QAC/B6K,EAAEpJ,aAAa1B,MAEvB,CA0BgBuU,CAAoBzJ,EAAG1kB,GAEvB+tB,GAAarJ,EAAG1kB,GAGpB,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEkJ,MA5DzB,SAA8BmX,EAAG1kB,GACzB0kB,EAAEpJ,aAAaiL,gBAAgBliB,EAAEkJ,SACjCmX,EAAEpJ,aAAamL,sBAAsBpiB,EAAEkJ,OACvCmX,EAAE2C,sBACF3C,EAAEhJ,cAAc1b,GAExB,CAuDgBouB,CAAqB1J,EAAG1kB,GACjB8N,IAAOzJ,EAAEiP,MAChBgS,GAAeZ,EAAG1kB,GACX8N,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEsP,OAAS7F,IAAOzJ,EAAEyP,MA7EpE,SAA8B4Q,EAAG1kB,GAC7B0kB,EAAEpJ,aAAa0S,0BACftJ,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgB1B,CACtB,CA0EgBiL,CAAqB3J,EAAG1kB,GACjB8N,IAAOzJ,EAAEsN,MA1DhC,SAA8B+S,EAAG1kB,GAC7B,MAAMusB,EAAYpoB,EAAU6J,aAAahO,EAAOyE,EAAMoL,MAElD0c,GAAaA,EAAU7uC,gBAAkB2kC,EACzCqC,EAAExI,eAAelc,EAAOuE,EAAGH,MAE3B2pB,GAAarJ,EAAG1kB,GAGpBA,EAAM4mB,gBAAiB,CAC3B,CAiDgB0H,CAAqB5J,EAAG1kB,GAExB+tB,GAAarJ,EAAG1kB,GAGpB,MAEJ,KAAK,EACG8N,IAAOzJ,EAAE6O,OACToS,GAAeZ,EAAG1kB,GAElB+tB,GAAarJ,EAAG1kB,GAGpB,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEsM,QArHzB,SAAgC+T,EAAG1kB,GAC/B0kB,EAAEpJ,aAAa0S,0BACftJ,EAAEgC,yBAAyB1F,eAC3B0D,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgB5B,CACtB,CAiHgBqL,CAAuB7J,EAAG1kB,GAE1B+tB,GAAarJ,EAAG1kB,GAGpB,MAEJ,KAAK,EACG8N,IAAOzJ,EAAEwM,SAvHzB,SAAiC6T,EAAG1kB,GAChC0kB,EAAEpJ,aAAa0S,0BACftJ,EAAEtI,eAAepc,EAAOuE,EAAGH,MAC3BsgB,EAAEI,cAAgB3B,CACtB,CAoHgBqL,CAAwB9J,EAAG1kB,GACpB8N,IAAOzJ,EAAEoP,SAChB6R,GAAeZ,EAAG1kB,GAElB+tB,GAAarJ,EAAG1kB,GAGpB,MAEJ,QACI+tB,GAAarJ,EAAG1kB,GAE5B,CAEA,SAASmmB,GAAczB,EAAG1kB,GACtB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAEkJ,MACLmX,EAAEpJ,aAAaiL,gBAAgBliB,EAAEkJ,SACjCmX,EAAEpJ,aAAamL,sBAAsBpiB,EAAEkJ,OACvCmX,EAAE2C,uBAECvZ,IAAOzJ,EAAEoP,SAChB8R,GAAab,EAAG1kB,GAEhB8N,IAAOzJ,EAAE8G,MACT2C,IAAOzJ,EAAEsM,SACT7C,IAAOzJ,EAAEuM,KACT9C,IAAOzJ,EAAEwM,UACT/C,IAAOzJ,EAAED,MACT0J,IAAOzJ,EAAEmP,OACT1F,IAAOzJ,EAAEuP,IACT9F,IAAOzJ,EAAEsP,OACT7F,IAAOzJ,EAAEwP,IACT/F,IAAOzJ,EAAEyP,OACThG,IAAOzJ,EAAE0P,IAETga,GAAarJ,EAAG1kB,EAExB,CAEA,SAAS+tB,GAAarJ,EAAG1kB,GACrB,MAAMyuB,EAA4B/J,EAAEgK,uBAEpChK,EAAEgK,wBAAyB,EAC3BhK,EAAEiK,wBAAwB3uB,GAC1B0kB,EAAEgK,uBAAyBD,CAC/B,CAaA,SAASnI,GAAiB5B,EAAG1kB,GACzB,IAAIvkB,EAAI,EAER,GAAIipC,EAAE2B,sCACF,KAAO5qC,EAAIipC,EAAE0B,uBAAuB1qC,OAAQD,IACxCsyC,GAAarJ,EAAGA,EAAE0B,uBAAuB3qC,SAG7C,KAAOA,EAAIipC,EAAE0B,uBAAuB1qC,OAAQD,IACxCipC,EAAE7H,kBAAkB6H,EAAE0B,uBAAuB3qC,IAIrDipC,EAAEI,cAAgBJ,EAAEsB,sBACpBtB,EAAEhJ,cAAc1b,EACpB,CA4FA,SAAS2mB,GAAmBjC,EAAG1kB,GACvB0kB,EAAEpJ,aAAauL,iBAAmBxiB,EAAEwM,WACpC6T,EAAEpJ,aAAa1B,MACf8K,EAAEI,cAAgB9B,EAClB0B,EAAEhJ,cAAc1b,GAExB,CAuKA,SAASmnB,GAAiBzC,EAAG1kB,GACzB,MAAM8N,EAAK9N,EAAMpjB,QAEbkxB,IAAOzJ,EAAED,KACT8gB,GAAeR,EAAG1kB,GACX8N,IAAOzJ,EAAEsO,QACZ+R,EAAEpJ,aAAauL,iBAAmBxiB,EAAEsO,QACpC+R,EAAEpJ,aAAa1B,MAGnB8K,EAAEtI,eAAepc,EAAOuE,EAAGH,OACpB0J,IAAOzJ,EAAEqO,UACZgS,EAAEpJ,aAAauL,iBAAmBxiB,EAAEsO,QACpC+R,EAAEpJ,aAAa1B,MAGf8K,EAAEpJ,aAAauL,iBAAmBxiB,EAAEqO,UACpCgS,EAAEpJ,aAAa1B,MAGnB8K,EAAEtI,eAAepc,EAAOuE,EAAGH,OACpB0J,IAAOzJ,EAAEsN,OAAS7D,IAAOzJ,EAAEwN,QAAU/D,IAAOzJ,EAAEqP,UAAY5F,IAAOzJ,EAAE+O,OACtEsR,EAAEpJ,aAAasT,iBAAiBvqB,EAAE+O,UAClCsR,EAAEpJ,aAAamL,sBAAsBpiB,EAAE+O,QACvCsR,EAAE2C,sBAEEvZ,IAAOzJ,EAAE+O,QACTsR,EAAEhJ,cAAc1b,IAGjB8N,IAAOzJ,EAAE6O,QAAUpF,IAAOzJ,EAAEoP,UACnC6R,GAAeZ,EAAG1kB,EAE1B,CAEA,SAASonB,GAAe1C,EAAG1kB,GACvB,MAAM8N,EAAK9N,EAAMpjB,QAEjB,GAAIkxB,IAAOzJ,EAAEqO,SAAU,CACnB,MAAMmc,EAAkBnK,EAAEpJ,aAAatB,MAAM0K,EAAEpJ,aAAavB,SAAW,GACjE+U,EAAoBD,GAAmBnK,EAAEtK,YAAYY,WAAW6T,GAElEnK,EAAEpJ,aAAauL,iBAAmBxiB,EAAEsO,QAAUmc,IAAsBzqB,EAAEqO,UACtEgS,EAAEpJ,aAAa1B,MAGf8K,EAAEpJ,aAAauL,iBAAmBxiB,EAAEqO,UACpCgS,EAAEpJ,aAAa1B,KAEvB,MAAW9L,IAAOzJ,EAAEsO,OACZ+R,EAAEpJ,aAAauL,iBAAmBxiB,EAAEsO,QACpC+R,EAAEpJ,aAAa1B,MAEZ9L,IAAOzJ,EAAE+O,QAAUsR,EAAEpJ,aAAasT,iBAAiBvqB,EAAE+O,SAC5DsR,EAAEpJ,aAAamL,sBAAsBpiB,EAAE+O,QACvCsR,EAAE2C,uBACKvZ,IAAOzJ,EAAEoP,UAChB8R,GAAab,EAAG1kB,EAExB,CAkFA,SAASynB,GAAc/C,EAAG1kB,GAClB0kB,EAAEpJ,aAAawO,UAAY,GAC3BpF,EAAEpJ,aAAamL,sBAAsBpiB,EAAEoP,UACvCiR,EAAEgC,yBAAyBpF,oBAC3BoD,EAAE6C,wBACF7C,EAAE2C,sBACF3C,EAAEhJ,cAAc1b,IAEhB0kB,EAAE+E,SAAU,CAEpB,CAsBA,SAAS/B,GAAehD,EAAG1kB,GACvB0kB,EAAEI,cAAgBhC,EAClB4B,EAAEhJ,cAAc1b,EACpB,CAyDA,SAAS6nB,GAAoBnD,EAAG1kB,GAC5B0kB,EAAEI,cAAgBhC,EAClB4B,EAAEhJ,cAAc1b,EACpB,CA98DA/kB,EAAOC,QAnjBP,MACIZ,WAAAA,CAAYG,GACRic,KAAKjc,QAAUonC,EAAaK,EAAiBznC,GAE7Cic,KAAK0jB,YAAc1jB,KAAKjc,QAAQ2/B,YAChC1jB,KAAKqvB,cAAgB,KAEjBrvB,KAAKjc,QAAQ2nC,wBACb/K,EAAM2B,QAAQtiB,KAAMgrB,GAGpBhrB,KAAKjc,QAAQi9B,cACbL,EAAM2B,QAAQtiB,KAAMirB,EAA2B,CAAEjK,aAAchhB,KAAKjc,QAAQi9B,cAEpF,CAGAzY,KAAAA,CAAMzf,GACF,MAAMwb,EAAWtE,KAAK0jB,YAAY2U,iBAMlC,OAJAr4B,KAAKoiB,WAAW9d,EAAU,MAC1BtE,KAAKuiB,UAAU+V,MAAMxvC,GAAM,GAC3BkX,KAAK6kB,gBAAgB,MAEdvgB,CACX,CAEAi0B,aAAAA,CAAczvC,EAAMu5B,GAGXA,IACDA,EAAkBriB,KAAK0jB,YAAYnf,cAAcoJ,EAAEoP,SAAUlP,EAAGH,KAAM,KAM1E,MAAM8qB,EAAex4B,KAAK0jB,YAAYnf,cAAc,eAAgBsJ,EAAGH,KAAM,IAE7E1N,KAAKoiB,WAAWoW,EAAcnW,GAE1BriB,KAAK0jB,YAAYY,WAAWjC,KAAqB1U,EAAEoP,UACnD/c,KAAK8wB,uBAAuB/D,GAGhC/sB,KAAKy4B,mCACLz4B,KAAK8lB,yBACL9lB,KAAK2wB,sBACL3wB,KAAK04B,6BACL14B,KAAKuiB,UAAU+V,MAAMxvC,GAAM,GAC3BkX,KAAK6kB,gBAAgB,MAErB,MAAM8T,EAAc34B,KAAK0jB,YAAYkV,cAAcJ,GAC7CK,EAAW74B,KAAK0jB,YAAYoV,yBAIlC,OAFA94B,KAAK4yB,YAAY+F,EAAaE,GAEvBA,CACX,CAGAzW,UAAAA,CAAW9d,EAAU+d,GACjBriB,KAAKuiB,UAAY,IAAI9U,EAAUzN,KAAKjc,SAEpCic,KAAK+yB,SAAU,EAEf/yB,KAAKouB,cAAgBtC,EACrB9rB,KAAKsvB,sBAAwB,GAE7BtvB,KAAKsE,SAAWA,EAChBtE,KAAKqiB,gBAAkBA,EAEvBriB,KAAKyuB,YAAc,KACnBzuB,KAAKu1B,YAAc,KAEnBv1B,KAAK4kB,aAAe,IAAImG,EAAiB/qB,KAAKsE,SAAUtE,KAAK0jB,aAC7D1jB,KAAKgwB,yBAA2B,IAAIlH,EAAsB9oB,KAAK0jB,aAE/D1jB,KAAK+4B,uBAAyB,GAC9B/4B,KAAKm3B,2BAA6B,EAClCn3B,KAAKg5B,yBAA2B,KAEhCh5B,KAAK0vB,uBAAyB,GAC9B1vB,KAAK2vB,uCAAwC,EAE7C3vB,KAAKgvB,YAAa,EAClBhvB,KAAK4zB,iBAAkB,EACvB5zB,KAAKg4B,wBAAyB,CAClC,CAGApW,IAAAA,GACI,CAIJiD,eAAAA,CAAgBC,GACZ,MAAQ9kB,KAAK+yB,SAAS,CAClB/yB,KAAKi5B,2BAEL,MAAM3vB,EAAQtJ,KAAKuiB,UAAU2W,eAE7B,GAAI5vB,EAAMrjB,OAASwnB,EAAU0rB,kBACzB,MAGJ,GAAIn5B,KAAK4zB,kBACL5zB,KAAK4zB,iBAAkB,EAEnBtqB,EAAMrjB,OAASwnB,EAAUkgB,4BAAiD,OAAnBrkB,EAAM8vB,MAAM,IAAa,CAChF,GAA2B,IAAvB9vB,EAAM8vB,MAAMp0C,OACZ,SAGJskB,EAAM8vB,MAAQ9vB,EAAM8vB,MAAMC,OAAO,EACrC,CAKJ,GAFAr5B,KAAKwiB,mBAAmBlZ,GAEpBwb,GAAiB9kB,KAAKqvB,cACtB,KAER,CACJ,CAEAiK,6BAAAA,CAA8BC,EAAezU,GAGzC,GAFA9kB,KAAK6kB,gBAAgBC,GAEjBA,GAAiB9kB,KAAKqvB,cAAe,CACrC,MAAMmK,EAASx5B,KAAKqvB,cAMpB,OAJArvB,KAAKqvB,cAAgB,UAErBvK,EAAc0U,EAGlB,CAEID,GACAA,GAER,CAGAN,wBAAAA,GACI,MAAM9V,EAAUnjB,KAAKy5B,6BAErBz5B,KAAKuiB,UAAUmX,WACXvW,GACAA,IAAYnjB,KAAKsE,UACjBtE,KAAK0jB,YAAY8F,gBAAgBrG,KAAatV,EAAGH,OAChD1N,KAAK25B,oBAAoBxW,EAClC,CAEA6P,oBAAAA,CAAqBnP,EAAc+V,GAC/B55B,KAAK0lB,eAAe7B,EAAchW,EAAGH,MACrC1N,KAAKuiB,UAAU8F,MAAQuR,EACvB55B,KAAKsvB,sBAAwBtvB,KAAKouB,cAClCpuB,KAAKouB,cAAgB/B,CACzB,CAEAwN,wBAAAA,GACI75B,KAAKouB,cAAgB/B,EACrBrsB,KAAKsvB,sBAAwBlD,EAC7BpsB,KAAKuiB,UAAU8F,MAAQ5a,EAAUya,KAAK/L,SAC1C,CAGAsd,0BAAAA,GACI,OAAsC,IAA/Bz5B,KAAK4kB,aAAavB,UAAkBrjB,KAAKqiB,gBAC1CriB,KAAKqiB,gBACLriB,KAAK4kB,aAAazB,OAC5B,CAEAuV,0BAAAA,GACI,IAAIvxC,EAAO6Y,KAAKqiB,gBAEhB,EAAG,CACC,GAAIriB,KAAK0jB,YAAYY,WAAWn9B,KAAUwmB,EAAEgN,KAAM,CAC9C3a,KAAKu1B,YAAcpuC,EACnB,KACJ,CAEAA,EAAO6Y,KAAK0jB,YAAYoW,cAAc3yC,EAC1C,OAASA,EACb,CAEAsxC,gCAAAA,GACI,GAAIz4B,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKqiB,mBAAqBxU,EAAGH,KAAM,CACpE,MAAM0J,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKqiB,iBAExCjL,IAAOzJ,EAAEgL,OAASvB,IAAOzJ,EAAEqP,SAC3Bhd,KAAKuiB,UAAU8F,MAAQ5a,EAAUya,KAAK+K,OAEtC7b,IAAOzJ,EAAEiP,OACTxF,IAAOzJ,EAAE6P,KACTpG,IAAOzJ,EAAEuN,QACT9D,IAAOzJ,EAAEkO,SACTzE,IAAOzJ,EAAEiO,UACTxE,IAAOzJ,EAAEmO,SAET9b,KAAKuiB,UAAU8F,MAAQ5a,EAAUya,KAAKgL,QAC/B9b,IAAOzJ,EAAE6O,OAChBxc,KAAKuiB,UAAU8F,MAAQ5a,EAAUya,KAAKiL,YAC/B/b,IAAOzJ,EAAEwO,YAChBnc,KAAKuiB,UAAU8F,MAAQ5a,EAAUya,KAAK/L,UAE9C,CACJ,CAGA+I,gBAAAA,CAAiB5b,GACb,MAAMtlB,EAAOslB,EAAMtlB,MAAQ,GACrBmlB,EAAWG,EAAMH,UAAY,GAC7BI,EAAWD,EAAMC,UAAY,GAEnCvJ,KAAK0jB,YAAYqW,gBAAgB/5B,KAAKsE,SAAUtgB,EAAMmlB,EAAUI,EACpE,CAEAgc,oBAAAA,CAAqB/B,GACjB,GAAIxjB,KAAKqmB,iCACLrmB,KAAK0yB,qBAAqBlP,OACvB,CACH,MAAMwC,EAAShmB,KAAK4kB,aAAa0B,oBAAsBtmB,KAAK4kB,aAAazB,QAEzEnjB,KAAK0jB,YAAY4O,YAAYtM,EAAQxC,EACzC,CACJ,CAEAgC,cAAAA,CAAelc,EAAOmc,GAClB,MAAMjC,EAAUxjB,KAAK0jB,YAAYnf,cAAc+E,EAAMpjB,QAASu/B,EAAcnc,EAAMqO,OAElF3X,KAAKulB,qBAAqB/B,EAC9B,CAEAkC,cAAAA,CAAepc,EAAOmc,GAClB,MAAMjC,EAAUxjB,KAAK0jB,YAAYnf,cAAc+E,EAAMpjB,QAASu/B,EAAcnc,EAAMqO,OAElF3X,KAAKulB,qBAAqB/B,GAC1BxjB,KAAK4kB,aAAa5+B,KAAKw9B,EAC3B,CAEA6M,kBAAAA,CAAmBnqC,GACf,MAAMs9B,EAAUxjB,KAAK0jB,YAAYnf,cAAcre,EAAS2nB,EAAGH,KAAM,IAEjE1N,KAAKulB,qBAAqB/B,GAC1BxjB,KAAK4kB,aAAa5+B,KAAKw9B,EAC3B,CAEAmC,eAAAA,CAAgBrc,GACZ,MAAM0wB,EAAOh6B,KAAK0jB,YAAYnf,cAAc+E,EAAMpjB,QAAS2nB,EAAGH,KAAMpE,EAAMqO,OACpEjwB,EAAUsY,KAAK0jB,YAAYoV,yBAEjC94B,KAAK0jB,YAAYuW,mBAAmBD,EAAMtyC,GAC1CsY,KAAKulB,qBAAqByU,GAC1Bh6B,KAAK4kB,aAAa5+B,KAAKg0C,EAC3B,CAEAlU,sBAAAA,GACI,MAAMtC,EAAUxjB,KAAK0jB,YAAYnf,cAAcoJ,EAAED,KAAMG,EAAGH,KAAM,IAEhE1N,KAAK0jB,YAAY4O,YAAYtyB,KAAK4kB,aAAazB,QAASK,GACxDxjB,KAAK4kB,aAAa5+B,KAAKw9B,EAC3B,CAEAuC,kBAAAA,CAAmBzc,EAAO0c,GACtB,MAAMC,EAAcjmB,KAAK0jB,YAAYwW,kBAAkB5wB,EAAM3f,MAE7DqW,KAAK0jB,YAAY4O,YAAYtM,EAAQC,EACzC,CAEAE,iBAAAA,CAAkB7c,GACd,GAAItJ,KAAKqmB,iCACLrmB,KAAKm6B,kBAAkB7wB,EAAM8vB,WAC1B,CACH,MAAMpT,EAAShmB,KAAK4kB,aAAa0B,oBAAsBtmB,KAAK4kB,aAAazB,QAEzEnjB,KAAK0jB,YAAY0W,WAAWpU,EAAQ1c,EAAM8vB,MAC9C,CACJ,CAEAxG,WAAAA,CAAYyH,EAAOC,GACf,IAAK,IAAIC,EAAQv6B,KAAK0jB,YAAYkV,cAAcyB,GAAQE,EAAOA,EAAQv6B,KAAK0jB,YAAYkV,cAAcyB,GAClGr6B,KAAK0jB,YAAY2O,WAAWkI,GAC5Bv6B,KAAK0jB,YAAY4O,YAAYgI,EAAWC,EAEhD,CAGAC,mCAAAA,CAAoClxB,GAChC,MAAM6Z,EAAUnjB,KAAKy5B,6BAErB,IAAKtW,GAAWA,IAAYnjB,KAAKsE,SAC7B,OAAO,EAGX,MAAM6T,EAAKnY,KAAK0jB,YAAY8F,gBAAgBrG,GAE5C,GAAIhL,IAAOtK,EAAGH,KACV,OAAO,EAGX,GACI1N,KAAK0jB,YAAYY,WAAWnB,KAAaxV,EAAE2K,gBAC3CH,IAAOtK,EAAGwK,QACV/O,EAAMrjB,OAASwnB,EAAU4gB,iBACzB/kB,EAAMpjB,UAAYynB,EAAE6K,IAEpB,OAAO,EAGX,MAAMiiB,EACFnxB,EAAMrjB,OAASwnB,EAAU+f,iBACzBlkB,EAAMrjB,OAASwnB,EAAUigB,sBACzBpkB,EAAMrjB,OAASwnB,EAAUkgB,2BAK7B,SAFIrkB,EAAMrjB,OAASwnB,EAAU4gB,iBAAmB/kB,EAAMpjB,UAAYynB,EAAE+N,QAAUpS,EAAMpjB,UAAYynB,EAAE4N,cAErEkf,IAAqBz6B,KAAK25B,oBAAoBxW,EAAStV,EAAGwK,YAKlF/O,EAAMrjB,OAASwnB,EAAU4gB,kBAAmBoM,IAC7Cz6B,KAAK25B,oBAAoBxW,EAAStV,EAAGH,QAKlCpE,EAAMrjB,OAASwnB,EAAUsa,UACpC,CAEA/C,aAAAA,CAAc1b,GACVikB,EAAevtB,KAAKouB,eAAe9kB,EAAMrjB,MAAM+Z,KAAMsJ,EACzD,CAEA2uB,uBAAAA,CAAwB3uB,GACpBikB,EAAenB,GAAc9iB,EAAMrjB,MAAM+Z,KAAMsJ,EACnD,CAEAyb,6BAAAA,CAA8Bzb,GACtBA,EAAMrjB,OAASwnB,EAAU+f,gBA+rErC,SAAmCQ,EAAG1kB,GAClC0kB,EAAE7H,kBAAkB7c,GACpB0kB,EAAEgB,YAAa,CACnB,CAjsEY0L,CAA0B16B,KAAMsJ,GACzBA,EAAMrjB,OAASwnB,EAAUigB,qBAwrE5C,SAAuCM,EAAG1kB,GACtCA,EAAM8vB,MAAQ7N,EAAQ5N,sBACtBqQ,EAAE7H,kBAAkB7c,EACxB,CA1rEYqxB,CAA8B36B,KAAMsJ,GAC7BA,EAAMrjB,OAASwnB,EAAUkgB,2BAChCgB,GAAiB3uB,KAAMsJ,GAChBA,EAAMrjB,OAASwnB,EAAUogB,cAChCC,GAAc9tB,KAAMsJ,GACbA,EAAMrjB,OAASwnB,EAAU4gB,gBA4rE5C,SAAkCL,EAAG1kB,GACjC,GAAI+hB,EAAenU,WAAW5N,KAAW0kB,EAAE3L,gBAAiB,CACxD,KACI2L,EAAEtK,YAAY8F,gBAAgBwE,EAAEpJ,aAAazB,WAAatV,EAAGH,OAC5DsgB,EAAE2L,oBAAoB3L,EAAEpJ,aAAazB,UAEtC6K,EAAEpJ,aAAa1B,MAGnB8K,EAAEhJ,cAAc1b,EACpB,KAAO,CACH,MAAM6Z,EAAU6K,EAAEyL,6BACZmB,EAAY5M,EAAEtK,YAAY8F,gBAAgBrG,GAE5CyX,IAAc/sB,EAAGwK,OACjBgT,EAAe3T,uBAAuBpO,GAC/BsxB,IAAc/sB,EAAG2K,MACxB6S,EAAerT,sBAAsB1O,GACrC+hB,EAAezT,oBAAoBtO,IAGvC+hB,EAAevT,oBAAoBxO,GAE/BA,EAAMyrB,YACN/G,EAAExI,eAAelc,EAAOsxB,GAExB5M,EAAEtI,eAAepc,EAAOsxB,GAG5BtxB,EAAM4mB,gBAAiB,CAC3B,CACJ,CA1tEY2K,CAAyB76B,KAAMsJ,GACxBA,EAAMrjB,OAASwnB,EAAU+W,eA2tE5C,SAAgCwJ,EAAG1kB,GAC/B,IAAK,IAAIvkB,EAAIipC,EAAEpJ,aAAavB,SAAUt+B,EAAI,EAAGA,IAAK,CAC9C,MAAMy+B,EAAUwK,EAAEpJ,aAAatB,MAAMv+B,GAErC,GAAIipC,EAAEtK,YAAY8F,gBAAgBhG,KAAa3V,EAAGH,KAAM,CACpDsgB,EAAEhJ,cAAc1b,GAChB,KACJ,CAEA,GAAI0kB,EAAEtK,YAAYY,WAAWd,GAASx8B,gBAAkBsiB,EAAMpjB,QAAS,CACnE8nC,EAAEpJ,aAAagN,sBAAsBpO,GACrC,KACJ,CACJ,CACJ,CAxuEYsX,CAAuB96B,KAAMsJ,EAErC,CAEAkZ,kBAAAA,CAAmBlZ,GACXtJ,KAAKw6B,oCAAoClxB,GACzCtJ,KAAK+kB,8BAA8Bzb,GAEnCtJ,KAAKglB,cAAc1b,GAGnBA,EAAMrjB,OAASwnB,EAAU4gB,iBAAmB/kB,EAAMyrB,cAAgBzrB,EAAM4mB,gBACxElwB,KAAK4hB,KAAK0J,EAAIthB,8CAEtB,CAGA2vB,mBAAAA,CAAoBnW,EAASpL,GACzB,MAAMhB,EAAKpX,KAAK0jB,YAAYY,WAAWd,GACjCrL,EAAKnY,KAAK0jB,YAAY8F,gBAAgBhG,GACtC7L,EAAQ3X,KAAK0jB,YAAY2F,YAAY7F,GAE3C,OAAO6H,EAAenT,mBAAmBd,EAAIe,EAAIR,EAAOS,EAC5D,CAGAmb,oCAAAA,GACI,MAAMwH,EAAa/6B,KAAKgwB,yBAAyBhrC,OAEjD,GAAI+1C,EAAY,CACZ,IAAIC,EAAYD,EACZtR,EAAQ,KAEZ,GAII,GAHAuR,IACAvR,EAAQzpB,KAAKgwB,yBAAyBjH,QAAQiS,GAE1CvR,EAAMxjC,OAAS6iC,EAAsBY,cAAgB1pB,KAAK4kB,aAAa2M,SAAS9H,EAAMjG,SAAU,CAChGwX,IACA,KACJ,QACKA,EAAY,GAErB,IAAK,IAAIj2C,EAAIi2C,EAAWj2C,EAAIg2C,EAAYh2C,IACpC0kC,EAAQzpB,KAAKgwB,yBAAyBjH,QAAQhkC,GAC9Cib,KAAK0lB,eAAe+D,EAAMngB,MAAOtJ,KAAK0jB,YAAY8F,gBAAgBC,EAAMjG,UACxEiG,EAAMjG,QAAUxjB,KAAK4kB,aAAazB,OAE1C,CACJ,CAGAqN,eAAAA,GACIxwB,KAAK4kB,aAAakL,yBAClB9vB,KAAK4kB,aAAaqW,0BAClBj7B,KAAKgwB,yBAAyBpF,oBAC9B5qB,KAAKouB,cAAgBzB,CACzB,CAEA+G,cAAAA,GACI1zB,KAAK4kB,aAAa8P,oCAAoC/mB,EAAEwI,GACxDnW,KAAK4kB,aAAamL,sBAAsBpiB,EAAEwI,EAC9C,CAGAwa,mBAAAA,GACI,IAAK,IAAI5rC,EAAIib,KAAK4kB,aAAavB,SAAU6X,GAAO,EAAOn2C,GAAK,EAAGA,IAAK,CAChE,IAAIy+B,EAAUxjB,KAAK4kB,aAAatB,MAAMv+B,GAE5B,IAANA,IACAm2C,GAAO,EAEHl7B,KAAKqiB,kBACLmB,EAAUxjB,KAAKqiB,kBAIvB,MAAMjL,EAAKpX,KAAK0jB,YAAYY,WAAWd,GACjCoN,EAAmBvD,EAAyBjW,GAElD,GAAIwZ,EAAkB,CAClB5wB,KAAKouB,cAAgBwC,EACrB,KACJ,CAAO,KAAKsK,GAAS9jB,IAAOzJ,EAAEuP,IAAM9F,IAAOzJ,EAAEwP,IAAK,CAC9Cnd,KAAKouB,cAAgBxB,EACrB,KACJ,CAAO,IAAKsO,GAAQ9jB,IAAOzJ,EAAE8H,KAAM,CAC/BzV,KAAKouB,cAAgBnC,EACrB,KACJ,CAAO,GAAI7U,IAAOzJ,EAAE+O,OAAQ,CACxB1c,KAAKm7B,6BAA6Bp2C,GAClC,KACJ,CAAO,GAAIqyB,IAAOzJ,EAAEoP,SAAU,CAC1B/c,KAAKouB,cAAgBpuB,KAAKg5B,yBAC1B,KACJ,CAAO,GAAI5hB,IAAOzJ,EAAED,KAAM,CACtB1N,KAAKouB,cAAgBpuB,KAAKyuB,YAActC,EAAkBH,EAC1D,KACJ,CAAO,GAAIkP,EAAM,CACbl7B,KAAKouB,cAAgBhC,EACrB,KACJ,CACJ,CACJ,CAEA+O,4BAAAA,CAA6BC,GACzB,GAAIA,EAAY,EACZ,IAAK,IAAIr2C,EAAIq2C,EAAY,EAAGr2C,EAAI,EAAGA,IAAK,CACpC,MAAMs2C,EAAWr7B,KAAK4kB,aAAatB,MAAMv+B,GACnCqyB,EAAKpX,KAAK0jB,YAAYY,WAAW+W,GAEvC,GAAIjkB,IAAOzJ,EAAEoP,SACT,MACG,GAAI3F,IAAOzJ,EAAEkJ,MAEhB,YADA7W,KAAKouB,cAAgBtB,EAG7B,CAGJ9sB,KAAKouB,cAAgBvB,CACzB,CAEAiE,sBAAAA,CAAuB7C,GACnBjuB,KAAK+4B,uBAAuB/yC,KAAKioC,GACjCjuB,KAAKm3B,4BACLn3B,KAAKg5B,yBAA2B/K,CACpC,CAEA4C,qBAAAA,GACI7wB,KAAK+4B,uBAAuB7V,MAC5BljB,KAAKm3B,4BACLn3B,KAAKg5B,yBAA2Bh5B,KAAK+4B,uBAAuB/4B,KAAKm3B,0BACrE,CAGA1E,+BAAAA,CAAgCjP,GAC5B,MAAMpM,EAAKpX,KAAK0jB,YAAYY,WAAWd,GAEvC,OAAOpM,IAAOzJ,EAAEkJ,OAASO,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEsP,OAAS7F,IAAOzJ,EAAEyP,OAAShG,IAAOzJ,EAAE0P,EAC5F,CAEAgJ,8BAAAA,GACI,OAAOrmB,KAAKg4B,wBAA0Bh4B,KAAKyyB,gCAAgCzyB,KAAK4kB,aAAazB,QACjG,CAEA+C,4BAAAA,GACI,MAAMzD,EAAW,CACbuD,OAAQ,KACRS,cAAe,MAGnB,IAAK,IAAI1hC,EAAIib,KAAK4kB,aAAavB,SAAUt+B,GAAK,EAAGA,IAAK,CAClD,MAAMu2C,EAAct7B,KAAK4kB,aAAatB,MAAMv+B,GACtCqyB,EAAKpX,KAAK0jB,YAAYY,WAAWgX,GACjCnjB,EAAKnY,KAAK0jB,YAAY8F,gBAAgB8R,GAE5C,GAAIlkB,IAAOzJ,EAAEoP,UAAY5E,IAAOtK,EAAGH,KAAM,CACrC+U,EAASuD,OAAShmB,KAAK0jB,YAAYmC,mBAAmByV,GACtD,KACJ,CAAO,GAAIlkB,IAAOzJ,EAAEkJ,MAAO,CACvB4L,EAASuD,OAAShmB,KAAK0jB,YAAYoW,cAAcwB,GAE7C7Y,EAASuD,OACTvD,EAASgE,cAAgB6U,EAEzB7Y,EAASuD,OAAShmB,KAAK4kB,aAAatB,MAAMv+B,EAAI,GAGlD,KACJ,CACJ,CAMA,OAJK09B,EAASuD,SACVvD,EAASuD,OAAShmB,KAAK4kB,aAAatB,MAAM,IAGvCb,CACX,CAEAiQ,oBAAAA,CAAqBlP,GACjB,MAAMf,EAAWziB,KAAKkmB,+BAElBzD,EAASgE,cACTzmB,KAAK0jB,YAAY6X,aAAa9Y,EAASuD,OAAQxC,EAASf,EAASgE,eAEjEzmB,KAAK0jB,YAAY4O,YAAY7P,EAASuD,OAAQxC,EAEtD,CAEA2W,iBAAAA,CAAkBf,GACd,MAAM3W,EAAWziB,KAAKkmB,+BAElBzD,EAASgE,cACTzmB,KAAK0jB,YAAY8X,iBAAiB/Y,EAASuD,OAAQoT,EAAO3W,EAASgE,eAEnEzmB,KAAK0jB,YAAY0W,WAAW3X,EAASuD,OAAQoT,EAErD,CAGAzH,iBAAAA,CAAkBnO,GACd,MAAMpM,EAAKpX,KAAK0jB,YAAYY,WAAWd,GACjCrL,EAAKnY,KAAK0jB,YAAY8F,gBAAgBhG,GAE5C,OAAO9V,EAAK+P,iBAAiBtF,GAAIf,EACrC,gCC/2BJ,MAAM1J,EAAOpnB,EAAQ,MAGfqnB,EAAID,EAAKE,UACTC,EAAKH,EAAKI,WAMhB,SAAS2tB,EAAwBrkB,GAC7B,OAAQA,EAAGpyB,QACP,KAAK,EACD,OAAOoyB,IAAOzJ,EAAEwI,EAEpB,KAAK,EACD,OAAOiB,IAAOzJ,EAAEyO,IAAMhF,IAAOzJ,EAAE0O,IAAMjF,IAAOzJ,EAAE2O,IAAMlF,IAAOzJ,EAAEkH,IAAMuC,IAAOzJ,EAAEqH,IAAMoC,IAAOzJ,EAAEkI,GAE/F,KAAK,EACD,OAAOuB,IAAOzJ,EAAE4O,IAEpB,KAAK,EACD,OAAOnF,IAAOzJ,EAAEsO,OAEpB,KAAK,EACD,OAAO7E,IAAOzJ,EAAEqO,SAGxB,OAAO,CACX,CAEA,SAAS0f,EAAkCtkB,GACvC,OAAQA,EAAGpyB,QACP,KAAK,EACD,OAAOoyB,IAAOzJ,EAAEwI,EAEpB,KAAK,EACD,OACIiB,IAAOzJ,EAAEyO,IACThF,IAAOzJ,EAAE0O,IACTjF,IAAOzJ,EAAE2O,IACTlF,IAAOzJ,EAAEkH,IACTuC,IAAOzJ,EAAEqH,IACToC,IAAOzJ,EAAEkI,IACTuB,IAAOzJ,EAAEuP,IACT9F,IAAOzJ,EAAEwP,IACT/F,IAAOzJ,EAAE0P,GAGjB,KAAK,EACD,OAAOjG,IAAOzJ,EAAE4O,IAEpB,KAAK,EACD,OAAOnF,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEsP,OAAS7F,IAAOzJ,EAAEyP,MAExD,KAAK,EACD,OAAOhG,IAAOzJ,EAAEsO,OAEpB,KAAK,EACD,OAAO7E,IAAOzJ,EAAEsM,QAEpB,KAAK,EACD,OAAO7C,IAAOzJ,EAAEqO,UAAY5E,IAAOzJ,EAAEwM,SAG7C,OAAO,CACX,CAEA,SAASwhB,EAAiBvkB,EAAIe,GAC1B,OAAQf,EAAGpyB,QACP,KAAK,EACD,GAAIoyB,IAAOzJ,EAAEuP,IAAM9F,IAAOzJ,EAAEwP,GACxB,OAAOhF,IAAOtK,EAAGH,KACd,GAAI0J,IAAOzJ,EAAEkL,IAAMzB,IAAOzJ,EAAEmL,IAAM1B,IAAOzJ,EAAEoL,IAAM3B,IAAOzJ,EAAEqL,GAC7D,OAAOb,IAAOtK,EAAGwK,OAGrB,MAEJ,KAAK,EACD,GAAIjB,IAAOzJ,EAAED,KACT,OAAOyK,IAAOtK,EAAGH,KACd,GAAI0J,IAAOzJ,EAAE+K,KAChB,OAAOP,IAAOtK,EAAG2K,IAGrB,MAEJ,KAAK,EACD,GAAIpB,IAAOzJ,EAAEkJ,MACT,OAAOsB,IAAOtK,EAAGH,KACd,GAAI0J,IAAOzJ,EAAEsL,MAChB,OAAOd,IAAOtK,EAAGwK,OACd,GAAIjB,IAAOzJ,EAAEgL,MAChB,OAAOR,IAAOtK,EAAG2K,IAGrB,MAEJ,KAAK,EACD,OAAQpB,IAAOzJ,EAAE8L,QAAUrC,IAAOzJ,EAAEoO,SAAW5D,IAAOtK,EAAGH,KAE7D,KAAK,EACD,OAAQ0J,IAAOzJ,EAAEsM,SAAW7C,IAAOzJ,EAAE6N,UAAYrD,IAAOtK,EAAGH,KAE/D,KAAK,EACD,OAAO0J,IAAOzJ,EAAEoP,UAAY5E,IAAOtK,EAAGH,KAE1C,KAAK,GACD,OAAO0J,IAAOzJ,EAAE8K,gBAAkBN,IAAOtK,EAAG2K,IAEhD,KAAK,GACD,OAAOpB,IAAOzJ,EAAE2K,gBAAkBH,IAAOtK,EAAGwK,OAGpD,OAAO,CACX,CA2WA9zB,EAAOC,QAxWP,MACIZ,WAAAA,CAAY0gB,EAAUof,GAClB1jB,KAAKqjB,UAAY,EACjBrjB,KAAKsjB,MAAQ,GACbtjB,KAAKmjB,QAAU7e,EACftE,KAAKmwB,eAAiB,KACtBnwB,KAAKsmB,mBAAqB,KAC1BtmB,KAAKozB,UAAY,EACjBpzB,KAAK0jB,YAAcA,CACvB,CAGAkY,QAAAA,CAASpY,GACL,IAAIoG,GAAO,EAEX,IAAK,IAAI7kC,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAChC,GAAIib,KAAKsjB,MAAMv+B,KAAOy+B,EAAS,CAC3BoG,EAAM7kC,EACN,KACJ,CAEJ,OAAO6kC,CACX,CAGAiS,aAAAA,GACI,OAAO77B,KAAKmwB,iBAAmBxiB,EAAEoP,UAAY/c,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKmjB,WAAatV,EAAGH,IACvG,CAEAouB,qBAAAA,GACI97B,KAAKmjB,QAAUnjB,KAAKsjB,MAAMtjB,KAAKqjB,UAC/BrjB,KAAKmwB,eAAiBnwB,KAAKmjB,SAAWnjB,KAAK0jB,YAAYY,WAAWtkB,KAAKmjB,SAEvEnjB,KAAKsmB,mBAAqBtmB,KAAK67B,gBAAkB77B,KAAK0jB,YAAYmC,mBAAmB7lB,KAAKmjB,SAAW,IACzG,CAGAn9B,IAAAA,CAAKw9B,GACDxjB,KAAKsjB,QAAQtjB,KAAKqjB,UAAYG,EAC9BxjB,KAAK87B,wBAED97B,KAAK67B,iBACL77B,KAAKozB,WAEb,CAEAlQ,GAAAA,GACIljB,KAAKqjB,WAEDrjB,KAAKozB,UAAY,GAAKpzB,KAAK67B,iBAC3B77B,KAAKozB,YAGTpzB,KAAK87B,uBACT,CAEA3xC,OAAAA,CAAQ4xC,EAAY7S,GAChB,MAAMU,EAAM5pB,KAAK47B,SAASG,GAE1B/7B,KAAKsjB,MAAMsG,GAAOV,EAEdU,IAAQ5pB,KAAKqjB,UACbrjB,KAAK87B,uBAEb,CAEAjJ,WAAAA,CAAYmJ,EAAkB9S,GAC1B,MAAM+S,EAAej8B,KAAK47B,SAASI,GAAoB,EAEvDh8B,KAAKsjB,MAAM8G,OAAO6R,EAAc,EAAG/S,GAE/B+S,MAAmBj8B,KAAKqjB,UACxBrjB,KAAK87B,uBAEb,CAEA/L,qBAAAA,CAAsB7pC,GAClB,KAAO8Z,KAAKqjB,UAAY,GAAG,CACvB,MAAMjM,EAAKpX,KAAKmwB,eACVhY,EAAKnY,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKmjB,SAIjD,GAFAnjB,KAAKkjB,MAED9L,IAAOlxB,GAAWiyB,IAAOtK,EAAGH,KAC5B,KAER,CACJ,CAEAkkB,qBAAAA,CAAsBpO,GAClB,KAAOxjB,KAAKqjB,UAAY,GAAG,CACvB,MAAM6Y,EAAgBl8B,KAAKmjB,QAI3B,GAFAnjB,KAAKkjB,MAEDgZ,IAAkB1Y,EAClB,KAER,CACJ,CAEAqT,4BAAAA,GACI,KAAO72B,KAAKqjB,UAAY,GAAG,CACvB,MAAMjM,EAAKpX,KAAKmwB,eACVhY,EAAKnY,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKmjB,SAIjD,GAFAnjB,KAAKkjB,MAGD9L,IAAOzJ,EAAEwH,IACTiC,IAAOzJ,EAAEyH,IACTgC,IAAOzJ,EAAE0H,IACT+B,IAAOzJ,EAAE2H,IACT8B,IAAOzJ,EAAE4H,IACR6B,IAAOzJ,EAAE6H,IAAM2C,IAAOtK,EAAGH,KAE1B,KAER,CACJ,CAEAutB,uBAAAA,GACI,KAAOj7B,KAAKqjB,UAAY,GAAG,CACvB,MAAMjM,EAAKpX,KAAKmwB,eACVhY,EAAKnY,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKmjB,SAIjD,GAFAnjB,KAAKkjB,MAED9L,IAAOzJ,EAAEuP,IAAO9F,IAAOzJ,EAAEwP,IAAMhF,IAAOtK,EAAGH,KACzC,KAER,CACJ,CAEA0V,qBAAAA,GAGIpjB,KAAKqjB,SAAW,EAChBrjB,KAAK87B,uBACT,CAEAxE,uBAAAA,GACI,KACKt3B,KAAKmwB,iBAAmBxiB,EAAEkJ,OAAS7W,KAAKmwB,iBAAmBxiB,EAAEoP,UAAY/c,KAAKmwB,iBAAmBxiB,EAAED,MACpG1N,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKmjB,WAAatV,EAAGH,MAEtD1N,KAAKkjB,KAEb,CAEAkN,2BAAAA,GACI,KACKpwB,KAAKmwB,iBAAmBxiB,EAAEmP,OACvB9c,KAAKmwB,iBAAmBxiB,EAAEsP,OAC1Bjd,KAAKmwB,iBAAmBxiB,EAAEyP,OAC1Bpd,KAAKmwB,iBAAmBxiB,EAAEoP,UAC1B/c,KAAKmwB,iBAAmBxiB,EAAED,MAC9B1N,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKmjB,WAAatV,EAAGH,MAEtD1N,KAAKkjB,KAEb,CAEAqN,0BAAAA,GACI,KACKvwB,KAAKmwB,iBAAmBxiB,EAAE0P,IAAMrd,KAAKmwB,iBAAmBxiB,EAAEoP,UAAY/c,KAAKmwB,iBAAmBxiB,EAAED,MACjG1N,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKmjB,WAAatV,EAAGH,MAEtD1N,KAAKkjB,KAEb,CAEAK,MAAAA,CAAOC,GACH,IAAK,IAAIz+B,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAChC,GAAIib,KAAKsjB,MAAMv+B,KAAOy+B,EAAS,CAC3BxjB,KAAKsjB,MAAM8G,OAAOrlC,EAAG,GACrBib,KAAKqjB,WACLrjB,KAAK87B,wBACL,KACJ,CAER,CAGA1G,gCAAAA,GAEI,MAAM5R,EAAUxjB,KAAKsjB,MAAM,GAE3B,OAAOE,GAAWxjB,KAAK0jB,YAAYY,WAAWd,KAAa7V,EAAE8G,KAAO+O,EAAU,IAClF,CAEA+N,QAAAA,CAAS/N,GACL,OAAOxjB,KAAK47B,SAASpY,IAAY,CACrC,CAEAyO,iBAAAA,CAAkBzO,GACd,IAAI2Y,EAAan8B,KAAK47B,SAASpY,GAE/B,QAAS2Y,GAAc,EAAIn8B,KAAKsjB,MAAM6Y,GAAc,IACxD,CAEAjL,wBAAAA,GACI,OAAyB,IAAlBlxB,KAAKqjB,UAAkBrjB,KAAKmwB,iBAAmBxiB,EAAED,IAC5D,CAGAuX,UAAAA,CAAW/+B,GACP,IAAK,IAAInB,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAAK,CACrC,MAAMqyB,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKsjB,MAAMv+B,IAC5CozB,EAAKnY,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKsjB,MAAMv+B,IAEvD,GAAIqyB,IAAOlxB,GAAWiyB,IAAOtK,EAAGH,KAC5B,OAAO,EAGX,GAAIiuB,EAAiBvkB,EAAIe,GACrB,OAAO,CAEf,CAEA,OAAO,CACX,CAEAye,wBAAAA,GACI,IAAK,IAAI7xC,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAAK,CACrC,MAAMqyB,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKsjB,MAAMv+B,IAC5CozB,EAAKnY,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKsjB,MAAMv+B,IAEvD,IACKqyB,IAAOzJ,EAAEwH,IAAMiC,IAAOzJ,EAAEyH,IAAMgC,IAAOzJ,EAAE0H,IAAM+B,IAAOzJ,EAAE2H,IAAM8B,IAAOzJ,EAAE4H,IAAM6B,IAAOzJ,EAAE6H,KACrF2C,IAAOtK,EAAGH,KAEV,OAAO,EAGX,GAAIiuB,EAAiBvkB,EAAIe,GACrB,OAAO,CAEf,CAEA,OAAO,CACX,CAEAse,kBAAAA,CAAmBvwC,GACf,IAAK,IAAInB,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAAK,CACrC,MAAMqyB,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKsjB,MAAMv+B,IAC5CozB,EAAKnY,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKsjB,MAAMv+B,IAEvD,GAAIqyB,IAAOlxB,GAAWiyB,IAAOtK,EAAGH,KAC5B,OAAO,EAGX,IAAM0J,IAAOzJ,EAAEqJ,IAAMI,IAAOzJ,EAAEuI,KAAOiC,IAAOtK,EAAGH,MAASiuB,EAAiBvkB,EAAIe,GACzE,OAAO,CAEf,CAEA,OAAO,CACX,CAEAsb,gBAAAA,CAAiBvtC,GACb,IAAK,IAAInB,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAAK,CACrC,MAAMqyB,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKsjB,MAAMv+B,IAC5CozB,EAAKnY,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKsjB,MAAMv+B,IAEvD,GAAIqyB,IAAOlxB,GAAWiyB,IAAOtK,EAAGH,KAC5B,OAAO,EAGX,GAAK0J,IAAOzJ,EAAEqM,QAAU7B,IAAOtK,EAAGH,MAASiuB,EAAiBvkB,EAAIe,GAC5D,OAAO,CAEf,CAEA,OAAO,CACX,CAEA0X,eAAAA,CAAgB3pC,GACZ,IAAK,IAAInB,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAAK,CACrC,MAAMqyB,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKsjB,MAAMv+B,IAGlD,GAFWib,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKsjB,MAAMv+B,MAE5C8oB,EAAGH,KAAd,CAIA,GAAI0J,IAAOlxB,EACP,OAAO,EAGX,GAAIkxB,IAAOzJ,EAAEkJ,OAASO,IAAOzJ,EAAEoP,UAAY3F,IAAOzJ,EAAED,KAChD,OAAO,CAPX,CASJ,CAEA,OAAO,CACX,CAEA4iB,+BAAAA,GACI,IAAK,IAAIvrC,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAAK,CACrC,MAAMqyB,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKsjB,MAAMv+B,IAGlD,GAFWib,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKsjB,MAAMv+B,MAE5C8oB,EAAGH,KAAd,CAIA,GAAI0J,IAAOzJ,EAAEmP,OAAS1F,IAAOzJ,EAAEyP,OAAShG,IAAOzJ,EAAEsP,MAC7C,OAAO,EAGX,GAAI7F,IAAOzJ,EAAEkJ,OAASO,IAAOzJ,EAAED,KAC3B,OAAO,CAPX,CASJ,CAEA,OAAO,CACX,CAEAwqB,gBAAAA,CAAiBhyC,GACb,IAAK,IAAInB,EAAIib,KAAKqjB,SAAUt+B,GAAK,EAAGA,IAAK,CACrC,MAAMqyB,EAAKpX,KAAK0jB,YAAYY,WAAWtkB,KAAKsjB,MAAMv+B,IAGlD,GAFWib,KAAK0jB,YAAY8F,gBAAgBxpB,KAAKsjB,MAAMv+B,MAE5C8oB,EAAGH,KAAd,CAIA,GAAI0J,IAAOlxB,EACP,OAAO,EAGX,GAAIkxB,IAAOzJ,EAAEsO,QAAU7E,IAAOzJ,EAAEqO,SAC5B,OAAO,CAPX,CASJ,CAEA,OAAO,CACX,CAGA8T,sBAAAA,GACI,KAAO2L,EAAwBz7B,KAAKmwB,iBAChCnwB,KAAKkjB,KAEb,CAEAmQ,gCAAAA,GACI,KAAOqI,EAAkC17B,KAAKmwB,iBAC1CnwB,KAAKkjB,KAEb,CAEAwR,mCAAAA,CAAoC0H,GAChC,KAAOX,EAAwBz7B,KAAKmwB,iBAAmBnwB,KAAKmwB,iBAAmBiM,GAC3Ep8B,KAAKkjB,KAEb,gCC5dJ,MAAMmZ,EAAe/1C,EAAQ,MACvBilC,EAAUjlC,EAAQ,MAClBg2C,EAASh2C,EAAQ,KACjBglC,EAAMhlC,EAAQ,MAGdqnB,EAAI4d,EAAQ3N,YACZ2e,EAAKhR,EAAQ3L,qBAGb4c,EAAqC,CACvC,IAAM,KACN,IAAM,KACN,IAAM,IACN,IAAM,KACN,IAAM,KACN,IAAM,KACN,IAAM,KACN,IAAM,IACN,IAAM,KACN,IAAM,IACN,IAAM,KACN,IAAM,IACN,IAAM,IACN,IAAM,KACN,IAAM,KACN,IAAM,KACN,IAAM,KACN,IAAM,KACN,IAAM,KACN,IAAM,KACN,IAAM,IACN,IAAM,KACN,IAAM,IACN,IAAM,KACN,IAAM,IACN,IAAM,IACN,IAAM,KAUJC,EAAa,aACbC,EAAe,eACfC,EAAgB,gBAChBC,EAAoB,oBACpBC,EAAkB,kBAClBC,EAAiB,iBACjBC,EAAqB,qBACrBC,EAAiB,iBACjBC,EAA8B,8BAC9BC,EAA4B,4BAC5BC,EAA4B,4BAC5BC,EAA+B,+BAC/BC,EAA6B,6BAC7BC,EAA6B,6BAC7BC,EAAmC,mCACnCC,EAAiC,iCACjCC,EAAiC,iCACjCC,EAAiC,iCACjCC,EAAsC,sCACtCC,EAA4B,4BAC5BC,EAAiC,iCACjCC,EAAsC,sCACtCC,EAA2C,2CAC3CC,EAAyC,yCACzCC,EAAyC,yCACzCC,EAAwC,wCACxCC,EAAmC,mCACnCC,EAAwC,wCACxCC,EAA6C,6CAC7CC,EAAkD,kDAClDC,EAAsC,sCACtCC,EAA8B,8BAC9BC,EAAuB,uBACvBC,EAA6B,6BAC7BC,EAA+B,+BAC/BC,EAAsC,sCACtCC,EAAsC,sCACtCC,EAAiC,iCACjCC,EAAqC,qCACrCC,EAA+B,+BAC/BC,EAAsB,sBACtBC,EAAgC,gCAChCC,EAAsB,sBACtBC,EAA2B,2BAC3BC,GAAgB,gBAChBC,GAA+B,+BAC/BC,GAAoC,oCACpCC,GAAyC,yCACzCC,GAA8C,8CAC9CC,GAAyB,yBACzBC,GAAoB,oBACpBC,GAAyB,yBACzBC,GAAgB,gBAChBC,GAA4B,4BAC5BC,GAAqB,qBACrBC,GAA2B,2BAC3BC,GAAqC,qCACrCC,GAAyC,yCACzCC,GAAgD,gDAChDC,GAAgD,gDAChDC,GAAwC,wCACxCC,GAAsD,sDACtDC,GAAqC,qCACrCC,GAAyC,yCACzCC,GAAgD,gDAChDC,GAAgD,gDAChDC,GAAwC,wCACxCC,GAAsB,sBACtBC,GAAsB,sBACtBC,GAA8B,8BAC9BC,GAA0B,0BAC1BC,GAA4B,4BAC5BC,GAAkC,kCAClCC,GAA4B,2BAC5BC,GAAoC,oCACpCC,GAA8C,8CAC9CC,GAA0C,0CAC1CC,GAAwC,wCACxCC,GAAoC,oCACpCC,GAAwC,wCAO9C,SAASC,GAAarhB,GAClB,OAAOA,IAAOzS,EAAEwQ,OAASiC,IAAOzS,EAAEsQ,WAAamC,IAAOzS,EAAEoQ,YAAcqC,IAAOzS,EAAEuQ,SACnF,CAEA,SAASwjB,GAAathB,GAClB,OAAOA,GAAMzS,EAAEgR,SAAWyB,GAAMzS,EAAEiR,OACtC,CAEA,SAAS+iB,GAAavhB,GAClB,OAAOA,GAAMzS,EAAEuR,iBAAmBkB,GAAMzS,EAAE0R,eAC9C,CAEA,SAASuiB,GAAaxhB,GAClB,OAAOA,GAAMzS,EAAE6R,eAAiBY,GAAMzS,EAAEgS,aAC5C,CAEA,SAASkiB,GAAczhB,GACnB,OAAOwhB,GAAaxhB,IAAOuhB,GAAavhB,EAC5C,CAEA,SAAS0hB,GAAoB1hB,GACzB,OAAOyhB,GAAczhB,IAAOshB,GAAathB,EAC7C,CAEA,SAAS2hB,GAAqB3hB,GAC1B,OAAOA,GAAMzS,EAAEuR,iBAAmBkB,GAAMzS,EAAEwR,eAC9C,CAEA,SAAS6iB,GAAqB5hB,GAC1B,OAAOA,GAAMzS,EAAE6R,eAAiBY,GAAMzS,EAAE8R,aAC5C,CAMA,SAASwiB,GAAsB7hB,GAC3B,OAAOA,EAAK,EAChB,CAKA,SAAS8hB,GAAO9hB,GACZ,OAAIA,GAAM,MACC73B,OAAOwe,aAAaqZ,IAG/BA,GAAM,MACC73B,OAAOwe,aAAeqZ,IAAO,GAAM,KAAS,OAAU73B,OAAOwe,aAAa,MAAe,KAALqZ,GAC/F,CAEA,SAAS+hB,GAAiB/hB,GACtB,OAAO73B,OAAOwe,aAAak7B,GAAsB7hB,GACrD,CAEA,SAASgiB,GAA0BC,EAAQjiB,GACvC,MAAMkiB,EAAchG,IAAS+F,GAC7B,IAAIE,IAAOF,EACPG,EAAKD,EAAKD,EAAc,EAE5B,KAAOC,GAAMC,GAAI,CACb,MAAMC,EAAOF,EAAKC,IAAQ,EACpBE,EAAQpG,EAAOmG,GAErB,GAAIC,EAAQtiB,EACRmiB,EAAKE,EAAM,MACR,MAAIC,EAAQtiB,GAGf,OAAOkc,EAAOmG,EAAMH,GAFpBE,EAAKC,EAAM,CAGf,CACJ,CAEA,OAAQ,CACZ,CAGA,MAAMh1B,GACF7pB,WAAAA,GACIoc,KAAK4iB,aAAe,IAAIyZ,EAExBr8B,KAAK2iC,WAAa,GAElB3iC,KAAK05B,YAAa,EAElB15B,KAAKqoB,MAAQoU,EACbz8B,KAAK4iC,YAAc,GAEnB5iC,KAAK6iC,aAAe,EACpB7iC,KAAK8iC,SAAW,GAChB9iC,KAAK+iC,iBAAmB,GAExB/iC,KAAKgjC,uBAAyB,EAC9BhjC,KAAKijC,QAAS,EAEdjjC,KAAKunB,sBAAwB,KAC7BvnB,KAAK6jB,aAAe,KACpB7jB,KAAK8mB,YAAc,IACvB,CAGAlF,IAAAA,GACI,CAGJshB,mBAAAA,CAAoBngC,GAChB/C,KAAKmjC,WACLnjC,KAAK4hB,KAAK7e,GACV/C,KAAKojC,YACT,CAGAlK,YAAAA,GACI,MAAQl5B,KAAK2iC,WAAW39C,QAAUgb,KAAKijC,QAAQ,CAC3CjjC,KAAKgjC,sBAAwB,EAE7B,MAAM5iB,EAAKpgB,KAAKmjC,WAEXnjC,KAAKqjC,sBACNrjC,KAAKA,KAAKqoB,OAAOjI,EAEzB,CAEA,OAAOpgB,KAAK2iC,WAAWW,OAC3B,CAEAhL,KAAAA,CAAMiL,EAAOC,GACTxjC,KAAKijC,QAAS,EACdjjC,KAAK4iB,aAAa0V,MAAMiL,EAAOC,EACnC,CAEAC,sBAAAA,CAAuBF,GACnBvjC,KAAKijC,QAAS,EACdjjC,KAAK4iB,aAAa6gB,uBAAuBF,EAC7C,CAGAF,kBAAAA,GACI,GAAIrjC,KAAK4iB,aAAa8gB,cAAe,CACjC,KAAO1jC,KAAKgjC,sBAAwB,EAAGhjC,KAAKgjC,wBACxChjC,KAAK4iB,aAAa8F,UAMtB,OAHA1oB,KAAKijC,QAAS,EACdjjC,KAAK2iC,WAAW38C,KAAK,CAAEC,KAAMwnB,GAAU0rB,qBAEhC,CACX,CAEA,OAAO,CACX,CAGAgK,QAAAA,GAEI,OADAnjC,KAAKgjC,wBACEhjC,KAAK4iB,aAAa6F,SAC7B,CAEA2a,UAAAA,GACIpjC,KAAKgjC,wBACLhjC,KAAK4iB,aAAa8F,SACtB,CAEAib,iBAAAA,CAAkBtb,GACdroB,KAAKqoB,MAAQA,EACbroB,KAAKojC,YACT,CAEAQ,uBAAAA,CAAwBtqC,EAASuqC,EAASj9C,GACtC,IAAIk9C,EAAgB,EAChBC,GAAU,EACd,MAAMC,EAAgB1qC,EAAQtU,OAC9B,IAEIi/C,EAFAC,EAAa,EACb9jB,EAAKyjB,EAGT,KAAOK,EAAaF,EAAeE,IAAc,CAM7C,GALIA,EAAa,IACb9jB,EAAKpgB,KAAKmjC,WACVW,KAGA1jB,IAAOzS,EAAEkQ,IAAK,CACdkmB,GAAU,EACV,KACJ,CAIA,GAFAE,EAAY3qC,EAAQ4qC,GAEhB9jB,IAAO6jB,IAAcr9C,GAAiBw5B,IAAO6hB,GAAsBgC,IAAa,CAChFF,GAAU,EACV,KACJ,CACJ,CAEA,IAAKA,EACD,KAAOD,KACH9jC,KAAKojC,aAIb,OAAOW,CACX,CAGAI,gCAAAA,GACI,GAAInkC,KAAK8iC,SAAS99C,SAAWu3C,EAAGvc,cAAch7B,OAC1C,OAAO,EAGX,IAAK,IAAID,EAAI,EAAGA,EAAIib,KAAK8iC,SAAS99C,OAAQD,IACtC,GAAIib,KAAK8iC,SAAS/9C,KAAOw3C,EAAGvc,cAAcj7B,GACtC,OAAO,EAIf,OAAO,CACX,CAGAiiC,oBAAAA,GACIhnB,KAAK6jB,aAAe,CAChB59B,KAAMwnB,GAAU4gB,gBAChBnoC,QAAS,GACT6uC,aAAa,EACb7E,gBAAgB,EAChBvY,MAAO,GAEf,CAEAsP,kBAAAA,GACIjnB,KAAK6jB,aAAe,CAChB59B,KAAMwnB,GAAU+W,cAChBt+B,QAAS,GACT6uC,aAAa,EACbpd,MAAO,GAEf,CAEAuP,mBAAAA,GACIlnB,KAAK6jB,aAAe,CAChB59B,KAAMwnB,GAAUogB,cAChBlkC,KAAM,GAEd,CAEAw9B,mBAAAA,CAAoBC,GAChBpnB,KAAK6jB,aAAe,CAChB59B,KAAMwnB,GAAUsgB,cAChB/pC,KAAMojC,EACN8G,aAAa,EACb/kB,SAAU,KACVI,SAAU,KAElB,CAEA8d,qBAAAA,CAAsBphC,EAAMqhC,GACxBtnB,KAAKunB,sBAAwB,CACzBthC,KAAMA,EACNmzC,MAAO9R,EAEf,CAEAE,eAAAA,GACIxnB,KAAK6jB,aAAe,CAAE59B,KAAMwnB,GAAUsa,UAC1C,CAGAN,WAAAA,CAAYC,GACR1nB,KAAK8mB,YAAc,CACf9iC,KAAM0jC,EACNvjC,MAAO,GAEf,CAEAwjC,cAAAA,CAAeC,GAC8D,OAArEna,GAAU6J,aAAatX,KAAK6jB,aAAc7jB,KAAK8mB,YAAY9iC,MAC3Dgc,KAAK6jB,aAAalM,MAAM3xB,KAAKga,KAAK8mB,aAElC9mB,KAAK4hB,KAAK0J,EAAIze,oBAGlB7M,KAAKqoB,MAAQT,CACjB,CAEAC,eAAAA,CAAgBD,GACZ5nB,KAAKqoB,MAAQT,CACjB,CAGAE,iBAAAA,GACI9nB,KAAKgoB,6BAEL,MAAMoc,EAAKpkC,KAAK6jB,aAEhB7jB,KAAK6jB,aAAe,KAGhBugB,EAAGn+C,OAASwnB,GAAU4gB,gBACtBruB,KAAK+iC,iBAAmBqB,EAAGl+C,QACpBk+C,EAAGn+C,OAASwnB,GAAU+W,gBACzB4f,EAAGzsB,MAAM3yB,OAAS,GAClBgb,KAAK4hB,KAAK0J,EAAIrhB,sBAGdm6B,EAAGrP,aACH/0B,KAAK4hB,KAAK0J,EAAIphB,4BAItBlK,KAAK2iC,WAAW38C,KAAKo+C,EACzB,CAEApc,0BAAAA,GACQhoB,KAAKunB,wBACLvnB,KAAK2iC,WAAW38C,KAAKga,KAAKunB,uBAC1BvnB,KAAKunB,sBAAwB,KAErC,CAEA8c,aAAAA,GACIrkC,KAAKwnB,kBACLxnB,KAAK8nB,mBACT,CAYAwc,kCAAAA,CAAmCr+C,EAAMqhC,GACjCtnB,KAAKunB,uBAAyBvnB,KAAKunB,sBAAsBthC,OAASA,GAClE+Z,KAAKgoB,6BAGLhoB,KAAKunB,sBACLvnB,KAAKunB,sBAAsB6R,OAAS9R,EAEpCtnB,KAAKqnB,sBAAsBphC,EAAMqhC,EAEzC,CAEAid,cAAAA,CAAenkB,GACX,IAAIn6B,EAAOwnB,GAAU+f,gBAEjBiU,GAAarhB,GACbn6B,EAAOwnB,GAAUkgB,2BACVvN,IAAOzS,EAAEmQ,OAChB73B,EAAOwnB,GAAUigB,sBAGrB1tB,KAAKskC,mCAAmCr+C,EAAMi8C,GAAO9hB,GACzD,CAEAokB,sBAAAA,CAAuBC,GACnB,IAAK,IAAI1/C,EAAI,EAAGA,EAAI0/C,EAAWz/C,OAAQD,IACnCib,KAAKukC,eAAeE,EAAW1/C,GAEvC,CAIA2/C,UAAAA,CAAWpd,GACPtnB,KAAKskC,mCAAmC72B,GAAU+f,gBAAiBlG,EACvE,CAGAqd,6BAAAA,CAA8Bd,GAC1B,IAAI98C,EAAS,KACT69C,EAAS,EACT7/C,EAAIq9C,GAA0B,EAAGyB,GAIrC,IAFA7jC,KAAK8iC,SAAS98C,KAAK69C,GAEZ9+C,GAAK,GAAG,CACX,MAAMo+B,EAAUmZ,EAAOv3C,GACjB8/C,EAAS1hB,EAvdK2hB,EAwdCD,GA3dX,EA2dqB1hB,IAI3Bp8B,EA9dS,EA8dAo8B,EAA6B,CAACmZ,IAASv3C,GAAIu3C,IAASv3C,IAAM,CAACu3C,IAASv3C,IAC7E6/C,EAAS,GAGb,MAAMxkB,EAAKpgB,KAAKmjC,WAKhB,GAHAnjC,KAAK8iC,SAAS98C,KAAKo6B,GACnBwkB,IAEIxkB,IAAOzS,EAAEkQ,IACT,MAIA94B,EADA8/C,EA1eU,EA2eN1hB,EAA8Bif,GAA0Br9C,EAAGq7B,IAAO,EAElEA,IAAO+C,IAAYp+B,GAAK,CAEpC,CAEA,KAAO6/C,KACH5kC,KAAK8iC,SAAS5f,MACdljB,KAAKojC,aAGT,OAAOr8C,CACX,CAEAg+C,gCAAAA,GACI,OACI/kC,KAAK4iC,cAAgBhE,GACrB5+B,KAAK4iC,cAAgB/D,GACrB7+B,KAAK4iC,cAAgB9D,CAE7B,CAEAkG,mCAAAA,CAAoCC,GAChC,IAAKA,GAAiBjlC,KAAK+kC,mCAAoC,CAC3D,MAAMG,EAASllC,KAAKmjC,WAIpB,OAFAnjC,KAAKojC,aAEE8B,IAAWv3B,EAAEoR,aAAe+iB,GAAoBoD,EAC3D,CAEA,OAAO,CACX,CAEAC,4CAAAA,GACI,GAAInlC,KAAK+kC,mCACL,IAAK,IAAIhgD,EAAI,EAAGA,EAAIib,KAAK8iC,SAAS99C,OAAQD,IACtCib,KAAK8mB,YAAY3iC,OAAS+9C,GAAOliC,KAAK8iC,SAAS/9C,SAGnDib,KAAKwkC,uBAAuBxkC,KAAK8iC,UAGrC9iC,KAAK8iC,SAAW,EACpB,CAMA,CAACrG,GAAYrc,GACTpgB,KAAK4iB,aAAa+F,kBAEdvI,IAAOzS,EAAEmR,eACT9e,KAAKqoB,MAAQyU,EACN1c,IAAOzS,EAAE4Q,WAChBve,KAAK4iC,YAAcnG,EACnBz8B,KAAKqoB,MAAQ2Y,IACN5gB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAKukC,eAAenkB,IACbA,IAAOzS,EAAEkQ,IAChB7d,KAAKqkC,gBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAACsc,GAActc,GACXpgB,KAAK4iB,aAAa+F,kBAEdvI,IAAOzS,EAAE4Q,WACTve,KAAK4iC,YAAclG,EACnB18B,KAAKqoB,MAAQ2Y,IACN5gB,IAAOzS,EAAEmR,eAChB9e,KAAKqoB,MAAQ4U,EACN7c,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,IAChB7d,KAAKqkC,gBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAACuc,GAAevc,GACZpgB,KAAK4iB,aAAa+F,kBAEdvI,IAAOzS,EAAEmR,eACT9e,KAAKqoB,MAAQ+U,EACNhd,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,IAChB7d,KAAKqkC,gBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAACwc,GAAmBxc,GAChBpgB,KAAK4iB,aAAa+F,kBAEdvI,IAAOzS,EAAEmR,eACT9e,KAAKqoB,MAAQkV,EACNnd,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,IAChB7d,KAAKqkC,gBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAACyc,GAAiBzc,GACdpgB,KAAK4iB,aAAa+F,kBAEdvI,IAAOzS,EAAEmQ,MACT9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,IAChB7d,KAAKqkC,gBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAAC0c,GAAgB1c,GACTA,IAAOzS,EAAEyQ,iBACTpe,KAAKqoB,MAAQ6W,EACN9e,IAAOzS,EAAE+Q,QAChB1e,KAAKqoB,MAAQ0U,EACN8E,GAAczhB,IACrBpgB,KAAKgnB,uBACLhnB,KAAK2jC,kBAAkB3G,IAChB5c,IAAOzS,EAAEsR,eAChBjf,KAAK4hB,KAAK0J,EAAIjhB,wCACdrK,KAAKknB,sBACLlnB,KAAK2jC,kBAAkB1E,IAChB7e,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxgB,kBACd9K,KAAK0kC,WAAW,KAChB1kC,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIhhB,gCACdtK,KAAK0kC,WAAW,KAChB1kC,KAAK2jC,kBAAkBlH,GAE/B,CAIA,CAACM,GAAoB3c,GACbyhB,GAAczhB,IACdpgB,KAAKinB,qBACLjnB,KAAK2jC,kBAAkB3G,IAChB5c,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI9gB,mBACdxK,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxgB,kBACd9K,KAAK0kC,WAAW,MAChB1kC,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIhhB,gCACdtK,KAAKknB,sBACLlnB,KAAK2jC,kBAAkB1E,GAE/B,CAIA,CAACjC,GAAgB5c,GACTqhB,GAAarhB,GACbpgB,KAAKqoB,MAAQmW,EACNpe,IAAOzS,EAAE+Q,QAChB1e,KAAKqoB,MAAQ2W,EACN5e,IAAOzS,EAAEqR,mBAChBhf,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE6Z,GAAavhB,GACpBpgB,KAAK6jB,aAAa39B,SAAWi8C,GAAiB/hB,GACvCA,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAa39B,SAAWqlC,EAAQ5N,uBAC9ByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIvgB,UACd/K,KAAKqkC,iBAELrkC,KAAK6jB,aAAa39B,SAAWg8C,GAAO9hB,EAE5C,CAIA,CAAC6c,GAA6B7c,GACtBA,IAAOzS,EAAE+Q,SACT1e,KAAK8iC,SAAW,GAChB9iC,KAAKqoB,MAAQ6U,IAEbl9B,KAAK0kC,WAAW,KAChB1kC,KAAK2jC,kBAAkBjH,GAE/B,CAIA,CAACQ,GAA2B9c,GACpByhB,GAAczhB,IACdpgB,KAAKinB,qBACLjnB,KAAK2jC,kBAAkBxG,KAEvBn9B,KAAK0kC,WAAW,MAChB1kC,KAAK2jC,kBAAkBjH,GAE/B,CAIA,CAACS,GAA2B/c,GACxB,GAAIuhB,GAAavhB,GACbpgB,KAAK6jB,aAAa39B,SAAWi8C,GAAiB/hB,GAC9CpgB,KAAK8iC,SAAS98C,KAAKo6B,QAChB,GAAIwhB,GAAaxhB,GACpBpgB,KAAK6jB,aAAa39B,SAAWg8C,GAAO9hB,GACpCpgB,KAAK8iC,SAAS98C,KAAKo6B,OAChB,CACH,GAAIpgB,KAAK+iC,mBAAqB/iC,KAAK6jB,aAAa39B,QAAS,CACrD,GAAIu7C,GAAarhB,GAEb,YADApgB,KAAKqoB,MAAQmW,GAIjB,GAAIpe,IAAOzS,EAAE+Q,QAET,YADA1e,KAAKqoB,MAAQ2W,GAIjB,GAAI5e,IAAOzS,EAAEqR,kBAGT,OAFAhf,KAAKqoB,MAAQoU,OACbz8B,KAAK8nB,mBAGb,CAEA9nB,KAAK0kC,WAAW,MAChB1kC,KAAKwkC,uBAAuBxkC,KAAK8iC,UACjC9iC,KAAK2jC,kBAAkBjH,EAC3B,CACJ,CAIA,CAACU,GAA8Bhd,GACvBA,IAAOzS,EAAE+Q,SACT1e,KAAK8iC,SAAW,GAChB9iC,KAAKqoB,MAAQgV,IAEbr9B,KAAK0kC,WAAW,KAChB1kC,KAAK2jC,kBAAkBhH,GAE/B,CAIA,CAACU,GAA4Bjd,GACrByhB,GAAczhB,IACdpgB,KAAKinB,qBACLjnB,KAAK2jC,kBAAkBrG,KAEvBt9B,KAAK0kC,WAAW,MAChB1kC,KAAK2jC,kBAAkBhH,GAE/B,CAIA,CAACW,GAA4Bld,GACzB,GAAIuhB,GAAavhB,GACbpgB,KAAK6jB,aAAa39B,SAAWi8C,GAAiB/hB,GAC9CpgB,KAAK8iC,SAAS98C,KAAKo6B,QAChB,GAAIwhB,GAAaxhB,GACpBpgB,KAAK6jB,aAAa39B,SAAWg8C,GAAO9hB,GACpCpgB,KAAK8iC,SAAS98C,KAAKo6B,OAChB,CACH,GAAIpgB,KAAK+iC,mBAAqB/iC,KAAK6jB,aAAa39B,QAAS,CACrD,GAAIu7C,GAAarhB,GAEb,YADApgB,KAAKqoB,MAAQmW,GAIjB,GAAIpe,IAAOzS,EAAE+Q,QAET,YADA1e,KAAKqoB,MAAQ2W,GAIjB,GAAI5e,IAAOzS,EAAEqR,kBAGT,OAFAhf,KAAK8nB,yBACL9nB,KAAKqoB,MAAQoU,EAGrB,CAEAz8B,KAAK0kC,WAAW,MAChB1kC,KAAKwkC,uBAAuBxkC,KAAK8iC,UACjC9iC,KAAK2jC,kBAAkBhH,EAC3B,CACJ,CAIA,CAACY,GAAkCnd,GAC3BA,IAAOzS,EAAE+Q,SACT1e,KAAK8iC,SAAW,GAChB9iC,KAAKqoB,MAAQmV,GACNpd,IAAOzS,EAAEyQ,kBAChBpe,KAAKqoB,MAAQqV,EACb19B,KAAK0kC,WAAW,QAEhB1kC,KAAK0kC,WAAW,KAChB1kC,KAAK2jC,kBAAkB/G,GAE/B,CAIA,CAACY,GAAgCpd,GACzByhB,GAAczhB,IACdpgB,KAAKinB,qBACLjnB,KAAK2jC,kBAAkBlG,KAEvBz9B,KAAK0kC,WAAW,MAChB1kC,KAAK2jC,kBAAkB/G,GAE/B,CAIA,CAACa,GAAgCrd,GAC7B,GAAIuhB,GAAavhB,GACbpgB,KAAK6jB,aAAa39B,SAAWi8C,GAAiB/hB,GAC9CpgB,KAAK8iC,SAAS98C,KAAKo6B,QAChB,GAAIwhB,GAAaxhB,GACpBpgB,KAAK6jB,aAAa39B,SAAWg8C,GAAO9hB,GACpCpgB,KAAK8iC,SAAS98C,KAAKo6B,OAChB,CACH,GAAIpgB,KAAK+iC,mBAAqB/iC,KAAK6jB,aAAa39B,QAAS,CACrD,GAAIu7C,GAAarhB,GAEb,YADApgB,KAAKqoB,MAAQmW,GAEV,GAAIpe,IAAOzS,EAAE+Q,QAEhB,YADA1e,KAAKqoB,MAAQ2W,GAEV,GAAI5e,IAAOzS,EAAEqR,kBAGhB,OAFAhf,KAAK8nB,yBACL9nB,KAAKqoB,MAAQoU,EAGrB,CAEAz8B,KAAK0kC,WAAW,MAChB1kC,KAAKwkC,uBAAuBxkC,KAAK8iC,UACjC9iC,KAAK2jC,kBAAkB/G,EAC3B,CACJ,CAIA,CAACc,GAAgCtd,GACzBA,IAAOzS,EAAE8Q,cACTze,KAAKqoB,MAAQsV,EACb39B,KAAK0kC,WAAW,MAEhB1kC,KAAK2jC,kBAAkB/G,EAE/B,CAIA,CAACe,GAAqCvd,GAC9BA,IAAOzS,EAAE8Q,cACTze,KAAKqoB,MAAQyV,EACb99B,KAAK0kC,WAAW,MAEhB1kC,KAAK2jC,kBAAkB/G,EAE/B,CAIA,CAACgB,GAA2Bxd,GACpBA,IAAOzS,EAAE8Q,cACTze,KAAKqoB,MAAQwV,EACb79B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmR,eAChB9e,KAAKqoB,MAAQ0V,EACN3d,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIzf,gCACd7L,KAAKqkC,iBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAACyd,GAAgCzd,GACzBA,IAAOzS,EAAE8Q,cACTze,KAAKqoB,MAAQyV,EACb99B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmR,eAChB9e,KAAKqoB,MAAQ0V,EACN3d,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAKqoB,MAAQuV,EACb59B,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIzf,gCACd7L,KAAKqkC,kBAELrkC,KAAKqoB,MAAQuV,EACb59B,KAAKukC,eAAenkB,GAE5B,CAIA,CAAC0d,GAAqC1d,GAC9BA,IAAOzS,EAAE8Q,aACTze,KAAK0kC,WAAW,KACTtkB,IAAOzS,EAAEmR,eAChB9e,KAAKqoB,MAAQ0V,EACN3d,IAAOzS,EAAEqR,mBAChBhf,KAAKqoB,MAAQuU,EACb58B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAKqoB,MAAQuV,EACb59B,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIzf,gCACd7L,KAAKqkC,kBAELrkC,KAAKqoB,MAAQuV,EACb59B,KAAKukC,eAAenkB,GAE5B,CAIA,CAAC2d,GAA0C3d,GACnCA,IAAOzS,EAAE+Q,SACT1e,KAAK8iC,SAAW,GAChB9iC,KAAKqoB,MAAQ2V,GACN6D,GAAczhB,IACrBpgB,KAAK8iC,SAAW,GAChB9iC,KAAK0kC,WAAW,KAChB1kC,KAAK2jC,kBAAkBzF,KAEvBl+B,KAAK0kC,WAAW,KAChB1kC,KAAK2jC,kBAAkB/F,GAE/B,CAIA,CAACI,GAAwC5d,GACjCyhB,GAAczhB,IACdpgB,KAAKinB,qBACLjnB,KAAK2jC,kBAAkB1F,KAEvBj+B,KAAK0kC,WAAW,MAChB1kC,KAAK2jC,kBAAkB/F,GAE/B,CAIA,CAACK,GAAwC7d,GACrC,GAAIuhB,GAAavhB,GACbpgB,KAAK6jB,aAAa39B,SAAWi8C,GAAiB/hB,GAC9CpgB,KAAK8iC,SAAS98C,KAAKo6B,QAChB,GAAIwhB,GAAaxhB,GACpBpgB,KAAK6jB,aAAa39B,SAAWg8C,GAAO9hB,GACpCpgB,KAAK8iC,SAAS98C,KAAKo6B,OAChB,CACH,GAAIpgB,KAAK+iC,mBAAqB/iC,KAAK6jB,aAAa39B,QAAS,CACrD,GAAIu7C,GAAarhB,GAEb,YADApgB,KAAKqoB,MAAQmW,GAIjB,GAAIpe,IAAOzS,EAAE+Q,QAET,YADA1e,KAAKqoB,MAAQ2W,GAIjB,GAAI5e,IAAOzS,EAAEqR,kBAGT,OAFAhf,KAAK8nB,yBACL9nB,KAAKqoB,MAAQoU,EAGrB,CAEAz8B,KAAK0kC,WAAW,MAChB1kC,KAAKwkC,uBAAuBxkC,KAAK8iC,UACjC9iC,KAAK2jC,kBAAkB/F,EAC3B,CACJ,CAIA,CAACM,GAAuC9d,GAChCqhB,GAAarhB,IAAOA,IAAOzS,EAAE+Q,SAAW0B,IAAOzS,EAAEqR,mBACjDhf,KAAKqoB,MAAQroB,KAAKmkC,mCACZhG,EACAP,EACN59B,KAAKukC,eAAenkB,IACbuhB,GAAavhB,IACpBpgB,KAAK8iC,SAAS98C,KAAKi8C,GAAsB7hB,IACzCpgB,KAAKukC,eAAenkB,IACbwhB,GAAaxhB,IACpBpgB,KAAK8iC,SAAS98C,KAAKo6B,GACnBpgB,KAAKukC,eAAenkB,IAEpBpgB,KAAK2jC,kBAAkB/F,EAE/B,CAIA,CAACO,GAAkC/d,GAC3BA,IAAOzS,EAAE8Q,cACTze,KAAKqoB,MAAQ+V,EACbp+B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmR,gBAChB9e,KAAKqoB,MAAQiW,EACbt+B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIzf,gCACd7L,KAAKqkC,iBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAACge,GAAuChe,GAChCA,IAAOzS,EAAE8Q,cACTze,KAAKqoB,MAAQgW,EACbr+B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmR,gBAChB9e,KAAKqoB,MAAQiW,EACbt+B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAKqoB,MAAQ8V,EACbn+B,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIzf,gCACd7L,KAAKqkC,kBAELrkC,KAAKqoB,MAAQ8V,EACbn+B,KAAKukC,eAAenkB,GAE5B,CAIA,CAACie,GAA4Cje,GACrCA,IAAOzS,EAAE8Q,aACTze,KAAK0kC,WAAW,KACTtkB,IAAOzS,EAAEmR,gBAChB9e,KAAKqoB,MAAQiW,EACbt+B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEqR,mBAChBhf,KAAKqoB,MAAQuU,EACb58B,KAAK0kC,WAAW,MACTtkB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAKqoB,MAAQ8V,EACbn+B,KAAK0kC,WAAWnZ,EAAQ5N,wBACjByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIzf,gCACd7L,KAAKqkC,kBAELrkC,KAAKqoB,MAAQ8V,EACbn+B,KAAKukC,eAAenkB,GAE5B,CAIA,CAACke,GAAiDle,GAC1CA,IAAOzS,EAAE+Q,SACT1e,KAAK8iC,SAAW,GAChB9iC,KAAKqoB,MAAQkW,EACbv+B,KAAK0kC,WAAW,MAEhB1kC,KAAK2jC,kBAAkBxF,EAE/B,CAIA,CAACI,GAAqCne,GAC9BqhB,GAAarhB,IAAOA,IAAOzS,EAAE+Q,SAAW0B,IAAOzS,EAAEqR,mBACjDhf,KAAKqoB,MAAQroB,KAAKmkC,mCACZvG,EACAO,EAENn+B,KAAKukC,eAAenkB,IACbuhB,GAAavhB,IACpBpgB,KAAK8iC,SAAS98C,KAAKi8C,GAAsB7hB,IACzCpgB,KAAKukC,eAAenkB,IACbwhB,GAAaxhB,IACpBpgB,KAAK8iC,SAAS98C,KAAKo6B,GACnBpgB,KAAKukC,eAAenkB,IAEpBpgB,KAAK2jC,kBAAkBxF,EAE/B,CAIA,CAACK,GAA6Bpe,GACtBqhB,GAAarhB,KAIbA,IAAOzS,EAAE+Q,SAAW0B,IAAOzS,EAAEqR,mBAAqBoB,IAAOzS,EAAEkQ,IAC3D7d,KAAK2jC,kBAAkBjF,GAChBte,IAAOzS,EAAEoR,aAChB/e,KAAK4hB,KAAK0J,EAAI/gB,yCACdvK,KAAKynB,YAAY,KACjBznB,KAAKqoB,MAAQoW,IAEbz+B,KAAKynB,YAAY,IACjBznB,KAAK2jC,kBAAkBlF,IAE/B,CAIA,CAACA,GAAsBre,GACfqhB,GAAarhB,IAAOA,IAAOzS,EAAE+Q,SAAW0B,IAAOzS,EAAEqR,mBAAqBoB,IAAOzS,EAAEkQ,KAC/E7d,KAAK2nB,eAAe+W,GACpB1+B,KAAKojC,cACEhjB,IAAOzS,EAAEoR,YAChB/e,KAAK2nB,eAAegX,GACbgD,GAAavhB,GACpBpgB,KAAK8mB,YAAY9iC,MAAQm+C,GAAiB/hB,GACnCA,IAAOzS,EAAE0Q,gBAAkB+B,IAAOzS,EAAE6Q,YAAc4B,IAAOzS,EAAEmR,gBAClE9e,KAAK4hB,KAAK0J,EAAI7gB,oCACdzK,KAAK8mB,YAAY9iC,MAAQk+C,GAAO9hB,IACzBA,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK8mB,YAAY9iC,MAAQunC,EAAQ5N,uBAEjC3d,KAAK8mB,YAAY9iC,MAAQk+C,GAAO9hB,EAExC,CAIA,CAACse,GAA4Bte,GACrBqhB,GAAarhB,KAIbA,IAAOzS,EAAE+Q,QACT1e,KAAKqoB,MAAQ2W,EACN5e,IAAOzS,EAAEoR,YAChB/e,KAAKqoB,MAAQsW,EACNve,IAAOzS,EAAEqR,mBAChBhf,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIvgB,UACd/K,KAAKqkC,kBAELrkC,KAAKynB,YAAY,IACjBznB,KAAK2jC,kBAAkBlF,IAE/B,CAIA,CAACE,GAA8Bve,GACvBqhB,GAAarhB,KAIbA,IAAOzS,EAAE0Q,eACTre,KAAKqoB,MAAQuW,EACNxe,IAAOzS,EAAE6Q,WAChBxe,KAAKqoB,MAAQwW,EACNze,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAItgB,uBACdhL,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBAEL9nB,KAAK2jC,kBAAkB7E,GAE/B,CAIA,CAACF,GAAqCxe,GAC9BA,IAAOzS,EAAE0Q,eACTre,KAAKqoB,MAAQ0W,EACN3e,IAAOzS,EAAE4Q,WAChBve,KAAK4iC,YAAchE,EACnB5+B,KAAKqoB,MAAQ2Y,IACN5gB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK8mB,YAAY3iC,OAASonC,EAAQ5N,uBAC3ByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIvgB,UACd/K,KAAKqkC,iBAELrkC,KAAK8mB,YAAY3iC,OAAS+9C,GAAO9hB,EAEzC,CAIA,CAACye,GAAqCze,GAC9BA,IAAOzS,EAAE6Q,WACTxe,KAAKqoB,MAAQ0W,EACN3e,IAAOzS,EAAE4Q,WAChBve,KAAK4iC,YAAc/D,EACnB7+B,KAAKqoB,MAAQ2Y,IACN5gB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK8mB,YAAY3iC,OAASonC,EAAQ5N,uBAC3ByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIvgB,UACd/K,KAAKqkC,iBAELrkC,KAAK8mB,YAAY3iC,OAAS+9C,GAAO9hB,EAEzC,CAIA,CAAC0e,GAAgC1e,GACzBqhB,GAAarhB,GACbpgB,KAAK6nB,gBAAgB2W,GACdpe,IAAOzS,EAAE4Q,WAChBve,KAAK4iC,YAAc9D,EACnB9+B,KAAKqoB,MAAQ2Y,IACN5gB,IAAOzS,EAAEqR,mBAChBhf,KAAK6nB,gBAAgB4U,GACrBz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK8mB,YAAY3iC,OAASonC,EAAQ5N,uBAElCyC,IAAOzS,EAAE0Q,gBACT+B,IAAOzS,EAAE6Q,YACT4B,IAAOzS,EAAEmR,gBACTsB,IAAOzS,EAAEoR,aACTqB,IAAOzS,EAAE4R,cAETvf,KAAK4hB,KAAK0J,EAAIzgB,6CACd7K,KAAK8mB,YAAY3iC,OAAS+9C,GAAO9hB,IAC1BA,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIvgB,UACd/K,KAAKqkC,iBAELrkC,KAAK8mB,YAAY3iC,OAAS+9C,GAAO9hB,EAEzC,CAIA,CAAC2e,GAAoC3e,GAC7BqhB,GAAarhB,GACbpgB,KAAK6nB,gBAAgB2W,GACdpe,IAAOzS,EAAE+Q,QAChB1e,KAAK6nB,gBAAgBmX,GACd5e,IAAOzS,EAAEqR,mBAChBhf,KAAK6nB,gBAAgB4U,GACrBz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIvgB,UACd/K,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIrgB,oCACdjL,KAAK2jC,kBAAkBnF,GAE/B,CAIA,CAACQ,GAA8B5e,GACvBA,IAAOzS,EAAEqR,mBACThf,KAAK6jB,aAAakR,aAAc,EAChC/0B,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIvgB,UACd/K,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAInhB,wBACdnK,KAAK2jC,kBAAkBnF,GAE/B,CAIA,CAACS,GAAqB7e,GACdA,IAAOzS,EAAEqR,mBACThf,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK8nB,oBACL9nB,KAAKqkC,iBACEjkB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAal6B,MAAQ4hC,EAAQ5N,uBAElC3d,KAAK6jB,aAAal6B,MAAQu4C,GAAO9hB,EAEzC,CAIA,CAAC8e,GAA+B9e,GACxBpgB,KAAK4jC,wBAAwBrH,EAAG1c,iBAAkBO,GAAI,IACtDpgB,KAAKknB,sBACLlnB,KAAKqoB,MAAQ8W,GACNn/B,KAAK4jC,wBAAwBrH,EAAGzc,eAAgBM,GAAI,GAC3DpgB,KAAKqoB,MAAQwX,GACN7/B,KAAK4jC,wBAAwBrH,EAAGxc,mBAAoBK,GAAI,GAC3DpgB,KAAK05B,WACL15B,KAAKqoB,MAAQwY,IAEb7gC,KAAK4hB,KAAK0J,EAAI3f,oBACd3L,KAAKknB,sBACLlnB,KAAK6jB,aAAal6B,KAAO,UACzBqW,KAAKqoB,MAAQ4W,GAMXj/B,KAAKqjC,uBACXrjC,KAAK4hB,KAAK0J,EAAI1f,0BACd5L,KAAKknB,sBACLlnB,KAAK2jC,kBAAkB1E,GAE/B,CAIA,CAACE,GAAqB/e,GACdA,IAAOzS,EAAE8Q,aACTze,KAAKqoB,MAAQ+W,EACNhf,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAItf,6BACdhM,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBAEL9nB,KAAK2jC,kBAAkBtE,GAE/B,CAIA,CAACD,GAA0Bhf,GACnBA,IAAOzS,EAAE8Q,aACTze,KAAKqoB,MAAQsX,GACNvf,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAItf,6BACdhM,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIrf,cACdjM,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK6jB,aAAal6B,MAAQ,IAC1BqW,KAAK2jC,kBAAkBtE,IAE/B,CAIA,CAACA,IAAejf,GACRA,IAAOzS,EAAE8Q,aACTze,KAAKqoB,MAAQqX,GACNtf,IAAOzS,EAAEmR,gBAChB9e,KAAK6jB,aAAal6B,MAAQ,IAC1BqW,KAAKqoB,MAAQiX,IACNlf,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAal6B,MAAQ4hC,EAAQ5N,uBAC3ByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIrf,cACdjM,KAAK8nB,oBACL9nB,KAAKqkC,iBAELrkC,KAAK6jB,aAAal6B,MAAQu4C,GAAO9hB,EAEzC,CAIA,CAACkf,IAA8Blf,GACvBA,IAAOzS,EAAEyQ,kBACTpe,KAAK6jB,aAAal6B,MAAQ,IAC1BqW,KAAKqoB,MAAQkX,IACNnf,IAAOzS,EAAEmR,eAChB9e,KAAK6jB,aAAal6B,MAAQ,IAE1BqW,KAAK2jC,kBAAkBtE,GAE/B,CAIA,CAACE,IAAmCnf,GAC5BA,IAAOzS,EAAE8Q,aACTze,KAAKqoB,MAAQmX,GAEbx/B,KAAK2jC,kBAAkBtE,GAE/B,CAIA,CAACG,IAAwCpf,GACjCA,IAAOzS,EAAE8Q,aACTze,KAAKqoB,MAAQoX,GAEbz/B,KAAK2jC,kBAAkBjE,GAE/B,CAIA,CAACD,IAA6Crf,GACtCA,IAAOzS,EAAEqR,mBAAqBoB,IAAOzS,EAAEkQ,KACvC7d,KAAK4hB,KAAK0J,EAAIvf,eAGlB/L,KAAK2jC,kBAAkBhE,GAC3B,CAIA,CAACD,IAAwBtf,GACjBA,IAAOzS,EAAE8Q,aACTze,KAAKqoB,MAAQsX,GACNvf,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIrf,cACdjM,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK6jB,aAAal6B,MAAQ,IAC1BqW,KAAK2jC,kBAAkBtE,IAE/B,CAIA,CAACM,IAAmBvf,GACZA,IAAOzS,EAAEqR,mBACThf,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEyQ,iBAChBpe,KAAKqoB,MAAQuX,GACNxf,IAAOzS,EAAE8Q,aAChBze,KAAK6jB,aAAal6B,MAAQ,IACnBy2B,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIrf,cACdjM,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK6jB,aAAal6B,MAAQ,KAC1BqW,KAAK2jC,kBAAkBtE,IAE/B,CAIA,CAACO,IAAwBxf,GACjBA,IAAOzS,EAAE8Q,cACTze,KAAK6jB,aAAal6B,MAAQ,MAC1BqW,KAAKqoB,MAAQqX,IACNtf,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAIpf,0BACdlM,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIrf,cACdjM,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK6jB,aAAal6B,MAAQ,MAC1BqW,KAAK2jC,kBAAkBtE,IAE/B,CAIA,CAACQ,IAAezf,GACRqhB,GAAarhB,GACbpgB,KAAKqoB,MAAQyX,GACN1f,IAAOzS,EAAEqR,kBAChBhf,KAAK2jC,kBAAkB7D,IAChB1f,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAKmnB,oBAAoB,MACzBnnB,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAI5e,oCACd1M,KAAK2jC,kBAAkB7D,IAE/B,CAIA,CAACA,IAA2B1f,GACpBqhB,GAAarhB,KAIbuhB,GAAavhB,IACbpgB,KAAKmnB,oBAAoBgb,GAAiB/hB,IAC1CpgB,KAAKqoB,MAAQ0X,IACN3f,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAKmnB,oBAAoBoE,EAAQ5N,uBACjC3d,KAAKqoB,MAAQ0X,IACN3f,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI3e,oBACd3M,KAAKmnB,oBAAoB,MACzBnnB,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAKmnB,oBAAoB,MACzBnnB,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAKmnB,oBAAoB+a,GAAO9hB,IAChCpgB,KAAKqoB,MAAQ0X,IAErB,CAIA,CAACA,IAAoB3f,GACbqhB,GAAarhB,GACbpgB,KAAKqoB,MAAQ2X,GACN5f,IAAOzS,EAAEqR,mBAChBhf,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE6Z,GAAavhB,GACpBpgB,KAAK6jB,aAAa7/B,MAAQm+C,GAAiB/hB,GACpCA,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAa7/B,MAAQunC,EAAQ5N,uBAC3ByC,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,iBAELrkC,KAAK6jB,aAAa7/B,MAAQk+C,GAAO9hB,EAEzC,CAIA,CAAC4f,IAA0B5f,GACnBqhB,GAAarhB,KAIbA,IAAOzS,EAAEqR,mBACThf,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,iBACErkC,KAAK4jC,wBAAwBrH,EAAGtc,cAAeG,GAAI,GAC1DpgB,KAAKqoB,MAAQ4X,GACNjgC,KAAK4jC,wBAAwBrH,EAAGrc,cAAeE,GAAI,GAC1DpgB,KAAKqoB,MAAQkY,GAIPvgC,KAAKqjC,uBACXrjC,KAAK4hB,KAAK0J,EAAI1e,0CACd5M,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK2jC,kBAAkB/C,KAE/B,CAIA,CAACX,IAAoC7f,GAC7BqhB,GAAarhB,GACbpgB,KAAKqoB,MAAQ6X,GACN9f,IAAOzS,EAAE0Q,gBAChBre,KAAK4hB,KAAK0J,EAAIpgB,4CACdlL,KAAK6jB,aAAa1a,SAAW,GAC7BnJ,KAAKqoB,MAAQ8X,IACN/f,IAAOzS,EAAE6Q,YAChBxe,KAAK4hB,KAAK0J,EAAIpgB,4CACdlL,KAAK6jB,aAAa1a,SAAW,GAC7BnJ,KAAKqoB,MAAQ+X,IACNhgB,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI/f,gCACdvL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIjgB,2CACdrL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK2jC,kBAAkB/C,IAE/B,CAIA,CAACV,IAAwC9f,GACjCqhB,GAAarhB,KAIbA,IAAOzS,EAAE0Q,gBACTre,KAAK6jB,aAAa1a,SAAW,GAC7BnJ,KAAKqoB,MAAQ8X,IACN/f,IAAOzS,EAAE6Q,YAChBxe,KAAK6jB,aAAa1a,SAAW,GAC7BnJ,KAAKqoB,MAAQ+X,IACNhgB,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI/f,gCACdvL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIjgB,2CACdrL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK2jC,kBAAkB/C,KAE/B,CAIA,CAACT,IAA+C/f,GACxCA,IAAOzS,EAAE0Q,eACTre,KAAKqoB,MAAQgY,GACNjgB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAa1a,UAAYoiB,EAAQ5N,uBAC/ByC,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI7f,+BACdzL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,iBAELrkC,KAAK6jB,aAAa1a,UAAY+4B,GAAO9hB,EAE7C,CAIA,CAACggB,IAA+ChgB,GACxCA,IAAOzS,EAAE6Q,WACTxe,KAAKqoB,MAAQgY,GACNjgB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAa1a,UAAYoiB,EAAQ5N,uBAC/ByC,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI7f,+BACdzL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,iBAELrkC,KAAK6jB,aAAa1a,UAAY+4B,GAAO9hB,EAE7C,CAIA,CAACigB,IAAuCjgB,GAChCqhB,GAAarhB,GACbpgB,KAAKqoB,MAAQiY,GACNlgB,IAAOzS,EAAEqR,mBAChBhf,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAE0Q,gBAChBre,KAAK4hB,KAAK0J,EAAIngB,2DACdnL,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQoY,IACNrgB,IAAOzS,EAAE6Q,YAChBxe,KAAK4hB,KAAK0J,EAAIngB,2DACdnL,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQqY,IACNtgB,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIhgB,2CACdtL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK2jC,kBAAkB/C,IAE/B,CAIA,CAACN,IAAqDlgB,GAC9CqhB,GAAarhB,KAIbA,IAAOzS,EAAEqR,mBACThf,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAE0Q,gBAChBre,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQoY,IACNrgB,IAAOzS,EAAE6Q,YAChBxe,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQqY,IACNtgB,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIhgB,2CACdtL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK2jC,kBAAkB/C,KAE/B,CAIA,CAACL,IAAoCngB,GAC7BqhB,GAAarhB,GACbpgB,KAAKqoB,MAAQmY,GACNpgB,IAAOzS,EAAE0Q,gBAChBre,KAAK4hB,KAAK0J,EAAIlgB,4CACdpL,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQoY,IACNrgB,IAAOzS,EAAE6Q,YAChBxe,KAAK4hB,KAAK0J,EAAIlgB,4CACdpL,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQqY,IACNtgB,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI9f,gCACdxL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIhgB,2CACdtL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK2jC,kBAAkB/C,IAE/B,CAIA,CAACJ,IAAwCpgB,GACjCqhB,GAAarhB,KAIbA,IAAOzS,EAAE0Q,gBACTre,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQoY,IACNrgB,IAAOzS,EAAE6Q,YAChBxe,KAAK6jB,aAAata,SAAW,GAC7BvJ,KAAKqoB,MAAQqY,IACNtgB,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI9f,gCACdxL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAKqoB,MAAQoU,EACbz8B,KAAK8nB,qBACE1H,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAIhgB,2CACdtL,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK2jC,kBAAkB/C,KAE/B,CAIA,CAACH,IAA+CrgB,GACxCA,IAAOzS,EAAE0Q,eACTre,KAAKqoB,MAAQsY,GACNvgB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAata,UAAYgiB,EAAQ5N,uBAC/ByC,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI5f,+BACd1L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,iBAELrkC,KAAK6jB,aAAata,UAAY24B,GAAO9hB,EAE7C,CAIA,CAACsgB,IAA+CtgB,GACxCA,IAAOzS,EAAE6Q,WACTxe,KAAKqoB,MAAQsY,GACNvgB,IAAOzS,EAAEmQ,MAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACdpK,KAAK6jB,aAAata,UAAYgiB,EAAQ5N,uBAC/ByC,IAAOzS,EAAEqR,mBAChBhf,KAAK4hB,KAAK0J,EAAI5f,+BACd1L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,iBAELrkC,KAAK6jB,aAAata,UAAY24B,GAAO9hB,EAE7C,CAIA,CAACugB,IAAuCvgB,GAChCqhB,GAAarhB,KAIbA,IAAOzS,EAAEqR,mBACThf,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAIxf,cACd9L,KAAK6jB,aAAaqK,aAAc,EAChCluB,KAAK8nB,oBACL9nB,KAAKqkC,kBAELrkC,KAAK4hB,KAAK0J,EAAI1gB,iDACd5K,KAAK2jC,kBAAkB/C,KAE/B,CAIA,CAACA,IAAqBxgB,GACdA,IAAOzS,EAAEqR,mBACThf,KAAK8nB,oBACL9nB,KAAKqoB,MAAQoU,GACNrc,IAAOzS,EAAEmQ,KAChB9d,KAAK4hB,KAAK0J,EAAIlhB,yBACPgW,IAAOzS,EAAEkQ,MAChB7d,KAAK8nB,oBACL9nB,KAAKqkC,gBAEb,CAIA,CAACxD,IAAqBzgB,GACdA,IAAOzS,EAAE2R,qBACTtf,KAAKqoB,MAAQyY,GACN1gB,IAAOzS,EAAEkQ,KAChB7d,KAAK4hB,KAAK0J,EAAInf,YACdnM,KAAKqkC,iBAELrkC,KAAKukC,eAAenkB,EAE5B,CAIA,CAAC0gB,IAA6B1gB,GACtBA,IAAOzS,EAAE2R,qBACTtf,KAAKqoB,MAAQ0Y,IAEb/gC,KAAK0kC,WAAW,KAChB1kC,KAAK2jC,kBAAkB9C,IAE/B,CAIA,CAACE,IAAyB3gB,GAClBA,IAAOzS,EAAEqR,kBACThf,KAAKqoB,MAAQoU,EACNrc,IAAOzS,EAAE2R,qBAChBtf,KAAK0kC,WAAW,MAEhB1kC,KAAK0kC,WAAW,MAChB1kC,KAAK2jC,kBAAkB9C,IAE/B,CAIA,CAACG,IAA2B5gB,GACxBpgB,KAAK8iC,SAAW,CAACn1B,EAAE4Q,WAEf6B,IAAOzS,EAAE2Q,aACTte,KAAK8iC,SAAS98C,KAAKo6B,GACnBpgB,KAAKqoB,MAAQ8Y,IACNW,GAAoB1hB,GAC3BpgB,KAAK2jC,kBAAkB1C,KAEvBjhC,KAAKmlC,+CACLnlC,KAAK2jC,kBAAkB3jC,KAAK4iC,aAEpC,CAIA,CAAC3B,IAAiC7gB,GAC9B,MAAMglB,EAAcplC,KAAK2kC,8BAA8BvkB,GAIvD,GAAIpgB,KAAKqjC,qBACLrjC,KAAK8iC,SAAW,CAACn1B,EAAE4Q,gBAChB,GAAI6mB,EAAa,CACpB,MAAMH,EAAgBjlC,KAAK8iC,SAAS9iC,KAAK8iC,SAAS99C,OAAS,KAAO2oB,EAAEkR,UAE/D7e,KAAKglC,oCAAoCC,KACrCA,GACDjlC,KAAKkjC,oBAAoB5X,EAAI3gB,yCAGjC3K,KAAK8iC,SAAWsC,GAGpBplC,KAAKmlC,+CACLnlC,KAAKqoB,MAAQroB,KAAK4iC,WACtB,MACI5iC,KAAKmlC,+CACLnlC,KAAKqoB,MAAQ6Y,EAErB,CAIA,CAACA,IAA2B9gB,GACpB0hB,GAAoB1hB,GAChBpgB,KAAK+kC,mCACL/kC,KAAK8mB,YAAY3iC,OAAS+9C,GAAO9hB,GAEjCpgB,KAAKukC,eAAenkB,IAGpBA,IAAOzS,EAAEkR,WACT7e,KAAK4hB,KAAK0J,EAAI5gB,gCAGlB1K,KAAK2jC,kBAAkB3jC,KAAK4iC,aAEpC,CAIA,CAACzB,IAAmC/gB,GAChCpgB,KAAK6iC,YAAc,EAEfziB,IAAOzS,EAAE+R,eAAiBU,IAAOzS,EAAEyR,iBACnCpf,KAAK8iC,SAAS98C,KAAKo6B,GACnBpgB,KAAKqoB,MAAQ+Y,IAEbphC,KAAK2jC,kBAAkBtC,GAE/B,CAIA,CAACD,IAA6ChhB,IA13DlD,SAAyBA,GACrB,OAAOshB,GAAathB,IAAO2hB,GAAqB3hB,IAAO4hB,GAAqB5hB,EAChF,CAy3DYilB,CAAgBjlB,IAGhBpgB,KAAK4hB,KAAK0J,EAAIlf,4CACdpM,KAAKmlC,+CACLnlC,KAAK2jC,kBAAkB3jC,KAAK4iC,cAJ5B5iC,KAAK2jC,kBAAkBrC,GAM/B,CAIA,CAACD,IAAyCjhB,GAClCshB,GAAathB,GACbpgB,KAAK2jC,kBAAkBpC,KAEvBvhC,KAAK4hB,KAAK0J,EAAIlf,4CACdpM,KAAKmlC,+CACLnlC,KAAK2jC,kBAAkB3jC,KAAK4iC,aAEpC,CAIA,CAACtB,IAAuClhB,GAChC2hB,GAAqB3hB,GACrBpgB,KAAK6iC,YAAiC,GAAnB7iC,KAAK6iC,YAAmBziB,EAAK,GACzC4hB,GAAqB5hB,GAC5BpgB,KAAK6iC,YAAiC,GAAnB7iC,KAAK6iC,YAAmBziB,EAAK,GACzCshB,GAAathB,GACpBpgB,KAAK6iC,YAAiC,GAAnB7iC,KAAK6iC,YAAmBziB,EAAK,GACzCA,IAAOzS,EAAEkR,UAChB7e,KAAKqoB,MAAQmZ,IAEbxhC,KAAK4hB,KAAK0J,EAAI3gB,yCACd3K,KAAK2jC,kBAAkBnC,IAE/B,CAIA,CAACD,IAAmCnhB,GAC5BshB,GAAathB,GACbpgB,KAAK6iC,YAAiC,GAAnB7iC,KAAK6iC,YAAmBziB,EAAK,GACzCA,IAAOzS,EAAEkR,UAChB7e,KAAKqoB,MAAQmZ,IAEbxhC,KAAK4hB,KAAK0J,EAAI3gB,yCACd3K,KAAK2jC,kBAAkBnC,IAE/B,CAIA,CAACA,MACG,GAAIxhC,KAAK6iC,cAAgBl1B,EAAEmQ,KACvB9d,KAAK4hB,KAAK0J,EAAIjf,wBACdrM,KAAK6iC,YAAcl1B,EAAEgQ,2BAClB,GAAI3d,KAAK6iC,YAAc,QAC1B7iC,KAAK4hB,KAAK0J,EAAI/e,uCACdvM,KAAK6iC,YAAcl1B,EAAEgQ,2BAClB,GAAI4N,EAAQpL,YAAYngB,KAAK6iC,aAChC7iC,KAAK4hB,KAAK0J,EAAIhf,6BACdtM,KAAK6iC,YAAcl1B,EAAEgQ,2BAClB,GAAI4N,EAAQ7K,qBAAqB1gB,KAAK6iC,aACzC7iC,KAAK4hB,KAAK0J,EAAI7e,qCACX,GAAI8e,EAAQ9K,mBAAmBzgB,KAAK6iC,cAAgB7iC,KAAK6iC,cAAgBl1B,EAAEqQ,gBAAiB,CAC/Fhe,KAAK4hB,KAAK0J,EAAI9e,2BAEd,MAAM84B,EAAc9I,EAAmCx8B,KAAK6iC,aAExDyC,IACAtlC,KAAK6iC,YAAcyC,EAE3B,CAEAtlC,KAAK8iC,SAAW,CAAC9iC,KAAK6iC,aAEtB7iC,KAAKmlC,+CACLnlC,KAAK2jC,kBAAkB3jC,KAAK4iC,YAChC,EAIJn1B,GAAU+f,gBAAkB,kBAC5B/f,GAAUigB,qBAAuB,uBACjCjgB,GAAUkgB,2BAA6B,6BACvClgB,GAAU4gB,gBAAkB,kBAC5B5gB,GAAU+W,cAAgB,gBAC1B/W,GAAUogB,cAAgB,gBAC1BpgB,GAAUsgB,cAAgB,gBAC1BtgB,GAAUsa,UAAY,YACtBta,GAAU0rB,kBAAoB,oBAG9B1rB,GAAUya,KAAO,CACbqd,KAAM9I,EACNxJ,OAAQyJ,EACRxJ,QAASyJ,EACTxJ,YAAayJ,EACbzgB,UAAW0gB,GAIfpvB,GAAU6J,aAAe,SAAShO,EAAOk8B,GACrC,IAAK,IAAIzgD,EAAIukB,EAAMqO,MAAM3yB,OAAS,EAAGD,GAAK,EAAGA,IACzC,GAAIukB,EAAMqO,MAAM5yB,GAAGf,OAASwhD,EACxB,OAAOl8B,EAAMqO,MAAM5yB,GAAGZ,MAI9B,OAAO,IACX,EAEAI,EAAOC,QAAUipB,yBC/oEjBlpB,EAAOC,QAAU,IAAIihD,YAAY,CAAC,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,GAAG,EAAE,GAAG,EAAE,GAAG,IAAI,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,EAAE,KAAK,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,GAAG,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,EAAE,GAAG,KAAK,EAAE,GAAG,IAAI,IAAI,GAAG,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,KAAK,KAAK,KAAK,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,GAAG,EAAE,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,EAAE,GAAG,KAAK,EAAE,GAAG,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,KAAK,IAAK,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,EAAE,MAAM,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,GAAG,GAAG,EAAE,GAAG,EAAE,GAAG,KAAK,EAAE,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,GAAG,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,GAAG,GAAG,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,GAAG,EAAE,EAAE,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,KAAK,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,GAAG,GAAG,GAAG,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,GAAG,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,GAAG,EAAE,GAAG,KAAK,EAAE,GAAG,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,GAAG,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,GAAG,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,KAAK,KAAK,KAAK,EAAE,GAAG,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,GAAG,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,GAAG,GAAG,EAAE,GAAG,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,GAAG,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,GAAG,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,KAAK,KAAK,KAAK,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,IAAI,GAAG,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,IAAI,GAAG,IAAI,GAAG,EAAE,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,MAAM,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,GAAG,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,EAAE,KAAK,GAAG,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,KAAK,KAAK,GAAG,EAAE,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,EAAE,IAAI,EAAE,GAAG,KAAK,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,KAAK,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,KAAK,KAAK,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,MAAM,MAAM,MAAM,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,MAAM,GAAG,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,GAAG,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,GAAG,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,GAAG,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,MAAM,GAAG,GAAG,EAAE,KAAK,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,GAAG,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,IAAI,GAAG,EAAE,GAAG,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,GAAG,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,MAAM,GAAG,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,MAAM,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,GAAG,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,GAAG,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,MAAM,GAAG,GAAG,EAAE,KAAK,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,KAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,KAAK,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,IAAI,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,KAAK,GAAG,EAAE,GAAG,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,GAAG,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,GAAG,EAAE,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,GAAG,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,GAAG,EAAE,GAAG,MAAM,EAAE,GAAG,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,IAAI,GAAG,EAAE,GAAG,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,GAAG,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,GAAG,EAAE,GAAG,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,GAAG,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,KAAK,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,IAAI,GAAG,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,GAAG,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,GAAG,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,GAAG,GAAG,EAAE,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,MAAM,MAAM,IAAI,GAAG,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,GAAG,GAAG,GAAG,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,MAAM,GAAG,EAAE,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,IAAI,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,MAAM,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,MAAM,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,IAAI,IAAI,IAAI,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,IAAI,MAAM,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,KAAK,GAAG,EAAE,KAAK,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,MAAM,IAAI,IAAI,IAAI,GAAG,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,EAAE,KAAK,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,GAAG,MAAM,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,IAAI,GAAG,EAAE,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,MAAM,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,GAAG,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,GAAG,EAAE,KAAK,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,GAAG,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,GAAG,EAAE,KAAK,IAAI,EAAE,IAAI,EAAE,GAAG,MAAM,EAAE,IAAI,EAAE,GAAG,GAAG,GAAG,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,MAAM,GAAG,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,GAAG,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,IAAI,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,IAAI,IAAI,IAAI,GAAG,EAAE,KAAK,GAAG,GAAG,EAAE,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,IAAI,IAAI,GAAG,EAAE,KAAK,IAAI,IAAI,GAAG,EAAE,MAAM,MAAM,GAAG,IAAI,GAAG,EAAE,MAAM,MAAM,EAAE,EAAE,IAAI,IAAI,MAAM,MAAM,GAAG,EAAE,KAAK,IAAI,GAAG,EAAE,oCCF3vvE,MAAMla,EAAUjlC,EAAQ,MAClBglC,EAAMhlC,EAAQ,MAGdqnB,EAAI4d,EAAQ3N,YAwJlBr5B,EAAOC,QAhJP,MACIZ,WAAAA,GACIoc,KAAKlX,KAAO,KAEZkX,KAAKwD,KAAO,EACZxD,KAAK0lC,YAAc,EACnB1lC,KAAK2lC,aAAe,EAEpB3lC,KAAK4lC,SAAW,GAEhB5lC,KAAK4zB,iBAAkB,EAEvB5zB,KAAK6lC,kBAAmB,EACxB7lC,KAAK0jC,eAAgB,EACrB1jC,KAAK8lC,gBAnBoB,KAoB7B,CAEAlkB,IAAAA,GACI,CAGJmkB,OAAAA,GACI/lC,KAAK4lC,SAAS5/C,KAAKga,KAAK0lC,YACxB1lC,KAAK0lC,WAAa1lC,KAAKwD,GAC3B,CAEAwiC,iBAAAA,CAAkB5lB,GAEd,GAAIpgB,KAAKwD,MAAQxD,KAAK2lC,YAAa,CAC/B,MAAMT,EAASllC,KAAKlX,KAAKib,WAAW/D,KAAKwD,IAAM,GAE/C,GAAI+nB,EAAQlL,gBAAgB6kB,GAOxB,OALAllC,KAAKwD,MAGLxD,KAAK+lC,UAEExa,EAAQjL,0BAA0BF,EAAI8kB,EAErD,MAGK,IAAKllC,KAAK6lC,iBAEX,OADA7lC,KAAK0jC,eAAgB,EACd/1B,EAAEkQ,IAMb,OAFA7d,KAAK4hB,KAAK0J,EAAIvhB,wBAEPqW,CACX,CAEAuI,eAAAA,GACQ3oB,KAAKwD,IAAMxD,KAAK8lC,kBAChB9lC,KAAK2lC,aAAe3lC,KAAKwD,IACzBxD,KAAKlX,KAAOkX,KAAKlX,KAAKm9C,UAAUjmC,KAAKwD,KACrCxD,KAAKwD,IAAM,EACXxD,KAAK0lC,YAAc,EACnB1lC,KAAK4lC,SAAW,GAExB,CAEAtN,KAAAA,CAAMiL,EAAOC,GACLxjC,KAAKlX,KACLkX,KAAKlX,MAAQy6C,EAEbvjC,KAAKlX,KAAOy6C,EAGhBvjC,KAAK2lC,YAAc3lC,KAAKlX,KAAK9D,OAAS,EACtCgb,KAAK0jC,eAAgB,EACrB1jC,KAAK6lC,iBAAmBrC,CAC5B,CAEAC,sBAAAA,CAAuBF,GACnBvjC,KAAKlX,KAAOkX,KAAKlX,KAAKm9C,UAAU,EAAGjmC,KAAKwD,IAAM,GAAK+/B,EAAQvjC,KAAKlX,KAAKm9C,UAAUjmC,KAAKwD,IAAM,EAAGxD,KAAKlX,KAAK9D,QAEvGgb,KAAK2lC,YAAc3lC,KAAKlX,KAAK9D,OAAS,EACtCgb,KAAK0jC,eAAgB,CACzB,CAEAjb,OAAAA,GAGI,GAFAzoB,KAAKwD,MAEDxD,KAAKwD,IAAMxD,KAAK2lC,YAEhB,OADA3lC,KAAK0jC,eAAiB1jC,KAAK6lC,iBACpBl4B,EAAEkQ,IAGb,IAAIuC,EAAKpgB,KAAKlX,KAAKib,WAAW/D,KAAKwD,KAInC,GAAIxD,KAAK4zB,iBAAmBxT,IAAOzS,EAAEsQ,UAGjC,OAFAje,KAAK4zB,iBAAkB,EACvB5zB,KAAK+lC,UACE/lC,KAAKyoB,UAIhB,GAAIrI,IAAOzS,EAAEqQ,gBAET,OADAhe,KAAK4zB,iBAAkB,EAChBjmB,EAAEsQ,UAGbje,KAAK4zB,iBAAkB,EAEnBrI,EAAQpL,YAAYC,KACpBA,EAAKpgB,KAAKgmC,kBAAkB5lB,IAahC,OANKA,EAAK,IAAQA,EAAK,KAASA,IAAOzS,EAAEsQ,WAAamC,IAAOzS,EAAEqQ,iBAAoBoC,EAAK,KAAQA,EAAK,OAGjGpgB,KAAKkmC,+BAA+B9lB,GAGjCA,CACX,CAEA8lB,8BAAAA,CAA+B9lB,GACvBmL,EAAQ9K,mBAAmBL,GAC3BpgB,KAAK4hB,KAAK0J,EAAIzhB,+BACP0hB,EAAQ7K,qBAAqBN,IACpCpgB,KAAK4hB,KAAK0J,EAAIxhB,0BAEtB,CAEA4e,OAAAA,GACQ1oB,KAAKwD,MAAQxD,KAAK0lC,aAClB1lC,KAAK0lC,WAAa1lC,KAAK4lC,SAAS1iB,MAChCljB,KAAKwD,OAGTxD,KAAKwD,KACT,gCCzJJ,MAAM,cAAEiF,GAAkBniB,EAAQ,MAGlC9B,EAAQ6zC,eAAiB,WACrB,MAAO,CACH8N,SAAU,YACVlY,KAAMxlB,EAAckB,UACpBy8B,WAAY,GAEpB,EAEA5hD,EAAQs0C,uBAAyB,WAC7B,MAAO,CACHqN,SAAU,qBACVC,WAAY,GAEpB,EAEA5hD,EAAQ+f,cAAgB,SAASre,EAASu/B,EAAc9N,GACpD,MAAO,CACHwuB,SAAUjgD,EACVA,QAASA,EACTyxB,MAAOA,EACP8N,aAAcA,EACd2gB,WAAY,GACZC,WAAY,KAEpB,EAEA7hD,EAAQ01C,kBAAoB,SAASvwC,GACjC,MAAO,CACHw8C,SAAU,WACVx8C,KAAMA,EACN08C,WAAY,KAEpB,EAEA,MAAMC,EAAiB,SAASniD,GAC5B,MAAO,CACHgiD,SAAU,QACVhiD,MAAOA,EACPkiD,WAAY,KAEpB,EAGM/T,EAAe9tC,EAAQ8tC,YAAc,SAAS+T,EAAYE,GAC5DF,EAAWD,WAAWpgD,KAAKugD,GAC3BA,EAAQF,WAAaA,CACzB,EAEM9K,EAAgB/2C,EAAQ+2C,aAAe,SAAS8K,EAAYE,EAASC,GACvE,MAAMvK,EAAeoK,EAAWD,WAAWh9C,QAAQo9C,GAEnDH,EAAWD,WAAWhc,OAAO6R,EAAc,EAAGsK,GAC9CA,EAAQF,WAAaA,CACzB,EAEA7hD,EAAQy1C,mBAAqB,SAASwM,EAAiBC,GACnDD,EAAgB/+C,QAAUg/C,CAC9B,EAEAliD,EAAQqhC,mBAAqB,SAAS4gB,GAClC,OAAOA,EAAgB/+C,OAC3B,EAEAlD,EAAQu1C,gBAAkB,SAASz1B,EAAUtgB,EAAMmlB,EAAUI,GACzD,IAAIo9B,EAAc,KAElB,IAAK,IAAI5hD,EAAI,EAAGA,EAAIuf,EAAS8hC,WAAWphD,OAAQD,IAC5C,GAAwC,kBAApCuf,EAAS8hC,WAAWrhD,GAAGohD,SAA8B,CACrDQ,EAAcriC,EAAS8hC,WAAWrhD,GAClC,KACJ,CAGA4hD,GACAA,EAAY3iD,KAAOA,EACnB2iD,EAAYx9B,SAAWA,EACvBw9B,EAAYp9B,SAAWA,GAEvB+oB,EAAYhuB,EAAU,CAClB6hC,SAAU,gBACVniD,KAAMA,EACNmlB,SAAUA,EACVI,SAAUA,GAGtB,EAEA/kB,EAAQ2pC,gBAAkB,SAAS7pB,EAAU2pB,GACzC3pB,EAAS2pB,KAAOA,CACpB,EAEAzpC,EAAQglB,gBAAkB,SAASlF,GAC/B,OAAOA,EAAS2pB,IACpB,EAEAzpC,EAAQ6tC,WAAa,SAASlrC,GAC1B,GAAIA,EAAKk/C,WAAY,CACjB,MAAMzc,EAAMziC,EAAKk/C,WAAWD,WAAWh9C,QAAQjC,GAE/CA,EAAKk/C,WAAWD,WAAWhc,OAAOR,EAAK,GACvCziC,EAAKk/C,WAAa,IACtB,CACJ,EAEA7hD,EAAQ41C,WAAa,SAASiM,EAAY9nC,GACtC,GAAI8nC,EAAWD,WAAWphD,OAAQ,CAC9B,MAAM4hD,EAAWP,EAAWD,WAAWC,EAAWD,WAAWphD,OAAS,GAEtE,GAA0B,UAAtB4hD,EAAST,SAET,YADAS,EAASziD,OAASoa,EAG1B,CAEA+zB,EAAY+T,EAAYC,EAAe/nC,GAC3C,EAEA/Z,EAAQg3C,iBAAmB,SAAS6K,EAAY9nC,EAAMioC,GAClD,MAAMI,EAAWP,EAAWD,WAAWC,EAAWD,WAAWh9C,QAAQo9C,GAAiB,GAElFI,GAAkC,UAAtBA,EAAST,SACrBS,EAASziD,OAASoa,EAElBg9B,EAAa8K,EAAYC,EAAe/nC,GAAOioC,EAEvD,EAEAhiD,EAAQywC,gBAAkB,SAASqF,EAAW3iB,GAC1C,MAAMkvB,EAAoB,GAE1B,IAAK,IAAI9hD,EAAI,EAAGA,EAAIu1C,EAAU3iB,MAAM3yB,OAAQD,IACxC8hD,EAAkB7gD,KAAKs0C,EAAU3iB,MAAM5yB,GAAGf,MAG9C,IAAK,IAAIkmC,EAAI,EAAGA,EAAIvS,EAAM3yB,OAAQklC,KACoB,IAA9C2c,EAAkBz9C,QAAQuuB,EAAMuS,GAAGlmC,OACnCs2C,EAAU3iB,MAAM3xB,KAAK2xB,EAAMuS,GAGvC,EAGA1lC,EAAQo0C,cAAgB,SAASzxC,GAC7B,OAAOA,EAAKi/C,WAAW,EAC3B,EAEA5hD,EAAQ4gC,cAAgB,SAASj+B,GAC7B,OAAOA,EAAKi/C,UAChB,EAEA5hD,EAAQs1C,cAAgB,SAAS3yC,GAC7B,OAAOA,EAAKk/C,UAChB,EAEA7hD,EAAQ6kC,YAAc,SAAS7F,GAC3B,OAAOA,EAAQ7L,KACnB,EAGAnzB,EAAQ8/B,WAAa,SAASd,GAC1B,OAAOA,EAAQt9B,OACnB,EAEA1B,EAAQglC,gBAAkB,SAAShG,GAC/B,OAAOA,EAAQiC,YACnB,EAEAjhC,EAAQsiD,mBAAqB,SAASpgB,GAClC,OAAOA,EAASviC,KACpB,EAEAK,EAAQuiD,sBAAwB,SAAS9gB,GACrC,OAAOA,EAAYt8B,IACvB,EAEAnF,EAAQwiD,wBAA0B,SAASL,GACvC,OAAOA,EAAY3iD,IACvB,EAEAQ,EAAQyiD,4BAA8B,SAASN,GAC3C,OAAOA,EAAYx9B,QACvB,EAEA3kB,EAAQ0iD,4BAA8B,SAASP,GAC3C,OAAOA,EAAYp9B,QACvB,EAGA/kB,EAAQ2iD,WAAa,SAAShgD,GAC1B,MAAyB,UAAlBA,EAAKg/C,QAChB,EAEA3hD,EAAQ4iD,cAAgB,SAASjgD,GAC7B,MAAyB,aAAlBA,EAAKg/C,QAChB,EAEA3hD,EAAQ8gC,mBAAqB,SAASn+B,GAClC,MAAyB,kBAAlBA,EAAKg/C,QAChB,EAEA3hD,EAAQ6iD,cAAgB,SAASlgD,GAC7B,QAASA,EAAKjB,OAClB,EAGA1B,EAAQ0/B,0BAA4B,SAAS/8B,EAAMs7B,GAC/Ct7B,EAAKmgD,mBAAqB7kB,CAC9B,EAEAj+B,EAAQ6/B,0BAA4B,SAASl9B,GACzC,OAAOA,EAAKmgD,kBAChB,EAEA9iD,EAAQkgC,6BAA+B,SAASv9B,EAAMogD,GAClDpgD,EAAKmgD,mBAAqB3kD,OAAOqhC,OAAO78B,EAAKmgD,mBAAoBC,EACrE,yBC1NAhjD,EAAOC,QAAU,SAAsBygB,EAAUlhB,GAG7C,MAAO,CAACkhB,EAFRlhB,EAAUA,GAAWpB,OAAOuI,OAAO,OAERs8C,QAAO,CAACC,EAAQC,KACvC/kD,OAAOslC,KAAKyf,GAAQvf,SAAQ1kC,IACxBgkD,EAAOhkD,GAAOikD,EAAOjkD,EAAI,IAGtBgkD,IACR9kD,OAAOuI,OAAO,MACrB,yBCVA,MAAMy1B,EACF/8B,WAAAA,CAAYg9B,GACR,MAAM+mB,EAAkB,CAAC,EACnBC,EAAoB5nC,KAAK0hB,sBAAsB1hB,KAAM2nC,GAE3D,IAAK,MAAMlkD,KAAOd,OAAOslC,KAAK2f,GACY,oBAA3BA,EAAkBnkD,KACzBkkD,EAAgBlkD,GAAOm9B,EAAKn9B,GAC5Bm9B,EAAKn9B,GAAOmkD,EAAkBnkD,GAG1C,CAEAi+B,qBAAAA,GACI,MAAM,IAAIp5B,MAAM,kBACpB,EAGJq4B,EAAM2B,QAAU,SAAS1B,EAAMinB,EAAMhnB,GAC5BD,EAAKknB,WACNlnB,EAAKknB,SAAW,IAGpB,IAAK,IAAI/iD,EAAI,EAAGA,EAAI67B,EAAKknB,SAAS9iD,OAAQD,IACtC,GAAI67B,EAAKknB,SAAS/iD,GAAGnB,cAAgBikD,EACjC,OAAOjnB,EAAKknB,SAAS/iD,GAI7B,MAAMgjD,EAAQ,IAAIF,EAAKjnB,EAAMC,GAI7B,OAFAD,EAAKknB,SAAS9hD,KAAK+hD,GAEZA,CACX,EAEAxjD,EAAOC,QAAUm8B,+BC7BjB,IAAIqnB,EAAuB1hD,EAAQ,MAEnC,SAAS2hD,IAAiB,CAC1B,SAASC,IAA0B,CACnCA,EAAuBC,kBAAoBF,EAE3C1jD,EAAOC,QAAU,WACf,SAAS4jD,EAAK7iD,EAAO8iD,EAAUC,EAAe7lB,EAAU8lB,EAAcC,GACpE,GAAIA,IAAWR,EAAf,CAIA,IAAIjlC,EAAM,IAAIza,MACZ,mLAKF,MADAya,EAAI/e,KAAO,sBACL+e,CAPN,CAQF,CAEA,SAAS0lC,IACP,OAAOL,CACT,CAHAA,EAAKM,WAAaN,EAMlB,IAAIO,EAAiB,CACnBC,MAAOR,EACPS,OAAQT,EACRU,KAAMV,EACNW,KAAMX,EACN5/C,OAAQ4/C,EACRvqC,OAAQuqC,EACRY,OAAQZ,EACRa,OAAQb,EAERc,IAAKd,EACLe,QAASV,EACTjlB,QAAS4kB,EACTgB,YAAahB,EACbiB,WAAYZ,EACZthD,KAAMihD,EACNkB,SAAUb,EACVc,MAAOd,EACPe,UAAWf,EACXjuC,MAAOiuC,EACPgB,MAAOhB,EAEPiB,eAAgBxB,EAChBC,kBAAmBF,GAKrB,OAFAU,EAAegB,UAAYhB,EAEpBA,CACT,kBC/CEpkD,EAAOC,QAAU8B,EAAQ,KAARA,0BCNnB/B,EAAOC,QAFoB,4ECGd,IAAIolD,EAAGtjD,EAAQ,MAASujD,EAAGvjD,EAAQ,MAAa,SAAS0nC,EAAE8b,GAAG,IAAI,IAAIC,EAAE,yDAAyDD,EAAExmC,EAAE,EAAEA,EAAExe,UAAUE,OAAOse,IAAIymC,GAAG,WAAWC,mBAAmBllD,UAAUwe,IAAI,MAAM,yBAAyBwmC,EAAE,WAAWC,EAAE,gHAAgH,CAAC,IAAIE,EAAG,IAAIC,IAAIC,EAAG,CAAC,EAAE,SAASC,EAAGN,EAAEC,GAAGM,EAAGP,EAAEC,GAAGM,EAAGP,EAAE,UAAUC,EAAE,CACxb,SAASM,EAAGP,EAAEC,GAAW,IAARI,EAAGL,GAAGC,EAAMD,EAAE,EAAEA,EAAEC,EAAE/kD,OAAO8kD,IAAIG,EAAGK,IAAIP,EAAED,GAAG,CAC5D,IAAIS,IAAK,qBAAqBC,QAAQ,qBAAqBA,OAAOlmC,UAAU,qBAAqBkmC,OAAOlmC,SAASC,eAAekmC,EAAG9nD,OAAOC,UAAUC,eAAe6nD,EAAG,8VAA8VC,EACpgB,CAAC,EAAEC,EAAG,CAAC,EACiN,SAASC,EAAEf,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,GAAGjrC,KAAKkrC,gBAAgB,IAAInB,GAAG,IAAIA,GAAG,IAAIA,EAAE/pC,KAAKmrC,cAAcL,EAAE9qC,KAAKorC,mBAAmBL,EAAE/qC,KAAKnR,gBAAgByU,EAAEtD,KAAKqrC,aAAavB,EAAE9pC,KAAK/Z,KAAK8jD,EAAE/pC,KAAKsrC,YAAYN,EAAEhrC,KAAKurC,kBAAkBN,CAAC,CAAC,IAAIO,EAAE,CAAC,EACpb,uIAAuInqC,MAAM,KAAK8mB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE,MAAK,GAAG,EAAG,IAAG,CAAC,CAAC,gBAAgB,kBAAkB,CAAC,YAAY,SAAS,CAAC,UAAU,OAAO,CAAC,YAAY,eAAe3hB,SAAQ,SAAS2hB,GAAG,IAAIC,EAAED,EAAE,GAAG0B,EAAEzB,GAAG,IAAIc,EAAEd,EAAE,GAAE,EAAGD,EAAE,GAAG,MAAK,GAAG,EAAG,IAAG,CAAC,kBAAkB,YAAY,aAAa,SAAS3hB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE9iD,cAAc,MAAK,GAAG,EAAG,IAC1e,CAAC,cAAc,4BAA4B,YAAY,iBAAiBmhC,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE,MAAK,GAAG,EAAG,IAAG,8OAA8OzoC,MAAM,KAAK8mB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE9iD,cAAc,MAAK,GAAG,EAAG,IACxb,CAAC,UAAU,WAAW,QAAQ,YAAYmhC,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE,MAAK,GAAG,EAAG,IAAG,CAAC,UAAU,YAAY3hB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE,MAAK,GAAG,EAAG,IAAG,CAAC,OAAO,OAAO,OAAO,QAAQ3hB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE,MAAK,GAAG,EAAG,IAAG,CAAC,UAAU,SAAS3hB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE9iD,cAAc,MAAK,GAAG,EAAG,IAAG,IAAIykD,EAAG,gBAAgB,SAASC,EAAG5B,GAAG,OAAOA,EAAE,GAAGx/C,aAAa,CAIxZ,SAASqhD,EAAG7B,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAES,EAAE3oD,eAAeknD,GAAGyB,EAAEzB,GAAG,MAAQ,OAAOgB,EAAE,IAAIA,EAAE9kD,KAAK6kD,KAAK,EAAEf,EAAE/kD,SAAS,MAAM+kD,EAAE,IAAI,MAAMA,EAAE,IAAI,MAAMA,EAAE,IAAI,MAAMA,EAAE,MAP9I,SAAYD,EAAEC,EAAEzmC,EAAEwnC,GAAG,GAAG,OAAOf,GAAG,qBAAqBA,GADqE,SAAYD,EAAEC,EAAEzmC,EAAEwnC,GAAG,GAAG,OAAOxnC,GAAG,IAAIA,EAAErd,KAAK,OAAM,EAAG,cAAc8jD,GAAG,IAAK,WAAW,IAAK,SAAS,OAAM,EAAG,IAAK,UAAU,OAAGe,IAAc,OAAOxnC,GAASA,EAAE4nC,gBAAmD,WAAnCpB,EAAEA,EAAE9iD,cAAcpB,MAAM,EAAE,KAAsB,UAAUkkD,GAAE,QAAQ,OAAM,EAAG,CAC/T8B,CAAG9B,EAAEC,EAAEzmC,EAAEwnC,GAAG,OAAM,EAAG,GAAGA,EAAE,OAAM,EAAG,GAAG,OAAOxnC,EAAE,OAAOA,EAAErd,MAAM,KAAK,EAAE,OAAO8jD,EAAE,KAAK,EAAE,OAAM,IAAKA,EAAE,KAAK,EAAE,OAAOrhD,MAAMqhD,GAAG,KAAK,EAAE,OAAOrhD,MAAMqhD,IAAI,EAAEA,EAAE,OAAM,CAAE,CAOtE8B,CAAG9B,EAAEzmC,EAAEynC,EAAED,KAAKxnC,EAAE,MAAMwnC,GAAG,OAAOC,EARxK,SAAYjB,GAAG,QAAGW,EAAGnnD,KAAKsnD,EAAGd,KAAeW,EAAGnnD,KAAKqnD,EAAGb,KAAeY,EAAG1gD,KAAK8/C,GAAUc,EAAGd,IAAG,GAAGa,EAAGb,IAAG,GAAS,GAAE,CAQwDgC,CAAG/B,KAAK,OAAOzmC,EAAEwmC,EAAEiC,gBAAgBhC,GAAGD,EAAEkC,aAAajC,EAAE,GAAGzmC,IAAIynC,EAAEl8C,gBAAgBi7C,EAAEiB,EAAEM,cAAc,OAAO/nC,EAAE,IAAIynC,EAAE9kD,MAAQ,GAAGqd,GAAGymC,EAAEgB,EAAEI,cAAcL,EAAEC,EAAEK,mBAAmB,OAAO9nC,EAAEwmC,EAAEiC,gBAAgBhC,IAAazmC,EAAE,KAAXynC,EAAEA,EAAE9kD,OAAc,IAAI8kD,IAAG,IAAKznC,EAAE,GAAG,GAAGA,EAAEwnC,EAAEhB,EAAEmC,eAAenB,EAAEf,EAAEzmC,GAAGwmC,EAAEkC,aAAajC,EAAEzmC,KAAI,CAHjd,0jCAA0jCjC,MAAM,KAAK8mB,SAAQ,SAAS2hB,GAAG,IAAIC,EAAED,EAAE3/C,QAAQshD,EACzmCC,GAAIF,EAAEzB,GAAG,IAAIc,EAAEd,EAAE,GAAE,EAAGD,EAAE,MAAK,GAAG,EAAG,IAAG,2EAA2EzoC,MAAM,KAAK8mB,SAAQ,SAAS2hB,GAAG,IAAIC,EAAED,EAAE3/C,QAAQshD,EAAGC,GAAIF,EAAEzB,GAAG,IAAIc,EAAEd,EAAE,GAAE,EAAGD,EAAE,gCAA+B,GAAG,EAAG,IAAG,CAAC,WAAW,WAAW,aAAa3hB,SAAQ,SAAS2hB,GAAG,IAAIC,EAAED,EAAE3/C,QAAQshD,EAAGC,GAAIF,EAAEzB,GAAG,IAAIc,EAAEd,EAAE,GAAE,EAAGD,EAAE,wCAAuC,GAAG,EAAG,IAAG,CAAC,WAAW,eAAe3hB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE9iD,cAAc,MAAK,GAAG,EAAG,IACldwkD,EAAEU,UAAU,IAAIrB,EAAE,YAAY,GAAE,EAAG,aAAa,gCAA+B,GAAG,GAAI,CAAC,MAAM,OAAO,SAAS,cAAc1iB,SAAQ,SAAS2hB,GAAG0B,EAAE1B,GAAG,IAAIe,EAAEf,EAAE,GAAE,EAAGA,EAAE9iD,cAAc,MAAK,GAAG,EAAG,IAE5L,IAAImlD,EAAGvC,EAAGwC,mDAAmDC,EAAGC,OAAOC,IAAI,iBAAiBC,EAAGF,OAAOC,IAAI,gBAAgBE,EAAGH,OAAOC,IAAI,kBAAkBG,EAAGJ,OAAOC,IAAI,qBAAqBI,EAAGL,OAAOC,IAAI,kBAAkBK,EAAGN,OAAOC,IAAI,kBAAkBM,EAAGP,OAAOC,IAAI,iBAAiBO,EAAGR,OAAOC,IAAI,qBAAqBQ,EAAGT,OAAOC,IAAI,kBAAkBS,EAAGV,OAAOC,IAAI,uBAAuBU,EAAGX,OAAOC,IAAI,cAAcW,EAAGZ,OAAOC,IAAI,cAAcD,OAAOC,IAAI,eAAeD,OAAOC,IAAI,0BACje,IAAIY,EAAGb,OAAOC,IAAI,mBAAmBD,OAAOC,IAAI,uBAAuBD,OAAOC,IAAI,eAAeD,OAAOC,IAAI,wBAAwB,IAAIa,EAAGd,OAAOe,SAAS,SAASC,EAAGxD,GAAG,OAAG,OAAOA,GAAG,kBAAkBA,EAAS,KAAwC,oBAAnCA,EAAEsD,GAAItD,EAAEsD,IAAKtD,EAAE,eAA0CA,EAAE,IAAI,CAAC,IAAoByD,EAAhBh0B,EAAE52B,OAAOqhC,OAAU,SAASwpB,EAAG1D,GAAG,QAAG,IAASyD,EAAG,IAAI,MAAMjlD,OAAQ,CAAC,MAAMgb,GAAG,IAAIymC,EAAEzmC,EAAE0f,MAAMz5B,OAAOjE,MAAM,gBAAgBioD,EAAGxD,GAAGA,EAAE,IAAI,EAAE,CAAC,MAAM,KAAKwD,EAAGzD,CAAC,CAAC,IAAI2D,GAAG,EACzb,SAASC,EAAG5D,EAAEC,GAAG,IAAID,GAAG2D,EAAG,MAAM,GAAGA,GAAG,EAAG,IAAInqC,EAAEhb,MAAMqlD,kBAAkBrlD,MAAMqlD,uBAAkB,EAAO,IAAI,GAAG5D,EAAE,GAAGA,EAAE,WAAW,MAAMzhD,OAAQ,EAAE3F,OAAOK,eAAe+mD,EAAEnnD,UAAU,QAAQ,CAACgrD,IAAI,WAAW,MAAMtlD,OAAQ,IAAI,kBAAkBulD,SAASA,QAAQC,UAAU,CAAC,IAAID,QAAQC,UAAU/D,EAAE,GAAG,CAAC,MAAMgE,GAAG,IAAIjD,EAAEiD,CAAC,CAACF,QAAQC,UAAUhE,EAAE,GAAGC,EAAE,KAAK,CAAC,IAAIA,EAAEzmD,MAAM,CAAC,MAAMyqD,GAAGjD,EAAEiD,CAAC,CAACjE,EAAExmD,KAAKymD,EAAEnnD,UAAU,KAAK,CAAC,IAAI,MAAM0F,OAAQ,CAAC,MAAMylD,GAAGjD,EAAEiD,CAAC,CAACjE,GAAG,CAAC,CAAC,MAAMiE,GAAG,GAAGA,GAAGjD,GAAG,kBAAkBiD,EAAE/qB,MAAM,CAAC,IAAI,IAAI+nB,EAAEgD,EAAE/qB,MAAM3hB,MAAM,MACnf2pC,EAAEF,EAAE9nB,MAAM3hB,MAAM,MAAM4pC,EAAEF,EAAE/lD,OAAO,EAAEgpD,EAAEhD,EAAEhmD,OAAO,EAAE,GAAGimD,GAAG,GAAG+C,GAAGjD,EAAEE,KAAKD,EAAEgD,IAAIA,IAAI,KAAK,GAAG/C,GAAG,GAAG+C,EAAE/C,IAAI+C,IAAI,GAAGjD,EAAEE,KAAKD,EAAEgD,GAAG,CAAC,GAAG,IAAI/C,GAAG,IAAI+C,EAAG,MAAM/C,IAAQ,IAAJ+C,GAASjD,EAAEE,KAAKD,EAAEgD,GAAG,CAAC,IAAIC,EAAE,KAAKlD,EAAEE,GAAG9gD,QAAQ,WAAW,QAA6F,OAArF2/C,EAAE9gD,aAAailD,EAAEC,SAAS,iBAAiBD,EAAEA,EAAE9jD,QAAQ,cAAc2/C,EAAE9gD,cAAqBilD,CAAC,QAAO,GAAGhD,GAAG,GAAG+C,GAAG,KAAK,CAAC,CAAC,CAAC,QAAQP,GAAG,EAAGnlD,MAAMqlD,kBAAkBrqC,CAAC,CAAC,OAAOwmC,EAAEA,EAAEA,EAAE9gD,aAAa8gD,EAAE9lD,KAAK,IAAIwpD,EAAG1D,GAAG,EAAE,CAC9Z,SAASqE,EAAGrE,GAAG,OAAOA,EAAEsE,KAAK,KAAK,EAAE,OAAOZ,EAAG1D,EAAE7jD,MAAM,KAAK,GAAG,OAAOunD,EAAG,QAAQ,KAAK,GAAG,OAAOA,EAAG,YAAY,KAAK,GAAG,OAAOA,EAAG,gBAAgB,KAAK,EAAE,KAAK,EAAE,KAAK,GAAG,OAAO1D,EAAE4D,EAAG5D,EAAE7jD,MAAK,GAAM,KAAK,GAAG,OAAO6jD,EAAE4D,EAAG5D,EAAE7jD,KAAKooD,QAAO,GAAM,KAAK,EAAE,OAAOvE,EAAE4D,EAAG5D,EAAE7jD,MAAK,GAAM,QAAQ,MAAM,GAAG,CACxR,SAASqoD,EAAGxE,GAAG,GAAG,MAAMA,EAAE,OAAO,KAAK,GAAG,oBAAoBA,EAAE,OAAOA,EAAE9gD,aAAa8gD,EAAE9lD,MAAM,KAAK,GAAG,kBAAkB8lD,EAAE,OAAOA,EAAE,OAAOA,GAAG,KAAK2C,EAAG,MAAM,WAAW,KAAKD,EAAG,MAAM,SAAS,KAAKG,EAAG,MAAM,WAAW,KAAKD,EAAG,MAAM,aAAa,KAAKK,EAAG,MAAM,WAAW,KAAKC,EAAG,MAAM,eAAe,GAAG,kBAAkBlD,EAAE,OAAOA,EAAEyE,UAAU,KAAK1B,EAAG,OAAO/C,EAAE9gD,aAAa,WAAW,YAAY,KAAK4jD,EAAG,OAAO9C,EAAE0E,SAASxlD,aAAa,WAAW,YAAY,KAAK8jD,EAAG,IAAI/C,EAAED,EAAEuE,OAC7Z,OADoavE,EAAEA,EAAE9gD,eACnd8gD,EAAE,MADieA,EAAEC,EAAE/gD,aAClf+gD,EAAE/lD,MAAM,IAAY,cAAc8lD,EAAE,IAAI,cAAqBA,EAAE,KAAKmD,EAAG,OAA6B,QAAtBlD,EAAED,EAAE9gD,aAAa,MAAc+gD,EAAEuE,EAAGxE,EAAE7jD,OAAO,OAAO,KAAKinD,EAAGnD,EAAED,EAAE2E,SAAS3E,EAAEA,EAAE4E,MAAM,IAAI,OAAOJ,EAAGxE,EAAEC,GAAG,CAAC,MAAMzmC,GAAG,EAAE,OAAO,IAAI,CAC3M,SAASqrC,EAAG7E,GAAG,IAAIC,EAAED,EAAE7jD,KAAK,OAAO6jD,EAAEsE,KAAK,KAAK,GAAG,MAAM,QAAQ,KAAK,EAAE,OAAOrE,EAAE/gD,aAAa,WAAW,YAAY,KAAK,GAAG,OAAO+gD,EAAEyE,SAASxlD,aAAa,WAAW,YAAY,KAAK,GAAG,MAAM,qBAAqB,KAAK,GAAG,OAAkB8gD,GAAXA,EAAEC,EAAEsE,QAAWrlD,aAAa8gD,EAAE9lD,MAAM,GAAG+lD,EAAE/gD,cAAc,KAAK8gD,EAAE,cAAcA,EAAE,IAAI,cAAc,KAAK,EAAE,MAAM,WAAW,KAAK,EAAE,OAAOC,EAAE,KAAK,EAAE,MAAM,SAAS,KAAK,EAAE,MAAM,OAAO,KAAK,EAAE,MAAM,OAAO,KAAK,GAAG,OAAOuE,EAAGvE,GAAG,KAAK,EAAE,OAAOA,IAAI2C,EAAG,aAAa,OAAO,KAAK,GAAG,MAAM,YACtf,KAAK,GAAG,MAAM,WAAW,KAAK,GAAG,MAAM,QAAQ,KAAK,GAAG,MAAM,WAAW,KAAK,GAAG,MAAM,eAAe,KAAK,GAAG,MAAM,gBAAgB,KAAK,EAAE,KAAK,EAAE,KAAK,GAAG,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG,GAAG,oBAAoB3C,EAAE,OAAOA,EAAE/gD,aAAa+gD,EAAE/lD,MAAM,KAAK,GAAG,kBAAkB+lD,EAAE,OAAOA,EAAE,OAAO,IAAI,CAAC,SAAS6E,EAAG9E,GAAG,cAAcA,GAAG,IAAK,UAAU,IAAK,SAAS,IAAK,SAAS,IAAK,YAAqB,IAAK,SAAS,OAAOA,EAAE,QAAQ,MAAM,GAAG,CACra,SAAS+E,EAAG/E,GAAG,IAAIC,EAAED,EAAE7jD,KAAK,OAAO6jD,EAAEA,EAAE3D,WAAW,UAAU2D,EAAE9iD,gBAAgB,aAAa+iD,GAAG,UAAUA,EAAE,CAEtF,SAAS+E,EAAGhF,GAAGA,EAAEiF,gBAAgBjF,EAAEiF,cADvD,SAAYjF,GAAG,IAAIC,EAAE8E,EAAG/E,GAAG,UAAU,QAAQxmC,EAAE3gB,OAAOO,yBAAyB4mD,EAAElmD,YAAYhB,UAAUmnD,GAAGe,EAAE,GAAGhB,EAAEC,GAAG,IAAID,EAAEjnD,eAAeknD,IAAI,qBAAqBzmC,GAAG,oBAAoBA,EAAE0rC,KAAK,oBAAoB1rC,EAAEsqC,IAAI,CAAC,IAAI7C,EAAEznC,EAAE0rC,IAAIhE,EAAE1nC,EAAEsqC,IAAiL,OAA7KjrD,OAAOK,eAAe8mD,EAAEC,EAAE,CAAC7lD,cAAa,EAAG8qD,IAAI,WAAW,OAAOjE,EAAEznD,KAAK0c,KAAK,EAAE4tC,IAAI,SAAS9D,GAAGgB,EAAE,GAAGhB,EAAEkB,EAAE1nD,KAAK0c,KAAK8pC,EAAE,IAAInnD,OAAOK,eAAe8mD,EAAEC,EAAE,CAAC9lD,WAAWqf,EAAErf,aAAmB,CAACgrD,SAAS,WAAW,OAAOnE,CAAC,EAAEoE,SAAS,SAASpF,GAAGgB,EAAE,GAAGhB,CAAC,EAAEqF,aAAa,WAAWrF,EAAEiF,cACxf,YAAYjF,EAAEC,EAAE,EAAE,CAAC,CAAkDqF,CAAGtF,GAAG,CAAC,SAASuF,EAAGvF,GAAG,IAAIA,EAAE,OAAM,EAAG,IAAIC,EAAED,EAAEiF,cAAc,IAAIhF,EAAE,OAAM,EAAG,IAAIzmC,EAAEymC,EAAEkF,WAAenE,EAAE,GAAqD,OAAlDhB,IAAIgB,EAAE+D,EAAG/E,GAAGA,EAAE95C,QAAQ,OAAO,QAAQ85C,EAAE3lD,QAAO2lD,EAAEgB,KAAaxnC,IAAGymC,EAAEmF,SAASpF,IAAG,EAAM,CAAC,SAASwF,EAAGxF,GAAwD,GAAG,qBAAxDA,EAAEA,IAAI,qBAAqBxlC,SAASA,cAAS,IAAkC,OAAO,KAAK,IAAI,OAAOwlC,EAAEyF,eAAezF,EAAE0F,IAAI,CAAC,MAAMzF,GAAG,OAAOD,EAAE0F,IAAI,CAAC,CACpa,SAASC,EAAG3F,EAAEC,GAAG,IAAIzmC,EAAEymC,EAAE/5C,QAAQ,OAAOupB,EAAE,CAAC,EAAEwwB,EAAE,CAAC2F,oBAAe,EAAOC,kBAAa,EAAOxrD,WAAM,EAAO6L,QAAQ,MAAMsT,EAAEA,EAAEwmC,EAAE8F,cAAcC,gBAAgB,CAAC,SAASC,EAAGhG,EAAEC,GAAG,IAAIzmC,EAAE,MAAMymC,EAAE4F,aAAa,GAAG5F,EAAE4F,aAAa7E,EAAE,MAAMf,EAAE/5C,QAAQ+5C,EAAE/5C,QAAQ+5C,EAAE2F,eAAepsC,EAAEsrC,EAAG,MAAM7E,EAAE5lD,MAAM4lD,EAAE5lD,MAAMmf,GAAGwmC,EAAE8F,cAAc,CAACC,eAAe/E,EAAEiF,aAAazsC,EAAE0sC,WAAW,aAAajG,EAAE9jD,MAAM,UAAU8jD,EAAE9jD,KAAK,MAAM8jD,EAAE/5C,QAAQ,MAAM+5C,EAAE5lD,MAAM,CAAC,SAAS8rD,EAAGnG,EAAEC,GAAe,OAAZA,EAAEA,EAAE/5C,UAAiB27C,EAAG7B,EAAE,UAAUC,GAAE,EAAG,CAC9d,SAASmG,EAAGpG,EAAEC,GAAGkG,EAAGnG,EAAEC,GAAG,IAAIzmC,EAAEsrC,EAAG7E,EAAE5lD,OAAO2mD,EAAEf,EAAE9jD,KAAK,GAAG,MAAMqd,EAAK,WAAWwnC,GAAM,IAAIxnC,GAAG,KAAKwmC,EAAE3lD,OAAO2lD,EAAE3lD,OAAOmf,KAAEwmC,EAAE3lD,MAAM,GAAGmf,GAAOwmC,EAAE3lD,QAAQ,GAAGmf,IAAIwmC,EAAE3lD,MAAM,GAAGmf,QAAQ,GAAG,WAAWwnC,GAAG,UAAUA,EAA8B,YAA3BhB,EAAEiC,gBAAgB,SAAgBhC,EAAElnD,eAAe,SAASstD,GAAGrG,EAAEC,EAAE9jD,KAAKqd,GAAGymC,EAAElnD,eAAe,iBAAiBstD,GAAGrG,EAAEC,EAAE9jD,KAAK2oD,EAAG7E,EAAE4F,eAAe,MAAM5F,EAAE/5C,SAAS,MAAM+5C,EAAE2F,iBAAiB5F,EAAE4F,iBAAiB3F,EAAE2F,eAAe,CACla,SAASU,EAAGtG,EAAEC,EAAEzmC,GAAG,GAAGymC,EAAElnD,eAAe,UAAUknD,EAAElnD,eAAe,gBAAgB,CAAC,IAAIioD,EAAEf,EAAE9jD,KAAK,KAAK,WAAW6kD,GAAG,UAAUA,QAAG,IAASf,EAAE5lD,OAAO,OAAO4lD,EAAE5lD,OAAO,OAAO4lD,EAAE,GAAGD,EAAE8F,cAAcG,aAAazsC,GAAGymC,IAAID,EAAE3lD,QAAQ2lD,EAAE3lD,MAAM4lD,GAAGD,EAAE6F,aAAa5F,CAAC,CAAU,MAATzmC,EAAEwmC,EAAE9lD,QAAc8lD,EAAE9lD,KAAK,IAAI8lD,EAAE4F,iBAAiB5F,EAAE8F,cAAcC,eAAe,KAAKvsC,IAAIwmC,EAAE9lD,KAAKsf,EAAE,CACzV,SAAS6sC,GAAGrG,EAAEC,EAAEzmC,GAAM,WAAWymC,GAAGuF,EAAGxF,EAAEuG,iBAAiBvG,IAAE,MAAMxmC,EAAEwmC,EAAE6F,aAAa,GAAG7F,EAAE8F,cAAcG,aAAajG,EAAE6F,eAAe,GAAGrsC,IAAIwmC,EAAE6F,aAAa,GAAGrsC,GAAE,CAAC,IAAIgtC,GAAGjtD,MAAMF,QAC7K,SAASotD,GAAGzG,EAAEC,EAAEzmC,EAAEwnC,GAAe,GAAZhB,EAAEA,EAAE/lD,QAAWgmD,EAAE,CAACA,EAAE,CAAC,EAAE,IAAI,IAAIgB,EAAE,EAAEA,EAAEznC,EAAEte,OAAO+lD,IAAIhB,EAAE,IAAIzmC,EAAEynC,KAAI,EAAG,IAAIznC,EAAE,EAAEA,EAAEwmC,EAAE9kD,OAAOse,IAAIynC,EAAEhB,EAAElnD,eAAe,IAAIinD,EAAExmC,GAAGnf,OAAO2lD,EAAExmC,GAAG/I,WAAWwwC,IAAIjB,EAAExmC,GAAG/I,SAASwwC,GAAGA,GAAGD,IAAIhB,EAAExmC,GAAGktC,iBAAgB,EAAG,KAAK,CAAmB,IAAlBltC,EAAE,GAAGsrC,EAAGtrC,GAAGymC,EAAE,KAASgB,EAAE,EAAEA,EAAEjB,EAAE9kD,OAAO+lD,IAAI,CAAC,GAAGjB,EAAEiB,GAAG5mD,QAAQmf,EAAiD,OAA9CwmC,EAAEiB,GAAGxwC,UAAS,OAAGuwC,IAAIhB,EAAEiB,GAAGyF,iBAAgB,IAAW,OAAOzG,GAAGD,EAAEiB,GAAGh6C,WAAWg5C,EAAED,EAAEiB,GAAG,CAAC,OAAOhB,IAAIA,EAAExvC,UAAS,EAAG,CAAC,CACxY,SAASk2C,GAAG3G,EAAEC,GAAG,GAAG,MAAMA,EAAE2G,wBAAwB,MAAMpoD,MAAM0lC,EAAE,KAAK,OAAOzU,EAAE,CAAC,EAAEwwB,EAAE,CAAC5lD,WAAM,EAAOwrD,kBAAa,EAAOvpD,SAAS,GAAG0jD,EAAE8F,cAAcG,cAAc,CAAC,SAASY,GAAG7G,EAAEC,GAAG,IAAIzmC,EAAEymC,EAAE5lD,MAAM,GAAG,MAAMmf,EAAE,CAA+B,GAA9BA,EAAEymC,EAAE3jD,SAAS2jD,EAAEA,EAAE4F,aAAgB,MAAMrsC,EAAE,CAAC,GAAG,MAAMymC,EAAE,MAAMzhD,MAAM0lC,EAAE,KAAK,GAAGsiB,GAAGhtC,GAAG,CAAC,GAAG,EAAEA,EAAEte,OAAO,MAAMsD,MAAM0lC,EAAE,KAAK1qB,EAAEA,EAAE,EAAE,CAACymC,EAAEzmC,CAAC,CAAC,MAAMymC,IAAIA,EAAE,IAAIzmC,EAAEymC,CAAC,CAACD,EAAE8F,cAAc,CAACG,aAAanB,EAAGtrC,GAAG,CACnY,SAASstC,GAAG9G,EAAEC,GAAG,IAAIzmC,EAAEsrC,EAAG7E,EAAE5lD,OAAO2mD,EAAE8D,EAAG7E,EAAE4F,cAAc,MAAMrsC,KAAIA,EAAE,GAAGA,KAAMwmC,EAAE3lD,QAAQ2lD,EAAE3lD,MAAMmf,GAAG,MAAMymC,EAAE4F,cAAc7F,EAAE6F,eAAersC,IAAIwmC,EAAE6F,aAAarsC,IAAI,MAAMwnC,IAAIhB,EAAE6F,aAAa,GAAG7E,EAAE,CAAC,SAAS+F,GAAG/G,GAAG,IAAIC,EAAED,EAAErlC,YAAYslC,IAAID,EAAE8F,cAAcG,cAAc,KAAKhG,GAAG,OAAOA,IAAID,EAAE3lD,MAAM4lD,EAAE,CAAC,SAAS+G,GAAGhH,GAAG,OAAOA,GAAG,IAAK,MAAM,MAAM,6BAA6B,IAAK,OAAO,MAAM,qCAAqC,QAAQ,MAAM,+BAA+B,CAC7c,SAASiH,GAAGjH,EAAEC,GAAG,OAAO,MAAMD,GAAG,iCAAiCA,EAAEgH,GAAG/G,GAAG,+BAA+BD,GAAG,kBAAkBC,EAAE,+BAA+BD,CAAC,CAChK,IAAIkH,GAAelH,GAAZmH,IAAYnH,GAAsJ,SAASA,EAAEC,GAAG,GAAG,+BAA+BD,EAAErkB,cAAc,cAAcqkB,EAAEA,EAAEtlC,UAAUulC,MAAM,CAA2F,KAA1FiH,GAAGA,IAAI1sC,SAASC,cAAc,QAAUC,UAAU,QAAQulC,EAAEmH,UAAUnuD,WAAW,SAAagnD,EAAEiH,GAAGG,WAAWrH,EAAEqH,YAAYrH,EAAEsH,YAAYtH,EAAEqH,YAAY,KAAKpH,EAAEoH,YAAYrH,EAAExX,YAAYyX,EAAEoH,WAAW,CAAC,EAAvb,qBAAqBE,OAAOA,MAAMC,wBAAwB,SAASvH,EAAEzmC,EAAEwnC,EAAEC,GAAGsG,MAAMC,yBAAwB,WAAW,OAAOxH,GAAEC,EAAEzmC,EAAM,GAAE,EAAEwmC,IACtK,SAASyH,GAAGzH,EAAEC,GAAG,GAAGA,EAAE,CAAC,IAAIzmC,EAAEwmC,EAAEqH,WAAW,GAAG7tC,GAAGA,IAAIwmC,EAAE0H,WAAW,IAAIluC,EAAEmuC,SAAwB,YAAdnuC,EAAEouC,UAAU3H,EAAS,CAACD,EAAErlC,YAAYslC,CAAC,CACtH,IAAI4H,GAAG,CAACC,yBAAwB,EAAGC,aAAY,EAAGC,mBAAkB,EAAGC,kBAAiB,EAAGC,kBAAiB,EAAGC,SAAQ,EAAGC,cAAa,EAAGC,iBAAgB,EAAGC,aAAY,EAAGC,SAAQ,EAAGC,MAAK,EAAGC,UAAS,EAAGC,cAAa,EAAGC,YAAW,EAAGC,cAAa,EAAGC,WAAU,EAAGC,UAAS,EAAGC,SAAQ,EAAGC,YAAW,EAAGC,aAAY,EAAGC,cAAa,EAAGC,YAAW,EAAGC,eAAc,EAAGC,gBAAe,EAAGC,iBAAgB,EAAGC,YAAW,EAAGC,WAAU,EAAGC,YAAW,EAAGC,SAAQ,EAAGC,OAAM,EAAGC,SAAQ,EAAGC,SAAQ,EAAGC,QAAO,EAAGC,QAAO,EAClfC,MAAK,EAAGC,aAAY,EAAGC,cAAa,EAAGC,aAAY,EAAGC,iBAAgB,EAAGC,kBAAiB,EAAGC,kBAAiB,EAAGC,eAAc,EAAGC,aAAY,GAAIC,GAAG,CAAC,SAAS,KAAK,MAAM,KAA6H,SAASC,GAAG1K,EAAEC,EAAEzmC,GAAG,OAAO,MAAMymC,GAAG,mBAAmBA,GAAG,KAAKA,EAAE,GAAGzmC,GAAG,kBAAkBymC,GAAG,IAAIA,GAAG4H,GAAG9uD,eAAeinD,IAAI6H,GAAG7H,IAAI,GAAGC,GAAGxgD,OAAOwgD,EAAE,IAAI,CACzb,SAAS0K,GAAG3K,EAAEC,GAAa,IAAI,IAAIzmC,KAAlBwmC,EAAEA,EAAE7hD,MAAmB8hD,EAAE,GAAGA,EAAElnD,eAAeygB,GAAG,CAAC,IAAIwnC,EAAE,IAAIxnC,EAAEla,QAAQ,MAAM2hD,EAAEyJ,GAAGlxC,EAAEymC,EAAEzmC,GAAGwnC,GAAG,UAAUxnC,IAAIA,EAAE,YAAYwnC,EAAEhB,EAAEjmD,YAAYyf,EAAEynC,GAAGjB,EAAExmC,GAAGynC,CAAC,CAAC,CADYpoD,OAAOslC,KAAK0pB,IAAIxpB,SAAQ,SAAS2hB,GAAGyK,GAAGpsB,SAAQ,SAAS4hB,GAAGA,EAAEA,EAAED,EAAE7/C,OAAO,GAAGK,cAAcw/C,EAAE7D,UAAU,GAAG0L,GAAG5H,GAAG4H,GAAG7H,EAAE,GAAE,IAChI,IAAI4K,GAAGn7B,EAAE,CAACo7B,UAAS,GAAI,CAACC,MAAK,EAAGC,MAAK,EAAGC,IAAG,EAAGxzB,KAAI,EAAGyzB,OAAM,EAAGC,IAAG,EAAGC,KAAI,EAAG/rD,OAAM,EAAGgsD,QAAO,EAAG93C,MAAK,EAAG+3C,MAAK,EAAGC,OAAM,EAAGzyC,QAAO,EAAG0yC,OAAM,EAAGC,KAAI,IAClT,SAASC,GAAGzL,EAAEC,GAAG,GAAGA,EAAE,CAAC,GAAG2K,GAAG5K,KAAK,MAAMC,EAAE3jD,UAAU,MAAM2jD,EAAE2G,yBAAyB,MAAMpoD,MAAM0lC,EAAE,IAAI8b,IAAI,GAAG,MAAMC,EAAE2G,wBAAwB,CAAC,GAAG,MAAM3G,EAAE3jD,SAAS,MAAMkC,MAAM0lC,EAAE,KAAK,GAAG,kBAAkB+b,EAAE2G,2BAA2B,WAAW3G,EAAE2G,yBAAyB,MAAMpoD,MAAM0lC,EAAE,IAAK,CAAC,GAAG,MAAM+b,EAAE9hD,OAAO,kBAAkB8hD,EAAE9hD,MAAM,MAAMK,MAAM0lC,EAAE,IAAK,CAAC,CAClW,SAASwnB,GAAG1L,EAAEC,GAAG,IAAI,IAAID,EAAE1gD,QAAQ,KAAK,MAAM,kBAAkB2gD,EAAEz3C,GAAG,OAAOw3C,GAAG,IAAK,iBAAiB,IAAK,gBAAgB,IAAK,YAAY,IAAK,gBAAgB,IAAK,gBAAgB,IAAK,mBAAmB,IAAK,iBAAiB,IAAK,gBAAgB,OAAM,EAAG,QAAQ,OAAM,EAAG,CAAC,IAAI2L,GAAG,KAAK,SAASC,GAAG5L,GAA6F,OAA1FA,EAAEA,EAAEhmD,QAAQgmD,EAAE6L,YAAYnL,QAASoL,0BAA0B9L,EAAEA,EAAE8L,yBAAgC,IAAI9L,EAAE2H,SAAS3H,EAAEzD,WAAWyD,CAAC,CAAC,IAAI+L,GAAG,KAAKC,GAAG,KAAKC,GAAG,KACpc,SAASC,GAAGlM,GAAG,GAAGA,EAAEmM,GAAGnM,GAAG,CAAC,GAAG,oBAAoB+L,GAAG,MAAMvtD,MAAM0lC,EAAE,MAAM,IAAI+b,EAAED,EAAEoM,UAAUnM,IAAIA,EAAEoM,GAAGpM,GAAG8L,GAAG/L,EAAEoM,UAAUpM,EAAE7jD,KAAK8jD,GAAG,CAAC,CAAC,SAASqM,GAAGtM,GAAGgM,GAAGC,GAAGA,GAAG/vD,KAAK8jD,GAAGiM,GAAG,CAACjM,GAAGgM,GAAGhM,CAAC,CAAC,SAASuM,KAAK,GAAGP,GAAG,CAAC,IAAIhM,EAAEgM,GAAG/L,EAAEgM,GAAoB,GAAjBA,GAAGD,GAAG,KAAKE,GAAGlM,GAAMC,EAAE,IAAID,EAAE,EAAEA,EAAEC,EAAE/kD,OAAO8kD,IAAIkM,GAAGjM,EAAED,GAAG,CAAC,CAAC,SAASwM,GAAGxM,EAAEC,GAAG,OAAOD,EAAEC,EAAE,CAAC,SAASwM,KAAK,CAAC,IAAIC,IAAG,EAAG,SAASC,GAAG3M,EAAEC,EAAEzmC,GAAG,GAAGkzC,GAAG,OAAO1M,EAAEC,EAAEzmC,GAAGkzC,IAAG,EAAG,IAAI,OAAOF,GAAGxM,EAAEC,EAAEzmC,EAAE,CAAC,QAAWkzC,IAAG,GAAG,OAAOV,IAAI,OAAOC,MAAGQ,KAAKF,KAAI,CAAC,CAChb,SAASK,GAAG5M,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEoM,UAAU,GAAG,OAAO5yC,EAAE,OAAO,KAAK,IAAIwnC,EAAEqL,GAAG7yC,GAAG,GAAG,OAAOwnC,EAAE,OAAO,KAAKxnC,EAAEwnC,EAAEf,GAAGD,EAAE,OAAOC,GAAG,IAAK,UAAU,IAAK,iBAAiB,IAAK,gBAAgB,IAAK,uBAAuB,IAAK,cAAc,IAAK,qBAAqB,IAAK,cAAc,IAAK,qBAAqB,IAAK,YAAY,IAAK,mBAAmB,IAAK,gBAAgBe,GAAGA,EAAE/5C,YAAqB+5C,IAAI,YAAbhB,EAAEA,EAAE7jD,OAAuB,UAAU6jD,GAAG,WAAWA,GAAG,aAAaA,IAAIA,GAAGgB,EAAE,MAAMhB,EAAE,QAAQA,GAAE,EAAG,GAAGA,EAAE,OAAO,KAAK,GAAGxmC,GAAG,oBACleA,EAAE,MAAMhb,MAAM0lC,EAAE,IAAI+b,SAASzmC,IAAI,OAAOA,CAAC,CAAC,IAAIqzC,IAAG,EAAG,GAAGpM,EAAG,IAAI,IAAIqM,GAAG,CAAC,EAAEj0D,OAAOK,eAAe4zD,GAAG,UAAU,CAAC5H,IAAI,WAAW2H,IAAG,CAAE,IAAInM,OAAOqM,iBAAiB,OAAOD,GAAGA,IAAIpM,OAAOsM,oBAAoB,OAAOF,GAAGA,GAAG,CAAC,MAAM9M,IAAG6M,IAAG,CAAE,CAAC,SAASI,GAAGjN,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,EAAE+C,EAAEC,GAAG,IAAIF,EAAE1qD,MAAMT,UAAUgD,MAAMtC,KAAKwB,UAAU,GAAG,IAAIilD,EAAE3pC,MAAMkD,EAAEyqC,EAAE,CAAC,MAAM3qC,GAAGpD,KAAKnK,QAAQuN,EAAE,CAAC,CAAC,IAAI4zC,IAAG,EAAGC,GAAG,KAAKC,IAAG,EAAGC,GAAG,KAAKC,GAAG,CAACvhD,QAAQ,SAASi0C,GAAGkN,IAAG,EAAGC,GAAGnN,CAAC,GAAG,SAASuN,GAAGvN,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,EAAE+C,EAAEC,GAAG+I,IAAG,EAAGC,GAAG,KAAKF,GAAG32C,MAAMg3C,GAAGtyD,UAAU,CACjW,SAASwyD,GAAGxN,GAAG,IAAIC,EAAED,EAAExmC,EAAEwmC,EAAE,GAAGA,EAAEyN,UAAU,KAAKxN,EAAEyN,QAAQzN,EAAEA,EAAEyN,WAAW,CAAC1N,EAAEC,EAAE,GAAO,KAAa,MAAjBA,EAAED,GAAS2N,SAAcn0C,EAAEymC,EAAEyN,QAAQ1N,EAAEC,EAAEyN,aAAa1N,EAAE,CAAC,OAAO,IAAIC,EAAEqE,IAAI9qC,EAAE,IAAI,CAAC,SAASo0C,GAAG5N,GAAG,GAAG,KAAKA,EAAEsE,IAAI,CAAC,IAAIrE,EAAED,EAAE6N,cAAsE,GAAxD,OAAO5N,IAAkB,QAAdD,EAAEA,EAAEyN,aAAqBxN,EAAED,EAAE6N,gBAAmB,OAAO5N,EAAE,OAAOA,EAAE6N,UAAU,CAAC,OAAO,IAAI,CAAC,SAASC,GAAG/N,GAAG,GAAGwN,GAAGxN,KAAKA,EAAE,MAAMxhD,MAAM0lC,EAAE,KAAM,CAE1S,SAAS8pB,GAAGhO,GAAW,OAAO,QAAfA,EADtN,SAAYA,GAAG,IAAIC,EAAED,EAAEyN,UAAU,IAAIxN,EAAE,CAAS,GAAG,QAAXA,EAAEuN,GAAGxN,IAAe,MAAMxhD,MAAM0lC,EAAE,MAAM,OAAO+b,IAAID,EAAE,KAAKA,CAAC,CAAC,IAAI,IAAIxmC,EAAEwmC,EAAEgB,EAAEf,IAAI,CAAC,IAAIgB,EAAEznC,EAAEk0C,OAAO,GAAG,OAAOzM,EAAE,MAAM,IAAIC,EAAED,EAAEwM,UAAU,GAAG,OAAOvM,EAAE,CAAY,GAAG,QAAdF,EAAEC,EAAEyM,QAAmB,CAACl0C,EAAEwnC,EAAE,QAAQ,CAAC,KAAK,CAAC,GAAGC,EAAExQ,QAAQyQ,EAAEzQ,MAAM,CAAC,IAAIyQ,EAAED,EAAExQ,MAAMyQ,GAAG,CAAC,GAAGA,IAAI1nC,EAAE,OAAOu0C,GAAG9M,GAAGjB,EAAE,GAAGkB,IAAIF,EAAE,OAAO+M,GAAG9M,GAAGhB,EAAEiB,EAAEA,EAAE+M,OAAO,CAAC,MAAMzvD,MAAM0lC,EAAE,KAAM,CAAC,GAAG1qB,EAAEk0C,SAAS1M,EAAE0M,OAAOl0C,EAAEynC,EAAED,EAAEE,MAAM,CAAC,IAAI,IAAIC,GAAE,EAAG+C,EAAEjD,EAAExQ,MAAMyT,GAAG,CAAC,GAAGA,IAAI1qC,EAAE,CAAC2nC,GAAE,EAAG3nC,EAAEynC,EAAED,EAAEE,EAAE,KAAK,CAAC,GAAGgD,IAAIlD,EAAE,CAACG,GAAE,EAAGH,EAAEC,EAAEznC,EAAE0nC,EAAE,KAAK,CAACgD,EAAEA,EAAE+J,OAAO,CAAC,IAAI9M,EAAE,CAAC,IAAI+C,EAAEhD,EAAEzQ,MAAMyT,GAAG,CAAC,GAAGA,IAC5f1qC,EAAE,CAAC2nC,GAAE,EAAG3nC,EAAE0nC,EAAEF,EAAEC,EAAE,KAAK,CAAC,GAAGiD,IAAIlD,EAAE,CAACG,GAAE,EAAGH,EAAEE,EAAE1nC,EAAEynC,EAAE,KAAK,CAACiD,EAAEA,EAAE+J,OAAO,CAAC,IAAI9M,EAAE,MAAM3iD,MAAM0lC,EAAE,KAAM,CAAC,CAAC,GAAG1qB,EAAEi0C,YAAYzM,EAAE,MAAMxiD,MAAM0lC,EAAE,KAAM,CAAC,GAAG,IAAI1qB,EAAE8qC,IAAI,MAAM9lD,MAAM0lC,EAAE,MAAM,OAAO1qB,EAAE4yC,UAAU/yB,UAAU7f,EAAEwmC,EAAEC,CAAC,CAAkBiO,CAAGlO,IAAmBmO,GAAGnO,GAAG,IAAI,CAAC,SAASmO,GAAGnO,GAAG,GAAG,IAAIA,EAAEsE,KAAK,IAAItE,EAAEsE,IAAI,OAAOtE,EAAE,IAAIA,EAAEA,EAAEvP,MAAM,OAAOuP,GAAG,CAAC,IAAIC,EAAEkO,GAAGnO,GAAG,GAAG,OAAOC,EAAE,OAAOA,EAAED,EAAEA,EAAEiO,OAAO,CAAC,OAAO,IAAI,CAC1X,IAAIG,GAAGrO,EAAGsO,0BAA0BC,GAAGvO,EAAGwO,wBAAwBC,GAAGzO,EAAG0O,qBAAqBC,GAAG3O,EAAG4O,sBAAsBnkC,GAAEu1B,EAAG6O,aAAaC,GAAG9O,EAAG+O,iCAAiCC,GAAGhP,EAAGiP,2BAA2BC,GAAGlP,EAAGmP,8BAA8BC,GAAGpP,EAAGqP,wBAAwBC,GAAGtP,EAAGuP,qBAAqBC,GAAGxP,EAAGyP,sBAAsBC,GAAG,KAAKC,GAAG,KACvV,IAAIC,GAAGl5C,KAAKm5C,MAAMn5C,KAAKm5C,MAAiC,SAAY5P,GAAU,OAAPA,KAAK,EAAS,IAAIA,EAAE,GAAG,IAAI6P,GAAG7P,GAAG8P,GAAG,GAAG,CAAC,EAA/ED,GAAGp5C,KAAKs5C,IAAID,GAAGr5C,KAAKu5C,IAA4D,IAAIC,GAAG,GAAGC,GAAG,QAC7H,SAASC,GAAGnQ,GAAG,OAAOA,GAAGA,GAAG,KAAK,EAAE,OAAO,EAAE,KAAK,EAAE,OAAO,EAAE,KAAK,EAAE,OAAO,EAAE,KAAK,EAAE,OAAO,EAAE,KAAK,GAAG,OAAO,GAAG,KAAK,GAAG,OAAO,GAAG,KAAK,GAAG,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,MAAM,KAAK,MAAM,KAAK,MAAM,KAAK,OAAO,KAAK,OAAO,KAAK,OAAO,KAAK,QAAQ,KAAK,QAAQ,OAAS,QAAFA,EAAU,KAAK,QAAQ,KAAK,QAAQ,KAAK,SAAS,KAAK,SAAS,KAAK,SAAS,OAAS,UAAFA,EAAY,KAAK,UAAU,OAAO,UAAU,KAAK,UAAU,OAAO,UAAU,KAAK,UAAU,OAAO,UAAU,KAAK,WAAW,OAAO,WACzgB,QAAQ,OAAOA,EAAE,CAAC,SAASoQ,GAAGpQ,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEqQ,aAAa,GAAG,IAAI72C,EAAE,OAAO,EAAE,IAAIwnC,EAAE,EAAEC,EAAEjB,EAAEsQ,eAAepP,EAAElB,EAAEuQ,YAAYpP,EAAI,UAAF3nC,EAAY,GAAG,IAAI2nC,EAAE,CAAC,IAAI+C,EAAE/C,GAAGF,EAAE,IAAIiD,EAAElD,EAAEmP,GAAGjM,GAAS,KAALhD,GAAGC,KAAUH,EAAEmP,GAAGjP,GAAI,MAAa,KAAPC,EAAE3nC,GAAGynC,GAAQD,EAAEmP,GAAGhP,GAAG,IAAID,IAAIF,EAAEmP,GAAGjP,IAAI,GAAG,IAAIF,EAAE,OAAO,EAAE,GAAG,IAAIf,GAAGA,IAAIe,GAAG,KAAKf,EAAEgB,MAAKA,EAAED,GAAGA,KAAEE,EAAEjB,GAAGA,IAAQ,KAAKgB,GAAG,KAAO,QAAFC,IAAY,OAAOjB,EAA0C,GAAxC,KAAO,EAAFe,KAAOA,GAAK,GAAFxnC,GAA4B,KAAtBymC,EAAED,EAAEwQ,gBAAwB,IAAIxQ,EAAEA,EAAEyQ,cAAcxQ,GAAGe,EAAE,EAAEf,GAAcgB,EAAE,IAAbznC,EAAE,GAAGm2C,GAAG1P,IAAUe,GAAGhB,EAAExmC,GAAGymC,IAAIgB,EAAE,OAAOD,CAAC,CACvc,SAAS0P,GAAG1Q,EAAEC,GAAG,OAAOD,GAAG,KAAK,EAAE,KAAK,EAAE,KAAK,EAAE,OAAOC,EAAE,IAAI,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG,KAAK,GAAG,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,MAAM,KAAK,MAAM,KAAK,MAAM,KAAK,OAAO,KAAK,OAAO,KAAK,OAAO,KAAK,QAAQ,KAAK,QAAQ,OAAOA,EAAE,IAAuJ,QAAQ,OAAO,EAAE,CACrN,SAAS0Q,GAAG3Q,GAAgC,OAAO,KAApCA,GAAkB,WAAhBA,EAAEqQ,cAAsCrQ,EAAI,WAAFA,EAAa,WAAW,CAAC,CAAC,SAAS4Q,KAAK,IAAI5Q,EAAEiQ,GAAoC,OAA1B,KAAQ,SAAfA,KAAK,MAAqBA,GAAG,IAAWjQ,CAAC,CAAC,SAAS6Q,GAAG7Q,GAAG,IAAI,IAAIC,EAAE,GAAGzmC,EAAE,EAAE,GAAGA,EAAEA,IAAIymC,EAAE/jD,KAAK8jD,GAAG,OAAOC,CAAC,CAC3a,SAAS6Q,GAAG9Q,EAAEC,EAAEzmC,GAAGwmC,EAAEqQ,cAAcpQ,EAAE,YAAYA,IAAID,EAAEsQ,eAAe,EAAEtQ,EAAEuQ,YAAY,IAAGvQ,EAAEA,EAAE+Q,YAAW9Q,EAAE,GAAG0P,GAAG1P,IAAQzmC,CAAC,CACzH,SAASw3C,GAAGhR,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEwQ,gBAAgBvQ,EAAE,IAAID,EAAEA,EAAEyQ,cAAcj3C,GAAG,CAAC,IAAIwnC,EAAE,GAAG2O,GAAGn2C,GAAGynC,EAAE,GAAGD,EAAEC,EAAEhB,EAAED,EAAEgB,GAAGf,IAAID,EAAEgB,IAAIf,GAAGzmC,IAAIynC,CAAC,CAAC,CAAC,IAAIgQ,GAAE,EAAE,SAASC,GAAGlR,GAAS,OAAO,GAAbA,IAAIA,GAAa,EAAEA,EAAE,KAAO,UAAFA,GAAa,GAAG,UAAU,EAAE,CAAC,CAAC,IAAImR,GAAGC,GAAGC,GAAGC,GAAGC,GAAGC,IAAG,EAAGC,GAAG,GAAGC,GAAG,KAAKC,GAAG,KAAKC,GAAG,KAAKC,GAAG,IAAIC,IAAIC,GAAG,IAAID,IAAIE,GAAG,GAAGC,GAAG,6PAA6P16C,MAAM,KAChiB,SAAS26C,GAAGlS,EAAEC,GAAG,OAAOD,GAAG,IAAK,UAAU,IAAK,WAAW0R,GAAG,KAAK,MAAM,IAAK,YAAY,IAAK,YAAYC,GAAG,KAAK,MAAM,IAAK,YAAY,IAAK,WAAWC,GAAG,KAAK,MAAM,IAAK,cAAc,IAAK,aAAaC,GAAGM,OAAOlS,EAAEmS,WAAW,MAAM,IAAK,oBAAoB,IAAK,qBAAqBL,GAAGI,OAAOlS,EAAEmS,WAAW,CACnT,SAASC,GAAGrS,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,GAAG,OAAG,OAAOlB,GAAGA,EAAEsS,cAAcpR,GAASlB,EAAE,CAACuS,UAAUtS,EAAEuS,aAAah5C,EAAEi5C,iBAAiBzR,EAAEsR,YAAYpR,EAAEwR,iBAAiB,CAACzR,IAAI,OAAOhB,IAAY,QAARA,EAAEkM,GAAGlM,KAAamR,GAAGnR,IAAID,IAAEA,EAAEyS,kBAAkBzR,EAAEf,EAAED,EAAE0S,iBAAiB,OAAOzR,IAAI,IAAIhB,EAAE3gD,QAAQ2hD,IAAIhB,EAAE/jD,KAAK+kD,GAAUjB,EAAC,CAEpR,SAAS2S,GAAG3S,GAAG,IAAIC,EAAE2S,GAAG5S,EAAEhmD,QAAQ,GAAG,OAAOimD,EAAE,CAAC,IAAIzmC,EAAEg0C,GAAGvN,GAAG,GAAG,OAAOzmC,EAAE,GAAW,MAARymC,EAAEzmC,EAAE8qC,MAAY,GAAW,QAARrE,EAAE2N,GAAGp0C,IAA4D,OAA/CwmC,EAAEuS,UAAUtS,OAAEsR,GAAGvR,EAAE6S,UAAS,WAAWxB,GAAG73C,EAAE,SAAgB,GAAG,IAAIymC,GAAGzmC,EAAE4yC,UAAU/yB,QAAQw0B,cAAciF,aAAmE,YAArD9S,EAAEuS,UAAU,IAAI/4C,EAAE8qC,IAAI9qC,EAAE4yC,UAAU2G,cAAc,KAAY,CAAC/S,EAAEuS,UAAU,IAAI,CAClT,SAASS,GAAGhT,GAAG,GAAG,OAAOA,EAAEuS,UAAU,OAAM,EAAG,IAAI,IAAItS,EAAED,EAAE0S,iBAAiB,EAAEzS,EAAE/kD,QAAQ,CAAC,IAAIse,EAAEy5C,GAAGjT,EAAEwS,aAAaxS,EAAEyS,iBAAiBxS,EAAE,GAAGD,EAAEsS,aAAa,GAAG,OAAO94C,EAAiG,OAAe,QAARymC,EAAEkM,GAAG3yC,KAAa43C,GAAGnR,GAAGD,EAAEuS,UAAU/4C,GAAE,EAA3H,IAAIwnC,EAAE,IAAtBxnC,EAAEwmC,EAAEsS,aAAwBx4D,YAAY0f,EAAErd,KAAKqd,GAAGmyC,GAAG3K,EAAExnC,EAAExf,OAAOk5D,cAAclS,GAAG2K,GAAG,KAA0D1L,EAAEzG,OAAO,CAAC,OAAM,CAAE,CAAC,SAAS2Z,GAAGnT,EAAEC,EAAEzmC,GAAGw5C,GAAGhT,IAAIxmC,EAAE24C,OAAOlS,EAAE,CAAC,SAASmT,KAAK5B,IAAG,EAAG,OAAOE,IAAIsB,GAAGtB,MAAMA,GAAG,MAAM,OAAOC,IAAIqB,GAAGrB,MAAMA,GAAG,MAAM,OAAOC,IAAIoB,GAAGpB,MAAMA,GAAG,MAAMC,GAAGxzB,QAAQ80B,IAAIpB,GAAG1zB,QAAQ80B,GAAG,CACnf,SAASE,GAAGrT,EAAEC,GAAGD,EAAEuS,YAAYtS,IAAID,EAAEuS,UAAU,KAAKf,KAAKA,IAAG,EAAGzR,EAAGsO,0BAA0BtO,EAAGqP,wBAAwBgE,KAAK,CAC5H,SAASE,GAAGtT,GAAG,SAASC,EAAEA,GAAG,OAAOoT,GAAGpT,EAAED,EAAE,CAAC,GAAG,EAAEyR,GAAGv2D,OAAO,CAACm4D,GAAG5B,GAAG,GAAGzR,GAAG,IAAI,IAAIxmC,EAAE,EAAEA,EAAEi4C,GAAGv2D,OAAOse,IAAI,CAAC,IAAIwnC,EAAEyQ,GAAGj4C,GAAGwnC,EAAEuR,YAAYvS,IAAIgB,EAAEuR,UAAU,KAAK,CAAC,CAAyF,IAAxF,OAAOb,IAAI2B,GAAG3B,GAAG1R,GAAG,OAAO2R,IAAI0B,GAAG1B,GAAG3R,GAAG,OAAO4R,IAAIyB,GAAGzB,GAAG5R,GAAG6R,GAAGxzB,QAAQ4hB,GAAG8R,GAAG1zB,QAAQ4hB,GAAOzmC,EAAE,EAAEA,EAAEw4C,GAAG92D,OAAOse,KAAIwnC,EAAEgR,GAAGx4C,IAAK+4C,YAAYvS,IAAIgB,EAAEuR,UAAU,MAAM,KAAK,EAAEP,GAAG92D,QAAiB,QAARse,EAAEw4C,GAAG,IAAYO,WAAYI,GAAGn5C,GAAG,OAAOA,EAAE+4C,WAAWP,GAAGxY,OAAO,CAAC,IAAI+Z,GAAGlR,EAAGmR,wBAAwBC,IAAG,EAC5a,SAASC,GAAG1T,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEgQ,GAAE/P,EAAEqS,GAAGI,WAAWJ,GAAGI,WAAW,KAAK,IAAI1C,GAAE,EAAE2C,GAAG5T,EAAEC,EAAEzmC,EAAEwnC,EAAE,CAAC,QAAQiQ,GAAEhQ,EAAEsS,GAAGI,WAAWzS,CAAC,CAAC,CAAC,SAAS2S,GAAG7T,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEgQ,GAAE/P,EAAEqS,GAAGI,WAAWJ,GAAGI,WAAW,KAAK,IAAI1C,GAAE,EAAE2C,GAAG5T,EAAEC,EAAEzmC,EAAEwnC,EAAE,CAAC,QAAQiQ,GAAEhQ,EAAEsS,GAAGI,WAAWzS,CAAC,CAAC,CACjO,SAAS0S,GAAG5T,EAAEC,EAAEzmC,EAAEwnC,GAAG,GAAGyS,GAAG,CAAC,IAAIxS,EAAEgS,GAAGjT,EAAEC,EAAEzmC,EAAEwnC,GAAG,GAAG,OAAOC,EAAE6S,GAAG9T,EAAEC,EAAEe,EAAEhlD,GAAGwd,GAAG04C,GAAGlS,EAAEgB,QAAQ,GANtF,SAAYhB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,OAAOhB,GAAG,IAAK,UAAU,OAAOyR,GAAGW,GAAGX,GAAG1R,EAAEC,EAAEzmC,EAAEwnC,EAAEC,IAAG,EAAG,IAAK,YAAY,OAAO0Q,GAAGU,GAAGV,GAAG3R,EAAEC,EAAEzmC,EAAEwnC,EAAEC,IAAG,EAAG,IAAK,YAAY,OAAO2Q,GAAGS,GAAGT,GAAG5R,EAAEC,EAAEzmC,EAAEwnC,EAAEC,IAAG,EAAG,IAAK,cAAc,IAAIC,EAAED,EAAEmR,UAAkD,OAAxCP,GAAG/N,IAAI5C,EAAEmR,GAAGR,GAAG3M,IAAIhE,IAAI,KAAKlB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,KAAU,EAAG,IAAK,oBAAoB,OAAOC,EAAED,EAAEmR,UAAUL,GAAGjO,IAAI5C,EAAEmR,GAAGN,GAAG7M,IAAIhE,IAAI,KAAKlB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,KAAI,EAAG,OAAM,CAAE,CAM1Q8S,CAAG9S,EAAEjB,EAAEC,EAAEzmC,EAAEwnC,GAAGA,EAAEgT,uBAAuB,GAAG9B,GAAGlS,EAAEgB,GAAK,EAAFf,IAAM,EAAEgS,GAAG3yD,QAAQ0gD,GAAG,CAAC,KAAK,OAAOiB,GAAG,CAAC,IAAIC,EAAEiL,GAAGlL,GAA0D,GAAvD,OAAOC,GAAGiQ,GAAGjQ,GAAiB,QAAdA,EAAE+R,GAAGjT,EAAEC,EAAEzmC,EAAEwnC,KAAa8S,GAAG9T,EAAEC,EAAEe,EAAEhlD,GAAGwd,GAAM0nC,IAAID,EAAE,MAAMA,EAAEC,CAAC,CAAC,OAAOD,GAAGD,EAAEgT,iBAAiB,MAAMF,GAAG9T,EAAEC,EAAEe,EAAE,KAAKxnC,EAAE,CAAC,CAAC,IAAIxd,GAAG,KACpU,SAASi3D,GAAGjT,EAAEC,EAAEzmC,EAAEwnC,GAA2B,GAAxBhlD,GAAG,KAAwB,QAAXgkD,EAAE4S,GAAV5S,EAAE4L,GAAG5K,KAAuB,GAAW,QAARf,EAAEuN,GAAGxN,IAAYA,EAAE,UAAU,GAAW,MAARxmC,EAAEymC,EAAEqE,KAAW,CAAS,GAAG,QAAXtE,EAAE4N,GAAG3N,IAAe,OAAOD,EAAEA,EAAE,IAAI,MAAM,GAAG,IAAIxmC,EAAE,CAAC,GAAGymC,EAAEmM,UAAU/yB,QAAQw0B,cAAciF,aAAa,OAAO,IAAI7S,EAAEqE,IAAIrE,EAAEmM,UAAU2G,cAAc,KAAK/S,EAAE,IAAI,MAAMC,IAAID,IAAIA,EAAE,MAAW,OAALhkD,GAAGgkD,EAAS,IAAI,CAC7S,SAASiU,GAAGjU,GAAG,OAAOA,GAAG,IAAK,SAAS,IAAK,QAAQ,IAAK,QAAQ,IAAK,cAAc,IAAK,OAAO,IAAK,MAAM,IAAK,WAAW,IAAK,WAAW,IAAK,UAAU,IAAK,YAAY,IAAK,OAAO,IAAK,UAAU,IAAK,WAAW,IAAK,QAAQ,IAAK,UAAU,IAAK,UAAU,IAAK,WAAW,IAAK,QAAQ,IAAK,YAAY,IAAK,UAAU,IAAK,QAAQ,IAAK,QAAQ,IAAK,OAAO,IAAK,gBAAgB,IAAK,cAAc,IAAK,YAAY,IAAK,aAAa,IAAK,QAAQ,IAAK,SAAS,IAAK,SAAS,IAAK,SAAS,IAAK,cAAc,IAAK,WAAW,IAAK,aAAa,IAAK,eAAe,IAAK,SAAS,IAAK,kBAAkB,IAAK,YAAY,IAAK,mBAAmB,IAAK,iBAAiB,IAAK,oBAAoB,IAAK,aAAa,IAAK,YAAY,IAAK,cAAc,IAAK,OAAO,IAAK,mBAAmB,IAAK,QAAQ,IAAK,aAAa,IAAK,WAAW,IAAK,SAAS,IAAK,cAAc,OAAO,EAAE,IAAK,OAAO,IAAK,YAAY,IAAK,WAAW,IAAK,YAAY,IAAK,WAAW,IAAK,YAAY,IAAK,WAAW,IAAK,YAAY,IAAK,cAAc,IAAK,aAAa,IAAK,cAAc,IAAK,SAAS,IAAK,SAAS,IAAK,YAAY,IAAK,QAAQ,IAAK,aAAa,IAAK,aAAa,IAAK,eAAe,IAAK,eAAe,OAAO,EACpqC,IAAK,UAAU,OAAO6O,MAAM,KAAKE,GAAG,OAAO,EAAE,KAAKE,GAAG,OAAO,EAAE,KAAKE,GAAG,KAAKE,GAAG,OAAO,GAAG,KAAKE,GAAG,OAAO,UAAU,QAAQ,OAAO,GAAG,QAAQ,OAAO,GAAG,CAAC,IAAI2E,GAAG,KAAKC,GAAG,KAAKC,GAAG,KAAK,SAASC,KAAK,GAAGD,GAAG,OAAOA,GAAG,IAAIpU,EAAkBgB,EAAhBf,EAAEkU,GAAG36C,EAAEymC,EAAE/kD,OAAS+lD,EAAE,UAAUiT,GAAGA,GAAG75D,MAAM65D,GAAGv5C,YAAYumC,EAAED,EAAE/lD,OAAO,IAAI8kD,EAAE,EAAEA,EAAExmC,GAAGymC,EAAED,KAAKiB,EAAEjB,GAAGA,KAAK,IAAImB,EAAE3nC,EAAEwmC,EAAE,IAAIgB,EAAE,EAAEA,GAAGG,GAAGlB,EAAEzmC,EAAEwnC,KAAKC,EAAEC,EAAEF,GAAGA,KAAK,OAAOoT,GAAGnT,EAAEnlD,MAAMkkD,EAAE,EAAEgB,EAAE,EAAEA,OAAE,EAAO,CACxY,SAASsT,GAAGtU,GAAG,IAAIC,EAAED,EAAEuU,QAA+E,MAAvE,aAAavU,EAAgB,KAAbA,EAAEA,EAAEwU,WAAgB,KAAKvU,IAAID,EAAE,IAAKA,EAAEC,EAAE,KAAKD,IAAIA,EAAE,IAAW,IAAIA,GAAG,KAAKA,EAAEA,EAAE,CAAC,CAAC,SAASyU,KAAK,OAAM,CAAE,CAAC,SAASC,KAAK,OAAM,CAAE,CAC5K,SAASC,GAAG3U,GAAG,SAASC,EAAEA,EAAEe,EAAEC,EAAEC,EAAEC,GAA6G,IAAI,IAAI3nC,KAAlHtD,KAAK0+C,WAAW3U,EAAE/pC,KAAK2+C,YAAY5T,EAAE/qC,KAAK/Z,KAAK6kD,EAAE9qC,KAAKo8C,YAAYpR,EAAEhrC,KAAKlc,OAAOmnD,EAAEjrC,KAAK4+C,cAAc,KAAkB9U,EAAEA,EAAEjnD,eAAeygB,KAAKymC,EAAED,EAAExmC,GAAGtD,KAAKsD,GAAGymC,EAAEA,EAAEiB,GAAGA,EAAE1nC,IAAgI,OAA5HtD,KAAK6+C,oBAAoB,MAAM7T,EAAE8T,iBAAiB9T,EAAE8T,kBAAiB,IAAK9T,EAAE+T,aAAaR,GAAGC,GAAGx+C,KAAKg/C,qBAAqBR,GAAUx+C,IAAI,CAC9E,OAD+EuZ,EAAEwwB,EAAEnnD,UAAU,CAACq8D,eAAe,WAAWj/C,KAAK8+C,kBAAiB,EAAG,IAAIhV,EAAE9pC,KAAKo8C,YAAYtS,IAAIA,EAAEmV,eAAenV,EAAEmV,iBAAiB,mBAAmBnV,EAAEiV,cAC7ejV,EAAEiV,aAAY,GAAI/+C,KAAK6+C,mBAAmBN,GAAG,EAAET,gBAAgB,WAAW,IAAIhU,EAAE9pC,KAAKo8C,YAAYtS,IAAIA,EAAEgU,gBAAgBhU,EAAEgU,kBAAkB,mBAAmBhU,EAAEoV,eAAepV,EAAEoV,cAAa,GAAIl/C,KAAKg/C,qBAAqBT,GAAG,EAAEY,QAAQ,WAAW,EAAEC,aAAab,KAAYxU,CAAC,CACjR,IAAoLsV,GAAGC,GAAGC,GAAtLC,GAAG,CAACC,WAAW,EAAEC,QAAQ,EAAEC,WAAW,EAAEC,UAAU,SAAS9V,GAAG,OAAOA,EAAE8V,WAAWC,KAAKt5C,KAAK,EAAEu4C,iBAAiB,EAAEgB,UAAU,GAAGC,GAAGtB,GAAGe,IAAIQ,GAAGzmC,EAAE,CAAC,EAAEimC,GAAG,CAACS,KAAK,EAAEC,OAAO,IAAIC,GAAG1B,GAAGuB,IAAaI,GAAG7mC,EAAE,CAAC,EAAEymC,GAAG,CAACK,QAAQ,EAAEC,QAAQ,EAAEC,QAAQ,EAAEC,QAAQ,EAAEC,MAAM,EAAEC,MAAM,EAAEC,QAAQ,EAAEC,SAAS,EAAEC,OAAO,EAAEC,QAAQ,EAAEC,iBAAiBC,GAAGC,OAAO,EAAEC,QAAQ,EAAEC,cAAc,SAASrX,GAAG,YAAO,IAASA,EAAEqX,cAAcrX,EAAEsX,cAActX,EAAE6L,WAAW7L,EAAEuX,UAAUvX,EAAEsX,YAAYtX,EAAEqX,aAAa,EAAEG,UAAU,SAASxX,GAAG,MAAG,cAC3eA,EAASA,EAAEwX,WAAUxX,IAAIyV,KAAKA,IAAI,cAAczV,EAAE7jD,MAAMo5D,GAAGvV,EAAEuW,QAAQd,GAAGc,QAAQf,GAAGxV,EAAEwW,QAAQf,GAAGe,SAAShB,GAAGD,GAAG,EAAEE,GAAGzV,GAAUuV,GAAE,EAAEkC,UAAU,SAASzX,GAAG,MAAM,cAAcA,EAAEA,EAAEyX,UAAUjC,EAAE,IAAIkC,GAAG/C,GAAG2B,IAAiCqB,GAAGhD,GAA7BllC,EAAE,CAAC,EAAE6mC,GAAG,CAACsB,aAAa,KAA4CC,GAAGlD,GAA9BllC,EAAE,CAAC,EAAEymC,GAAG,CAACmB,cAAc,KAA0ES,GAAGnD,GAA5DllC,EAAE,CAAC,EAAEimC,GAAG,CAACqC,cAAc,EAAEC,YAAY,EAAEC,cAAc,KAAcC,GAAGzoC,EAAE,CAAC,EAAEimC,GAAG,CAACyC,cAAc,SAASnY,GAAG,MAAM,kBAAkBA,EAAEA,EAAEmY,cAAczX,OAAOyX,aAAa,IAAIC,GAAGzD,GAAGuD,IAAyBG,GAAG1D,GAArBllC,EAAE,CAAC,EAAEimC,GAAG,CAAC71D,KAAK,KAAcy4D,GAAG,CAACC,IAAI,SACxfC,SAAS,IAAIC,KAAK,YAAYC,GAAG,UAAUC,MAAM,aAAaC,KAAK,YAAYC,IAAI,SAASC,IAAI,KAAKC,KAAK,cAAcC,KAAK,cAAcC,OAAO,aAAaC,gBAAgB,gBAAgBC,GAAG,CAAC,EAAE,YAAY,EAAE,MAAM,GAAG,QAAQ,GAAG,QAAQ,GAAG,QAAQ,GAAG,UAAU,GAAG,MAAM,GAAG,QAAQ,GAAG,WAAW,GAAG,SAAS,GAAG,IAAI,GAAG,SAAS,GAAG,WAAW,GAAG,MAAM,GAAG,OAAO,GAAG,YAAY,GAAG,UAAU,GAAG,aAAa,GAAG,YAAY,GAAG,SAAS,GAAG,SAAS,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,KACtf,IAAI,KAAK,IAAI,KAAK,IAAI,MAAM,IAAI,MAAM,IAAI,MAAM,IAAI,UAAU,IAAI,aAAa,IAAI,QAAQC,GAAG,CAACC,IAAI,SAASC,QAAQ,UAAUC,KAAK,UAAUC,MAAM,YAAY,SAASC,GAAGzZ,GAAG,IAAIC,EAAE/pC,KAAKo8C,YAAY,OAAOrS,EAAEgX,iBAAiBhX,EAAEgX,iBAAiBjX,MAAIA,EAAEoZ,GAAGpZ,OAAMC,EAAED,EAAK,CAAC,SAASkX,KAAK,OAAOuC,EAAE,CAChS,IAAIC,GAAGjqC,EAAE,CAAC,EAAEymC,GAAG,CAACv8D,IAAI,SAASqmD,GAAG,GAAGA,EAAErmD,IAAI,CAAC,IAAIsmD,EAAEqY,GAAGtY,EAAErmD,MAAMqmD,EAAErmD,IAAI,GAAG,iBAAiBsmD,EAAE,OAAOA,CAAC,CAAC,MAAM,aAAaD,EAAE7jD,KAAc,MAAR6jD,EAAEsU,GAAGtU,IAAU,QAAQvhD,OAAOwe,aAAa+iC,GAAI,YAAYA,EAAE7jD,MAAM,UAAU6jD,EAAE7jD,KAAKg9D,GAAGnZ,EAAEuU,UAAU,eAAe,EAAE,EAAE7hD,KAAK,EAAEimB,SAAS,EAAEk+B,QAAQ,EAAEC,SAAS,EAAEC,OAAO,EAAEC,QAAQ,EAAE2C,OAAO,EAAEC,OAAO,EAAE3C,iBAAiBC,GAAG1C,SAAS,SAASxU,GAAG,MAAM,aAAaA,EAAE7jD,KAAKm4D,GAAGtU,GAAG,CAAC,EAAEuU,QAAQ,SAASvU,GAAG,MAAM,YAAYA,EAAE7jD,MAAM,UAAU6jD,EAAE7jD,KAAK6jD,EAAEuU,QAAQ,CAAC,EAAEsF,MAAM,SAAS7Z,GAAG,MAAM,aAC7eA,EAAE7jD,KAAKm4D,GAAGtU,GAAG,YAAYA,EAAE7jD,MAAM,UAAU6jD,EAAE7jD,KAAK6jD,EAAEuU,QAAQ,CAAC,IAAIuF,GAAGnF,GAAG+E,IAAiIK,GAAGpF,GAA7HllC,EAAE,CAAC,EAAE6mC,GAAG,CAAClE,UAAU,EAAE3gD,MAAM,EAAE5J,OAAO,EAAEmyD,SAAS,EAAEC,mBAAmB,EAAEC,MAAM,EAAEC,MAAM,EAAEC,MAAM,EAAEC,YAAY,EAAEC,UAAU,KAAmIC,GAAG5F,GAArHllC,EAAE,CAAC,EAAEymC,GAAG,CAACsE,QAAQ,EAAEC,cAAc,EAAEC,eAAe,EAAE3D,OAAO,EAAEC,QAAQ,EAAEH,QAAQ,EAAEC,SAAS,EAAEG,iBAAiBC,MAA0EyD,GAAGhG,GAA3DllC,EAAE,CAAC,EAAEimC,GAAG,CAACnU,aAAa,EAAEyW,YAAY,EAAEC,cAAc,KAAc2C,GAAGnrC,EAAE,CAAC,EAAE6mC,GAAG,CAACuE,OAAO,SAAS7a,GAAG,MAAM,WAAWA,EAAEA,EAAE6a,OAAO,gBAAgB7a,GAAGA,EAAE8a,YAAY,CAAC,EACnfC,OAAO,SAAS/a,GAAG,MAAM,WAAWA,EAAEA,EAAE+a,OAAO,gBAAgB/a,GAAGA,EAAEgb,YAAY,eAAehb,GAAGA,EAAEib,WAAW,CAAC,EAAEC,OAAO,EAAEC,UAAU,IAAIC,GAAGzG,GAAGiG,IAAIS,GAAG,CAAC,EAAE,GAAG,GAAG,IAAIC,GAAG7a,GAAI,qBAAqBC,OAAO6a,GAAG,KAAK9a,GAAI,iBAAiBjmC,WAAW+gD,GAAG/gD,SAASghD,cAAc,IAAIC,GAAGhb,GAAI,cAAcC,SAAS6a,GAAGG,GAAGjb,KAAM6a,IAAIC,IAAI,EAAEA,IAAI,IAAIA,IAAII,GAAGl9D,OAAOwe,aAAa,IAAI2+C,IAAG,EAC1W,SAASC,GAAG7b,EAAEC,GAAG,OAAOD,GAAG,IAAK,QAAQ,OAAO,IAAIqb,GAAG/7D,QAAQ2gD,EAAEsU,SAAS,IAAK,UAAU,OAAO,MAAMtU,EAAEsU,QAAQ,IAAK,WAAW,IAAK,YAAY,IAAK,WAAW,OAAM,EAAG,QAAQ,OAAM,EAAG,CAAC,SAASuH,GAAG9b,GAAc,MAAM,kBAAjBA,EAAEA,EAAEoW,SAAkC,SAASpW,EAAEA,EAAEngD,KAAK,IAAI,CAAC,IAAIk8D,IAAG,EAE9Q,IAAIC,GAAG,CAACnpD,OAAM,EAAGopD,MAAK,EAAGC,UAAS,EAAG,kBAAiB,EAAGC,OAAM,EAAGC,OAAM,EAAG19D,QAAO,EAAG29D,UAAS,EAAGC,OAAM,EAAG3gE,QAAO,EAAG4gE,KAAI,EAAG9nD,MAAK,EAAG+nD,MAAK,EAAGC,KAAI,EAAGC,MAAK,GAAI,SAASC,GAAG3c,GAAG,IAAIC,EAAED,GAAGA,EAAE3D,UAAU2D,EAAE3D,SAASn/C,cAAc,MAAM,UAAU+iD,IAAI+b,GAAGhc,EAAE7jD,MAAM,aAAa8jD,CAAO,CAAC,SAAS2c,GAAG5c,EAAEC,EAAEzmC,EAAEwnC,GAAGsL,GAAGtL,GAAsB,GAAnBf,EAAE4c,GAAG5c,EAAE,aAAgB/kD,SAASse,EAAE,IAAIy8C,GAAG,WAAW,SAAS,KAAKz8C,EAAEwnC,GAAGhB,EAAE9jD,KAAK,CAAC8W,MAAMwG,EAAEsjD,UAAU7c,IAAI,CAAC,IAAI8c,GAAG,KAAKC,GAAG,KAAK,SAAS3jD,GAAG2mC,GAAGid,GAAGjd,EAAE,EAAE,CAAC,SAASkd,GAAGld,GAAe,GAAGuF,EAAT4X,GAAGnd,IAAY,OAAOA,CAAC,CACpe,SAASod,GAAGpd,EAAEC,GAAG,GAAG,WAAWD,EAAE,OAAOC,CAAC,CAAC,IAAIod,IAAG,EAAG,GAAG5c,EAAG,CAAC,IAAI6c,GAAG,GAAG7c,EAAG,CAAC,IAAI8c,GAAG,YAAY/iD,SAAS,IAAI+iD,GAAG,CAAC,IAAIC,GAAGhjD,SAASC,cAAc,OAAO+iD,GAAGtb,aAAa,UAAU,WAAWqb,GAAG,oBAAoBC,GAAGC,OAAO,CAACH,GAAGC,EAAE,MAAMD,IAAG,EAAGD,GAAGC,MAAM9iD,SAASghD,cAAc,EAAEhhD,SAASghD,aAAa,CAAC,SAASkC,KAAKX,KAAKA,GAAGY,YAAY,mBAAmBC,IAAIZ,GAAGD,GAAG,KAAK,CAAC,SAASa,GAAG5d,GAAG,GAAG,UAAUA,EAAEuB,cAAc2b,GAAGF,IAAI,CAAC,IAAI/c,EAAE,GAAG2c,GAAG3c,EAAE+c,GAAGhd,EAAE4L,GAAG5L,IAAI2M,GAAGtzC,GAAG4mC,EAAE,CAAC,CAC/b,SAAS4d,GAAG7d,EAAEC,EAAEzmC,GAAG,YAAYwmC,GAAG0d,KAAUV,GAAGxjD,GAARujD,GAAG9c,GAAU6d,YAAY,mBAAmBF,KAAK,aAAa5d,GAAG0d,IAAI,CAAC,SAASK,GAAG/d,GAAG,GAAG,oBAAoBA,GAAG,UAAUA,GAAG,YAAYA,EAAE,OAAOkd,GAAGF,GAAG,CAAC,SAASgB,GAAGhe,EAAEC,GAAG,GAAG,UAAUD,EAAE,OAAOkd,GAAGjd,EAAE,CAAC,SAASge,GAAGje,EAAEC,GAAG,GAAG,UAAUD,GAAG,WAAWA,EAAE,OAAOkd,GAAGjd,EAAE,CAAiE,IAAIie,GAAG,oBAAoBrlE,OAAO2P,GAAG3P,OAAO2P,GAA5G,SAAYw3C,EAAEC,GAAG,OAAOD,IAAIC,IAAI,IAAID,GAAG,EAAEA,IAAI,EAAEC,IAAID,IAAIA,GAAGC,IAAIA,CAAC,EACtW,SAASke,GAAGne,EAAEC,GAAG,GAAGie,GAAGle,EAAEC,GAAG,OAAM,EAAG,GAAG,kBAAkBD,GAAG,OAAOA,GAAG,kBAAkBC,GAAG,OAAOA,EAAE,OAAM,EAAG,IAAIzmC,EAAE3gB,OAAOslC,KAAK6hB,GAAGgB,EAAEnoD,OAAOslC,KAAK8hB,GAAG,GAAGzmC,EAAEte,SAAS8lD,EAAE9lD,OAAO,OAAM,EAAG,IAAI8lD,EAAE,EAAEA,EAAExnC,EAAEte,OAAO8lD,IAAI,CAAC,IAAIC,EAAEznC,EAAEwnC,GAAG,IAAIL,EAAGnnD,KAAKymD,EAAEgB,KAAKid,GAAGle,EAAEiB,GAAGhB,EAAEgB,IAAI,OAAM,CAAE,CAAC,OAAM,CAAE,CAAC,SAASmd,GAAGpe,GAAG,KAAKA,GAAGA,EAAEqH,YAAYrH,EAAEA,EAAEqH,WAAW,OAAOrH,CAAC,CACtU,SAASqe,GAAGre,EAAEC,GAAG,IAAwBe,EAApBxnC,EAAE4kD,GAAGpe,GAAO,IAAJA,EAAE,EAAYxmC,GAAG,CAAC,GAAG,IAAIA,EAAEmuC,SAAS,CAA0B,GAAzB3G,EAAEhB,EAAExmC,EAAEmB,YAAYzf,OAAU8kD,GAAGC,GAAGe,GAAGf,EAAE,MAAM,CAAC5iD,KAAKmc,EAAE+E,OAAO0hC,EAAED,GAAGA,EAAEgB,CAAC,CAAChB,EAAE,CAAC,KAAKxmC,GAAG,CAAC,GAAGA,EAAE8kD,YAAY,CAAC9kD,EAAEA,EAAE8kD,YAAY,MAAMte,CAAC,CAACxmC,EAAEA,EAAE+iC,UAAU,CAAC/iC,OAAE,CAAM,CAACA,EAAE4kD,GAAG5kD,EAAE,CAAC,CAAC,SAAS+kD,GAAGve,EAAEC,GAAG,SAAOD,IAAGC,KAAED,IAAIC,KAAKD,GAAG,IAAIA,EAAE2H,YAAY1H,GAAG,IAAIA,EAAE0H,SAAS4W,GAAGve,EAAEC,EAAE1D,YAAY,aAAayD,EAAEA,EAAEvY,SAASwY,KAAGD,EAAEwe,4BAAwD,GAA7Bxe,EAAEwe,wBAAwBve,KAAY,CAC9Z,SAASwe,KAAK,IAAI,IAAIze,EAAEU,OAAOT,EAAEuF,IAAKvF,aAAaD,EAAE0e,mBAAmB,CAAC,IAAI,IAAIllD,EAAE,kBAAkBymC,EAAE0e,cAAchmC,SAAS3wB,IAAI,CAAC,MAAMg5C,GAAGxnC,GAAE,CAAE,CAAC,IAAGA,EAAyB,MAAMymC,EAAEuF,GAA/BxF,EAAEC,EAAE0e,eAAgCnkD,SAAS,CAAC,OAAOylC,CAAC,CAAC,SAAS2e,GAAG5e,GAAG,IAAIC,EAAED,GAAGA,EAAE3D,UAAU2D,EAAE3D,SAASn/C,cAAc,OAAO+iD,IAAI,UAAUA,IAAI,SAASD,EAAE7jD,MAAM,WAAW6jD,EAAE7jD,MAAM,QAAQ6jD,EAAE7jD,MAAM,QAAQ6jD,EAAE7jD,MAAM,aAAa6jD,EAAE7jD,OAAO,aAAa8jD,GAAG,SAASD,EAAE15C,gBAAgB,CACxa,SAASu4D,GAAG7e,GAAG,IAAIC,EAAEwe,KAAKjlD,EAAEwmC,EAAE8e,YAAY9d,EAAEhB,EAAE+e,eAAe,GAAG9e,IAAIzmC,GAAGA,GAAGA,EAAE+sC,eAAegY,GAAG/kD,EAAE+sC,cAAcyY,gBAAgBxlD,GAAG,CAAC,GAAG,OAAOwnC,GAAG4d,GAAGplD,GAAG,GAAGymC,EAAEe,EAAEtlD,WAAc,KAARskD,EAAEgB,EAAExhD,OAAiBwgD,EAAEC,GAAG,mBAAmBzmC,EAAEA,EAAEylD,eAAehf,EAAEzmC,EAAE0lD,aAAazoD,KAAK7M,IAAIo2C,EAAExmC,EAAEnf,MAAMa,aAAa,IAAG8kD,GAAGC,EAAEzmC,EAAE+sC,eAAe/rC,WAAWylC,EAAEkf,aAAaze,QAAS0e,aAAa,CAACpf,EAAEA,EAAEof,eAAe,IAAIne,EAAEznC,EAAEmB,YAAYzf,OAAOgmD,EAAEzqC,KAAK7M,IAAIo3C,EAAEtlD,MAAMulD,GAAGD,OAAE,IAASA,EAAExhD,IAAI0hD,EAAEzqC,KAAK7M,IAAIo3C,EAAExhD,IAAIyhD,IAAIjB,EAAErlD,QAAQumD,EAAEF,IAAIC,EAAED,EAAEA,EAAEE,EAAEA,EAAED,GAAGA,EAAEod,GAAG7kD,EAAE0nC,GAAG,IAAIC,EAAEkd,GAAG7kD,EACvfwnC,GAAGC,GAAGE,IAAI,IAAInB,EAAEqf,YAAYrf,EAAEsf,aAAare,EAAE5jD,MAAM2iD,EAAEuf,eAAete,EAAE1iC,QAAQyhC,EAAEwf,YAAYre,EAAE9jD,MAAM2iD,EAAEyf,cAActe,EAAE5iC,WAAU0hC,EAAEA,EAAEyf,eAAgBC,SAAS1e,EAAE5jD,KAAK4jD,EAAE1iC,QAAQyhC,EAAE4f,kBAAkB1e,EAAEF,GAAGhB,EAAE6f,SAAS5f,GAAGD,EAAErlD,OAAOwmD,EAAE9jD,KAAK8jD,EAAE5iC,UAAU0hC,EAAE6f,OAAO3e,EAAE9jD,KAAK8jD,EAAE5iC,QAAQyhC,EAAE6f,SAAS5f,IAAI,CAAM,IAALA,EAAE,GAAOD,EAAExmC,EAAEwmC,EAAEA,EAAEzD,YAAY,IAAIyD,EAAE2H,UAAU1H,EAAE/jD,KAAK,CAACw9B,QAAQsmB,EAAE+f,KAAK/f,EAAEggB,WAAWC,IAAIjgB,EAAEkgB,YAAmD,IAAvC,oBAAoB1mD,EAAE2mD,OAAO3mD,EAAE2mD,QAAY3mD,EAAE,EAAEA,EAAEymC,EAAE/kD,OAAOse,KAAIwmC,EAAEC,EAAEzmC,IAAKkgB,QAAQsmC,WAAWhgB,EAAE+f,KAAK/f,EAAEtmB,QAAQwmC,UAAUlgB,EAAEigB,GAAG,CAAC,CACzf,IAAIG,GAAG3f,GAAI,iBAAiBjmC,UAAU,IAAIA,SAASghD,aAAa6E,GAAG,KAAKC,GAAG,KAAKC,GAAG,KAAKC,IAAG,EAC3F,SAASC,GAAGzgB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAExnC,EAAEknC,SAASlnC,EAAEA,EAAEgB,SAAS,IAAIhB,EAAEmuC,SAASnuC,EAAEA,EAAE+sC,cAAcia,IAAI,MAAMH,IAAIA,KAAK7a,EAAGxE,KAAU,mBAALA,EAAEqf,KAAyBzB,GAAG5d,GAAGA,EAAE,CAACtlD,MAAMslD,EAAEie,eAAez/D,IAAIwhD,EAAEke,cAAuFle,EAAE,CAACse,YAA3Ete,GAAGA,EAAEuF,eAAevF,EAAEuF,cAAc4Y,aAAaze,QAAQ0e,gBAA+BE,WAAWC,aAAave,EAAEue,aAAaC,UAAUxe,EAAEwe,UAAUC,YAAYze,EAAEye,aAAcc,IAAIpC,GAAGoC,GAAGvf,KAAKuf,GAAGvf,EAAsB,GAApBA,EAAE6b,GAAGyD,GAAG,aAAgBplE,SAAS+kD,EAAE,IAAIgW,GAAG,WAAW,SAAS,KAAKhW,EAAEzmC,GAAGwmC,EAAE9jD,KAAK,CAAC8W,MAAMitC,EAAE6c,UAAU9b,IAAIf,EAAEjmD,OAAOqmE,KAAK,CACtf,SAASK,GAAG1gB,EAAEC,GAAG,IAAIzmC,EAAE,CAAC,EAAiF,OAA/EA,EAAEwmC,EAAE9iD,eAAe+iD,EAAE/iD,cAAcsc,EAAE,SAASwmC,GAAG,SAASC,EAAEzmC,EAAE,MAAMwmC,GAAG,MAAMC,EAASzmC,CAAC,CAAC,IAAImnD,GAAG,CAACC,aAAaF,GAAG,YAAY,gBAAgBG,mBAAmBH,GAAG,YAAY,sBAAsBI,eAAeJ,GAAG,YAAY,kBAAkBK,cAAcL,GAAG,aAAa,kBAAkBM,GAAG,CAAC,EAAEC,GAAG,CAAC,EACpF,SAASC,GAAGlhB,GAAG,GAAGghB,GAAGhhB,GAAG,OAAOghB,GAAGhhB,GAAG,IAAI2gB,GAAG3gB,GAAG,OAAOA,EAAE,IAAYxmC,EAARymC,EAAE0gB,GAAG3gB,GAAK,IAAIxmC,KAAKymC,EAAE,GAAGA,EAAElnD,eAAeygB,IAAIA,KAAKynD,GAAG,OAAOD,GAAGhhB,GAAGC,EAAEzmC,GAAG,OAAOwmC,CAAC,CAA/XS,IAAKwgB,GAAGzmD,SAASC,cAAc,OAAOtc,MAAM,mBAAmBuiD,gBAAgBigB,GAAGC,aAAaO,iBAAiBR,GAAGE,mBAAmBM,iBAAiBR,GAAGG,eAAeK,WAAW,oBAAoBzgB,eAAeigB,GAAGI,cAAcpN,YAAwJ,IAAIyN,GAAGF,GAAG,gBAAgBG,GAAGH,GAAG,sBAAsBI,GAAGJ,GAAG,kBAAkBK,GAAGL,GAAG,iBAAiBM,GAAG,IAAI1P,IAAI2P,GAAG,smBAAsmBlqD,MAAM,KAC/lC,SAASmqD,GAAG1hB,EAAEC,GAAGuhB,GAAG1d,IAAI9D,EAAEC,GAAGK,EAAGL,EAAE,CAACD,GAAG,CAAC,IAAI,IAAI2hB,GAAG,EAAEA,GAAGF,GAAGvmE,OAAOymE,KAAK,CAAC,IAAIC,GAAGH,GAAGE,IAA2DD,GAApDE,GAAG1kE,cAAuD,MAAtC0kE,GAAG,GAAGphE,cAAcohE,GAAG9lE,MAAM,IAAiB,CAAC4lE,GAAGN,GAAG,kBAAkBM,GAAGL,GAAG,wBAAwBK,GAAGJ,GAAG,oBAAoBI,GAAG,WAAW,iBAAiBA,GAAG,UAAU,WAAWA,GAAG,WAAW,UAAUA,GAAGH,GAAG,mBAAmBhhB,EAAG,eAAe,CAAC,WAAW,cAAcA,EAAG,eAAe,CAAC,WAAW,cAAcA,EAAG,iBAAiB,CAAC,aAAa,gBAC7cA,EAAG,iBAAiB,CAAC,aAAa,gBAAgBD,EAAG,WAAW,oEAAoE/oC,MAAM,MAAM+oC,EAAG,WAAW,uFAAuF/oC,MAAM,MAAM+oC,EAAG,gBAAgB,CAAC,iBAAiB,WAAW,YAAY,UAAUA,EAAG,mBAAmB,2DAA2D/oC,MAAM,MAAM+oC,EAAG,qBAAqB,6DAA6D/oC,MAAM,MAC/f+oC,EAAG,sBAAsB,8DAA8D/oC,MAAM,MAAM,IAAIsqD,GAAG,6NAA6NtqD,MAAM,KAAKuqD,GAAG,IAAI1hB,IAAI,0CAA0C7oC,MAAM,KAAKnZ,OAAOyjE,KACzZ,SAASE,GAAG/hB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAE7jD,MAAM,gBAAgB6jD,EAAE8U,cAAct7C,EAlDjE,SAAYwmC,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,EAAE+C,EAAEC,GAA4B,GAAzBoJ,GAAGj3C,MAAMJ,KAAKlb,WAAckyD,GAAG,CAAC,IAAGA,GAAgC,MAAM1uD,MAAM0lC,EAAE,MAA1C,IAAI+f,EAAEkJ,GAAGD,IAAG,EAAGC,GAAG,KAA8BC,KAAKA,IAAG,EAAGC,GAAGpJ,EAAE,CAAC,CAkDpE+d,CAAGhhB,EAAEf,OAAE,EAAOD,GAAGA,EAAE8U,cAAc,IAAI,CACxG,SAASmI,GAAGjd,EAAEC,GAAGA,EAAE,KAAO,EAAFA,GAAK,IAAI,IAAIzmC,EAAE,EAAEA,EAAEwmC,EAAE9kD,OAAOse,IAAI,CAAC,IAAIwnC,EAAEhB,EAAExmC,GAAGynC,EAAED,EAAEhuC,MAAMguC,EAAEA,EAAE8b,UAAU9c,EAAE,CAAC,IAAIkB,OAAE,EAAO,GAAGjB,EAAE,IAAI,IAAIkB,EAAEH,EAAE9lD,OAAO,EAAE,GAAGimD,EAAEA,IAAI,CAAC,IAAI+C,EAAElD,EAAEG,GAAGgD,EAAED,EAAE+d,SAAShe,EAAEC,EAAE4Q,cAA2B,GAAb5Q,EAAEA,EAAEge,SAAY/d,IAAIjD,GAAGD,EAAEiU,uBAAuB,MAAMlV,EAAE+hB,GAAG9gB,EAAEiD,EAAED,GAAG/C,EAAEiD,CAAC,MAAM,IAAIhD,EAAE,EAAEA,EAAEH,EAAE9lD,OAAOimD,IAAI,CAAoD,GAA5CgD,GAAPD,EAAElD,EAAEG,IAAO8gB,SAAShe,EAAEC,EAAE4Q,cAAc5Q,EAAEA,EAAEge,SAAY/d,IAAIjD,GAAGD,EAAEiU,uBAAuB,MAAMlV,EAAE+hB,GAAG9gB,EAAEiD,EAAED,GAAG/C,EAAEiD,CAAC,CAAC,CAAC,CAAC,GAAGiJ,GAAG,MAAMpN,EAAEqN,GAAGD,IAAG,EAAGC,GAAG,KAAKrN,CAAE,CAC5a,SAASmiB,GAAEniB,EAAEC,GAAG,IAAIzmC,EAAEymC,EAAEmiB,SAAI,IAAS5oD,IAAIA,EAAEymC,EAAEmiB,IAAI,IAAIhiB,KAAK,IAAIY,EAAEhB,EAAE,WAAWxmC,EAAE6oD,IAAIrhB,KAAKshB,GAAGriB,EAAED,EAAE,GAAE,GAAIxmC,EAAEgnC,IAAIQ,GAAG,CAAC,SAASuhB,GAAGviB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAE,EAAEf,IAAIe,GAAG,GAAGshB,GAAG9oD,EAAEwmC,EAAEgB,EAAEf,EAAE,CAAC,IAAIuiB,GAAG,kBAAkB/rD,KAAKgsD,SAASxpE,SAAS,IAAI6C,MAAM,GAAG,SAAS4mE,GAAG1iB,GAAG,IAAIA,EAAEwiB,IAAI,CAACxiB,EAAEwiB,KAAI,EAAGriB,EAAG9hB,SAAQ,SAAS4hB,GAAG,oBAAoBA,IAAI6hB,GAAGO,IAAIpiB,IAAIsiB,GAAGtiB,GAAE,EAAGD,GAAGuiB,GAAGtiB,GAAE,EAAGD,GAAG,IAAG,IAAIC,EAAE,IAAID,EAAE2H,SAAS3H,EAAEA,EAAEuG,cAAc,OAAOtG,GAAGA,EAAEuiB,MAAMviB,EAAEuiB,KAAI,EAAGD,GAAG,mBAAkB,EAAGtiB,GAAG,CAAC,CACjb,SAASqiB,GAAGtiB,EAAEC,EAAEzmC,EAAEwnC,GAAG,OAAOiT,GAAGhU,IAAI,KAAK,EAAE,IAAIgB,EAAEyS,GAAG,MAAM,KAAK,EAAEzS,EAAE4S,GAAG,MAAM,QAAQ5S,EAAE2S,GAAGp6C,EAAEynC,EAAE0hB,KAAK,KAAK1iB,EAAEzmC,EAAEwmC,GAAGiB,OAAE,GAAQ4L,IAAI,eAAe5M,GAAG,cAAcA,GAAG,UAAUA,IAAIgB,GAAE,GAAID,OAAE,IAASC,EAAEjB,EAAE+M,iBAAiB9M,EAAEzmC,EAAE,CAACxT,SAAQ,EAAG48D,QAAQ3hB,IAAIjB,EAAE+M,iBAAiB9M,EAAEzmC,GAAE,QAAI,IAASynC,EAAEjB,EAAE+M,iBAAiB9M,EAAEzmC,EAAE,CAACopD,QAAQ3hB,IAAIjB,EAAE+M,iBAAiB9M,EAAEzmC,GAAE,EAAG,CAClV,SAASs6C,GAAG9T,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,IAAIC,EAAEF,EAAE,GAAG,KAAO,EAAFf,IAAM,KAAO,EAAFA,IAAM,OAAOe,EAAEhB,EAAE,OAAO,CAAC,GAAG,OAAOgB,EAAE,OAAO,IAAIG,EAAEH,EAAEsD,IAAI,GAAG,IAAInD,GAAG,IAAIA,EAAE,CAAC,IAAI+C,EAAElD,EAAEoL,UAAU2G,cAAc,GAAG7O,IAAIjD,GAAG,IAAIiD,EAAEyD,UAAUzD,EAAE3H,aAAa0E,EAAE,MAAM,GAAG,IAAIE,EAAE,IAAIA,EAAEH,EAAE0M,OAAO,OAAOvM,GAAG,CAAC,IAAIgD,EAAEhD,EAAEmD,IAAI,IAAG,IAAIH,GAAG,IAAIA,MAAKA,EAAEhD,EAAEiL,UAAU2G,iBAAkB9R,GAAG,IAAIkD,EAAEwD,UAAUxD,EAAE5H,aAAa0E,GAAE,OAAOE,EAAEA,EAAEuM,MAAM,CAAC,KAAK,OAAOxJ,GAAG,CAAS,GAAG,QAAX/C,EAAEyR,GAAG1O,IAAe,OAAe,GAAG,KAAXC,EAAEhD,EAAEmD,MAAc,IAAIH,EAAE,CAACnD,EAAEE,EAAEC,EAAE,SAASnB,CAAC,CAACkE,EAAEA,EAAE3H,UAAU,CAAC,CAACyE,EAAEA,EAAE0M,MAAM,CAACf,IAAG,WAAW,IAAI3L,EAAEE,EAAED,EAAE2K,GAAGpyC,GAAG2nC,EAAE,GACpfnB,EAAE,CAAC,IAAIkE,EAAEsd,GAAGtc,IAAIlF,GAAG,QAAG,IAASkE,EAAE,CAAC,IAAIC,EAAE8R,GAAG4M,EAAE7iB,EAAE,OAAOA,GAAG,IAAK,WAAW,GAAG,IAAIsU,GAAG96C,GAAG,MAAMwmC,EAAE,IAAK,UAAU,IAAK,QAAQmE,EAAE2V,GAAG,MAAM,IAAK,UAAU+I,EAAE,QAAQ1e,EAAE0T,GAAG,MAAM,IAAK,WAAWgL,EAAE,OAAO1e,EAAE0T,GAAG,MAAM,IAAK,aAAa,IAAK,YAAY1T,EAAE0T,GAAG,MAAM,IAAK,QAAQ,GAAG,IAAIr+C,EAAE29C,OAAO,MAAMnX,EAAE,IAAK,WAAW,IAAK,WAAW,IAAK,YAAY,IAAK,YAAY,IAAK,UAAU,IAAK,WAAW,IAAK,YAAY,IAAK,cAAcmE,EAAEuT,GAAG,MAAM,IAAK,OAAO,IAAK,UAAU,IAAK,YAAY,IAAK,WAAW,IAAK,YAAY,IAAK,WAAW,IAAK,YAAY,IAAK,OAAOvT,EAC1iBwT,GAAG,MAAM,IAAK,cAAc,IAAK,WAAW,IAAK,YAAY,IAAK,aAAaxT,EAAEoW,GAAG,MAAM,KAAK6G,GAAG,KAAKC,GAAG,KAAKC,GAAGnd,EAAE2T,GAAG,MAAM,KAAKyJ,GAAGpd,EAAEwW,GAAG,MAAM,IAAK,SAASxW,EAAEkS,GAAG,MAAM,IAAK,QAAQlS,EAAEiX,GAAG,MAAM,IAAK,OAAO,IAAK,MAAM,IAAK,QAAQjX,EAAEiU,GAAG,MAAM,IAAK,oBAAoB,IAAK,qBAAqB,IAAK,gBAAgB,IAAK,cAAc,IAAK,cAAc,IAAK,aAAa,IAAK,cAAc,IAAK,YAAYjU,EAAE4V,GAAG,IAAI+I,EAAE,KAAO,EAAF7iB,GAAK8iB,GAAGD,GAAG,WAAW9iB,EAAEgjB,EAAEF,EAAE,OAAO5e,EAAEA,EAAE,UAAU,KAAKA,EAAE4e,EAAE,GAAG,IAAI,IAAQG,EAAJC,EAAEliB,EAAI,OAC/ekiB,GAAG,CAAK,IAAIC,GAARF,EAAEC,GAAU9W,UAAsF,GAA5E,IAAI6W,EAAE3e,KAAK,OAAO6e,IAAIF,EAAEE,EAAE,OAAOH,IAAc,OAAVG,EAAEvW,GAAGsW,EAAEF,KAAYF,EAAE5mE,KAAKknE,GAAGF,EAAEC,EAAEF,MAASF,EAAE,MAAMG,EAAEA,EAAExV,MAAM,CAAC,EAAEoV,EAAE5nE,SAASgpD,EAAE,IAAIC,EAAED,EAAE2e,EAAE,KAAKrpD,EAAEynC,GAAGE,EAAEjlD,KAAK,CAAC8W,MAAMkxC,EAAE4Y,UAAUgG,IAAI,CAAC,CAAC,GAAG,KAAO,EAAF7iB,GAAK,CAA4E,GAAnCkE,EAAE,aAAanE,GAAG,eAAeA,KAAtEkE,EAAE,cAAclE,GAAG,gBAAgBA,IAA2CxmC,IAAImyC,MAAKkX,EAAErpD,EAAE69C,eAAe79C,EAAE89C,eAAe1E,GAAGiQ,KAAIA,EAAEQ,OAAgBlf,GAAGD,KAAGA,EAAEjD,EAAEP,SAASO,EAAEA,GAAGiD,EAAEjD,EAAEsF,eAAerC,EAAEib,aAAajb,EAAEof,aAAa5iB,OAAUyD,GAAqCA,EAAEnD,EAAiB,QAAf6hB,GAAnCA,EAAErpD,EAAE69C,eAAe79C,EAAE+9C,WAAkB3E,GAAGiQ,GAAG,QAC9dA,KAARE,EAAEvV,GAAGqV,KAAU,IAAIA,EAAEve,KAAK,IAAIue,EAAEve,OAAKue,EAAE,QAAU1e,EAAE,KAAK0e,EAAE7hB,GAAKmD,IAAI0e,GAAE,CAAgU,GAA/TC,EAAEpL,GAAGyL,EAAE,eAAeH,EAAE,eAAeE,EAAE,QAAW,eAAeljB,GAAG,gBAAgBA,IAAE8iB,EAAE/I,GAAGoJ,EAAE,iBAAiBH,EAAE,iBAAiBE,EAAE,WAAUH,EAAE,MAAM5e,EAAED,EAAEiZ,GAAGhZ,GAAG8e,EAAE,MAAMJ,EAAE3e,EAAEiZ,GAAG0F,IAAG3e,EAAE,IAAI4e,EAAEK,EAAED,EAAE,QAAQ/e,EAAE3qC,EAAEynC,IAAKjnD,OAAO+oE,EAAE7e,EAAEmT,cAAc4L,EAAEE,EAAE,KAAKvQ,GAAG3R,KAAKD,KAAI8hB,EAAE,IAAIA,EAAEE,EAAEE,EAAE,QAAQL,EAAErpD,EAAEynC,IAAKjnD,OAAOipE,EAAEH,EAAEzL,cAAc0L,EAAEI,EAAEL,GAAGC,EAAEI,EAAKhf,GAAG0e,EAAE5iB,EAAE,CAAa,IAAR+iB,EAAEH,EAAEK,EAAE,EAAMD,EAAhBH,EAAE3e,EAAkB8e,EAAEA,EAAEM,GAAGN,GAAGC,IAAQ,IAAJD,EAAE,EAAME,EAAEH,EAAEG,EAAEA,EAAEI,GAAGJ,GAAGF,IAAI,KAAK,EAAEC,EAAED,GAAGH,EAAES,GAAGT,GAAGI,IAAI,KAAK,EAAED,EAAEC,GAAGF,EACpfO,GAAGP,GAAGC,IAAI,KAAKC,KAAK,CAAC,GAAGJ,IAAIE,GAAG,OAAOA,GAAGF,IAAIE,EAAEvV,UAAU,MAAMxN,EAAE6iB,EAAES,GAAGT,GAAGE,EAAEO,GAAGP,EAAE,CAACF,EAAE,IAAI,MAAMA,EAAE,KAAK,OAAO3e,GAAGqf,GAAGriB,EAAE+C,EAAEC,EAAE2e,GAAE,GAAI,OAAOD,GAAG,OAAOE,GAAGS,GAAGriB,EAAE4hB,EAAEF,EAAEC,GAAE,EAAG,CAA8D,GAAG,YAA1C3e,GAAjBD,EAAElD,EAAEmc,GAAGnc,GAAGN,QAAWrE,UAAU6H,EAAE7H,SAASn/C,gBAA+B,UAAUinD,GAAG,SAASD,EAAE/nD,KAAK,IAAIsnE,EAAGrG,QAAQ,GAAGT,GAAGzY,GAAG,GAAGmZ,GAAGoG,EAAGxF,OAAO,CAACwF,EAAG1F,GAAG,IAAI2F,EAAG7F,EAAE,MAAM1Z,EAAED,EAAE7H,WAAW,UAAU8H,EAAEjnD,gBAAgB,aAAagnD,EAAE/nD,MAAM,UAAU+nD,EAAE/nD,QAAQsnE,EAAGzF,IACrV,OAD4VyF,IAAKA,EAAGA,EAAGzjB,EAAEgB,IAAK4b,GAAGzb,EAAEsiB,EAAGjqD,EAAEynC,IAAWyiB,GAAIA,EAAG1jB,EAAEkE,EAAElD,GAAG,aAAahB,IAAI0jB,EAAGxf,EAAE4B,gBAClf4d,EAAGxd,YAAY,WAAWhC,EAAE/nD,MAAMkqD,GAAGnC,EAAE,SAASA,EAAE7pD,QAAOqpE,EAAG1iB,EAAEmc,GAAGnc,GAAGN,OAAcV,GAAG,IAAK,WAAa2c,GAAG+G,IAAK,SAASA,EAAGp9D,mBAAgB+5D,GAAGqD,EAAGpD,GAAGtf,EAAEuf,GAAG,MAAK,MAAM,IAAK,WAAWA,GAAGD,GAAGD,GAAG,KAAK,MAAM,IAAK,YAAYG,IAAG,EAAG,MAAM,IAAK,cAAc,IAAK,UAAU,IAAK,UAAUA,IAAG,EAAGC,GAAGtf,EAAE3nC,EAAEynC,GAAG,MAAM,IAAK,kBAAkB,GAAGmf,GAAG,MAAM,IAAK,UAAU,IAAK,QAAQK,GAAGtf,EAAE3nC,EAAEynC,GAAG,IAAI0iB,EAAG,GAAGrI,GAAGrb,EAAE,CAAC,OAAOD,GAAG,IAAK,mBAAmB,IAAI4jB,EAAG,qBAAqB,MAAM3jB,EAAE,IAAK,iBAAiB2jB,EAAG,mBACpe,MAAM3jB,EAAE,IAAK,oBAAoB2jB,EAAG,sBAAsB,MAAM3jB,EAAE2jB,OAAG,CAAM,MAAM7H,GAAGF,GAAG7b,EAAExmC,KAAKoqD,EAAG,oBAAoB,YAAY5jB,GAAG,MAAMxmC,EAAE+6C,UAAUqP,EAAG,sBAAsBA,IAAKlI,IAAI,OAAOliD,EAAEogD,SAASmC,IAAI,uBAAuB6H,EAAG,qBAAqBA,GAAI7H,KAAK4H,EAAGtP,OAAYF,GAAG,UAARD,GAAGjT,GAAkBiT,GAAG75D,MAAM65D,GAAGv5C,YAAYohD,IAAG,IAAiB,GAAZ2H,EAAG7G,GAAG7b,EAAE4iB,IAAS1oE,SAAS0oE,EAAG,IAAIvL,GAAGuL,EAAG5jB,EAAE,KAAKxmC,EAAEynC,GAAGE,EAAEjlD,KAAK,CAAC8W,MAAM4wD,EAAG9G,UAAU4G,IAAKC,EAAGC,EAAG/jE,KAAK8jE,EAAa,QAATA,EAAG7H,GAAGtiD,MAAeoqD,EAAG/jE,KAAK8jE,MAAUA,EAAGlI,GA5BhM,SAAYzb,EAAEC,GAAG,OAAOD,GAAG,IAAK,iBAAiB,OAAO8b,GAAG7b,GAAG,IAAK,WAAW,OAAG,KAAKA,EAAE4Z,MAAa,MAAK+B,IAAG,EAAUD,IAAG,IAAK,YAAY,OAAO3b,EAAEC,EAAEpgD,QAAS87D,IAAIC,GAAG,KAAK5b,EAAE,QAAQ,OAAO,KAAK,CA4BE6jB,CAAG7jB,EAAExmC,GA3Bzd,SAAYwmC,EAAEC,GAAG,GAAG8b,GAAG,MAAM,mBAAmB/b,IAAIsb,IAAIO,GAAG7b,EAAEC,IAAID,EAAEqU,KAAKD,GAAGD,GAAGD,GAAG,KAAK6H,IAAG,EAAG/b,GAAG,KAAK,OAAOA,GAAG,IAAK,QAAgQ,QAAQ,OAAO,KAA3P,IAAK,WAAW,KAAKC,EAAE4W,SAAS5W,EAAE8W,QAAQ9W,EAAE+W,UAAU/W,EAAE4W,SAAS5W,EAAE8W,OAAO,CAAC,GAAG9W,EAAE3tC,MAAM,EAAE2tC,EAAE3tC,KAAKpX,OAAO,OAAO+kD,EAAE3tC,KAAK,GAAG2tC,EAAE4Z,MAAM,OAAOp7D,OAAOwe,aAAagjC,EAAE4Z,MAAM,CAAC,OAAO,KAAK,IAAK,iBAAiB,OAAO6B,IAAI,OAAOzb,EAAE2Z,OAAO,KAAK3Z,EAAEpgD,KAAyB,CA2BqFikE,CAAG9jB,EAAExmC,MACje,GADoewnC,EAAE6b,GAAG7b,EAAE,kBACve9lD,SAAS+lD,EAAE,IAAIoX,GAAG,gBAAgB,cAAc,KAAK7+C,EAAEynC,GAAGE,EAAEjlD,KAAK,CAAC8W,MAAMiuC,EAAE6b,UAAU9b,IAAIC,EAAEphD,KAAK8jE,GAAG,CAAC1G,GAAG9b,EAAElB,EAAE,GAAE,CAAC,SAASmjB,GAAGpjB,EAAEC,EAAEzmC,GAAG,MAAM,CAACyoD,SAASjiB,EAAEkiB,SAASjiB,EAAE6U,cAAct7C,EAAE,CAAC,SAASqjD,GAAG7c,EAAEC,GAAG,IAAI,IAAIzmC,EAAEymC,EAAE,UAAUe,EAAE,GAAG,OAAOhB,GAAG,CAAC,IAAIiB,EAAEjB,EAAEkB,EAAED,EAAEmL,UAAU,IAAInL,EAAEqD,KAAK,OAAOpD,IAAID,EAAEC,EAAY,OAAVA,EAAE0L,GAAG5M,EAAExmC,KAAYwnC,EAAEvjD,QAAQ2lE,GAAGpjB,EAAEkB,EAAED,IAAc,OAAVC,EAAE0L,GAAG5M,EAAEC,KAAYe,EAAE9kD,KAAKknE,GAAGpjB,EAAEkB,EAAED,KAAKjB,EAAEA,EAAE0N,MAAM,CAAC,OAAO1M,CAAC,CAAC,SAASuiB,GAAGvjB,GAAG,GAAG,OAAOA,EAAE,OAAO,KAAK,GAAGA,EAAEA,EAAE0N,aAAa1N,GAAG,IAAIA,EAAEsE,KAAK,OAAOtE,GAAI,IAAI,CACnd,SAASwjB,GAAGxjB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,IAAI,IAAIC,EAAEjB,EAAE2U,WAAWzT,EAAE,GAAG,OAAO3nC,GAAGA,IAAIwnC,GAAG,CAAC,IAAIkD,EAAE1qC,EAAE2qC,EAAED,EAAEuJ,UAAUxJ,EAAEC,EAAEkI,UAAU,GAAG,OAAOjI,GAAGA,IAAInD,EAAE,MAAM,IAAIkD,EAAEI,KAAK,OAAOL,IAAIC,EAAED,EAAEhD,EAAa,OAAVkD,EAAEyI,GAAGpzC,EAAE0nC,KAAYC,EAAE1jD,QAAQ2lE,GAAG5pD,EAAE2qC,EAAED,IAAKjD,GAAc,OAAVkD,EAAEyI,GAAGpzC,EAAE0nC,KAAYC,EAAEjlD,KAAKknE,GAAG5pD,EAAE2qC,EAAED,KAAM1qC,EAAEA,EAAEk0C,MAAM,CAAC,IAAIvM,EAAEjmD,QAAQ8kD,EAAE9jD,KAAK,CAAC8W,MAAMitC,EAAE6c,UAAU3b,GAAG,CAAC,IAAI4iB,GAAG,SAASC,GAAG,iBAAiB,SAASC,GAAGjkB,GAAG,OAAO,kBAAkBA,EAAEA,EAAE,GAAGA,GAAG3/C,QAAQ0jE,GAAG,MAAM1jE,QAAQ2jE,GAAG,GAAG,CAAC,SAASE,GAAGlkB,EAAEC,EAAEzmC,GAAW,GAARymC,EAAEgkB,GAAGhkB,GAAMgkB,GAAGjkB,KAAKC,GAAGzmC,EAAE,MAAMhb,MAAM0lC,EAAE,KAAM,CAAC,SAASigC,KAAK,CAC9e,IAAIC,GAAG,KAAKC,GAAG,KAAK,SAASC,GAAGtkB,EAAEC,GAAG,MAAM,aAAaD,GAAG,aAAaA,GAAG,kBAAkBC,EAAE3jD,UAAU,kBAAkB2jD,EAAE3jD,UAAU,kBAAkB2jD,EAAE2G,yBAAyB,OAAO3G,EAAE2G,yBAAyB,MAAM3G,EAAE2G,wBAAwB2d,MAAM,CAC5P,IAAIC,GAAG,oBAAoBC,WAAWA,gBAAW,EAAOC,GAAG,oBAAoBC,aAAaA,kBAAa,EAAOC,GAAG,oBAAoBC,QAAQA,aAAQ,EAAOC,GAAG,oBAAoBC,eAAeA,eAAe,qBAAqBH,GAAG,SAAS5kB,GAAG,OAAO4kB,GAAGI,QAAQ,MAAMC,KAAKjlB,GAAGklB,MAAMC,GAAG,EAAEX,GAAG,SAASW,GAAGnlB,GAAGykB,YAAW,WAAW,MAAMzkB,CAAE,GAAE,CACpV,SAASolB,GAAGplB,EAAEC,GAAG,IAAIzmC,EAAEymC,EAAEe,EAAE,EAAE,EAAE,CAAC,IAAIC,EAAEznC,EAAE8kD,YAA6B,GAAjBte,EAAEsH,YAAY9tC,GAAMynC,GAAG,IAAIA,EAAE0G,SAAS,GAAY,QAATnuC,EAAEynC,EAAEphD,MAAc,CAAC,GAAG,IAAImhD,EAA0B,OAAvBhB,EAAEsH,YAAYrG,QAAGqS,GAAGrT,GAAUe,GAAG,KAAK,MAAMxnC,GAAG,OAAOA,GAAG,OAAOA,GAAGwnC,IAAIxnC,EAAEynC,CAAC,OAAOznC,GAAG85C,GAAGrT,EAAE,CAAC,SAASolB,GAAGrlB,GAAG,KAAK,MAAMA,EAAEA,EAAEA,EAAEse,YAAY,CAAC,IAAIre,EAAED,EAAE2H,SAAS,GAAG,IAAI1H,GAAG,IAAIA,EAAE,MAAM,GAAG,IAAIA,EAAE,CAAU,GAAG,OAAZA,EAAED,EAAEngD,OAAiB,OAAOogD,GAAG,OAAOA,EAAE,MAAM,GAAG,OAAOA,EAAE,OAAO,IAAI,CAAC,CAAC,OAAOD,CAAC,CACjY,SAASslB,GAAGtlB,GAAGA,EAAEA,EAAEulB,gBAAgB,IAAI,IAAItlB,EAAE,EAAED,GAAG,CAAC,GAAG,IAAIA,EAAE2H,SAAS,CAAC,IAAInuC,EAAEwmC,EAAEngD,KAAK,GAAG,MAAM2Z,GAAG,OAAOA,GAAG,OAAOA,EAAE,CAAC,GAAG,IAAIymC,EAAE,OAAOD,EAAEC,GAAG,KAAK,OAAOzmC,GAAGymC,GAAG,CAACD,EAAEA,EAAEulB,eAAe,CAAC,OAAO,IAAI,CAAC,IAAIC,GAAG/uD,KAAKgsD,SAASxpE,SAAS,IAAI6C,MAAM,GAAG2pE,GAAG,gBAAgBD,GAAGE,GAAG,gBAAgBF,GAAGnC,GAAG,oBAAoBmC,GAAGpD,GAAG,iBAAiBoD,GAAGG,GAAG,oBAAoBH,GAAGI,GAAG,kBAAkBJ,GAClX,SAAS5S,GAAG5S,GAAG,IAAIC,EAAED,EAAEylB,IAAI,GAAGxlB,EAAE,OAAOA,EAAE,IAAI,IAAIzmC,EAAEwmC,EAAEzD,WAAW/iC,GAAG,CAAC,GAAGymC,EAAEzmC,EAAE6pD,KAAK7pD,EAAEisD,IAAI,CAAe,GAAdjsD,EAAEymC,EAAEwN,UAAa,OAAOxN,EAAExP,OAAO,OAAOj3B,GAAG,OAAOA,EAAEi3B,MAAM,IAAIuP,EAAEslB,GAAGtlB,GAAG,OAAOA,GAAG,CAAC,GAAGxmC,EAAEwmC,EAAEylB,IAAI,OAAOjsD,EAAEwmC,EAAEslB,GAAGtlB,EAAE,CAAC,OAAOC,CAAC,CAAKzmC,GAAJwmC,EAAExmC,GAAM+iC,UAAU,CAAC,OAAO,IAAI,CAAC,SAAS4P,GAAGnM,GAAkB,QAAfA,EAAEA,EAAEylB,KAAKzlB,EAAEqjB,MAAc,IAAIrjB,EAAEsE,KAAK,IAAItE,EAAEsE,KAAK,KAAKtE,EAAEsE,KAAK,IAAItE,EAAEsE,IAAI,KAAKtE,CAAC,CAAC,SAASmd,GAAGnd,GAAG,GAAG,IAAIA,EAAEsE,KAAK,IAAItE,EAAEsE,IAAI,OAAOtE,EAAEoM,UAAU,MAAM5tD,MAAM0lC,EAAE,IAAK,CAAC,SAASmoB,GAAGrM,GAAG,OAAOA,EAAE0lB,KAAK,IAAI,CAAC,IAAIG,GAAG,GAAGC,IAAI,EAAE,SAASC,GAAG/lB,GAAG,MAAM,CAAC3mB,QAAQ2mB,EAAE,CACve,SAASgmB,GAAEhmB,GAAG,EAAE8lB,KAAK9lB,EAAE3mB,QAAQwsC,GAAGC,IAAID,GAAGC,IAAI,KAAKA,KAAK,CAAC,SAASG,GAAEjmB,EAAEC,GAAG6lB,KAAKD,GAAGC,IAAI9lB,EAAE3mB,QAAQ2mB,EAAE3mB,QAAQ4mB,CAAC,CAAC,IAAIimB,GAAG,CAAC,EAAEC,GAAEJ,GAAGG,IAAIE,GAAGL,IAAG,GAAIM,GAAGH,GAAG,SAASI,GAAGtmB,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAE7jD,KAAKoqE,aAAa,IAAI/sD,EAAE,OAAO0sD,GAAG,IAAIllB,EAAEhB,EAAEoM,UAAU,GAAGpL,GAAGA,EAAEwlB,8CAA8CvmB,EAAE,OAAOe,EAAEylB,0CAA0C,IAASvlB,EAALD,EAAE,CAAC,EAAI,IAAIC,KAAK1nC,EAAEynC,EAAEC,GAAGjB,EAAEiB,GAAoH,OAAjHF,KAAIhB,EAAEA,EAAEoM,WAAYoa,4CAA4CvmB,EAAED,EAAEymB,0CAA0CxlB,GAAUA,CAAC,CAC9d,SAASylB,GAAG1mB,GAAyB,OAAO,QAA7BA,EAAEA,EAAE2mB,yBAAmC,IAAS3mB,CAAC,CAAC,SAAS4mB,KAAKZ,GAAEI,IAAIJ,GAAEG,GAAE,CAAC,SAASU,GAAG7mB,EAAEC,EAAEzmC,GAAG,GAAG2sD,GAAE9sC,UAAU6sC,GAAG,MAAM1nE,MAAM0lC,EAAE,MAAM+hC,GAAEE,GAAElmB,GAAGgmB,GAAEG,GAAG5sD,EAAE,CAAC,SAASstD,GAAG9mB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAEoM,UAAgC,GAAtBnM,EAAEA,EAAE0mB,kBAAqB,oBAAoB3lB,EAAE+lB,gBAAgB,OAAOvtD,EAAwB,IAAI,IAAIynC,KAA9BD,EAAEA,EAAE+lB,kBAAiC,KAAK9lB,KAAKhB,GAAG,MAAMzhD,MAAM0lC,EAAE,IAAI2gB,EAAG7E,IAAI,UAAUiB,IAAI,OAAOxxB,EAAE,CAAC,EAAEjW,EAAEwnC,EAAE,CACxX,SAASgmB,GAAGhnB,GAA2G,OAAxGA,GAAGA,EAAEA,EAAEoM,YAAYpM,EAAEinB,2CAA2Cf,GAAGG,GAAGF,GAAE9sC,QAAQ4sC,GAAEE,GAAEnmB,GAAGimB,GAAEG,GAAGA,GAAG/sC,UAAe,CAAE,CAAC,SAAS6tC,GAAGlnB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAEoM,UAAU,IAAIpL,EAAE,MAAMxiD,MAAM0lC,EAAE,MAAM1qB,GAAGwmC,EAAE8mB,GAAG9mB,EAAEC,EAAEomB,IAAIrlB,EAAEimB,0CAA0CjnB,EAAEgmB,GAAEI,IAAIJ,GAAEG,IAAGF,GAAEE,GAAEnmB,IAAIgmB,GAAEI,IAAIH,GAAEG,GAAG5sD,EAAE,CAAC,IAAI2tD,GAAG,KAAKC,IAAG,EAAGC,IAAG,EAAG,SAASC,GAAGtnB,GAAG,OAAOmnB,GAAGA,GAAG,CAACnnB,GAAGmnB,GAAGjrE,KAAK8jD,EAAE,CAChW,SAASunB,KAAK,IAAIF,IAAI,OAAOF,GAAG,CAACE,IAAG,EAAG,IAAIrnB,EAAE,EAAEC,EAAEgR,GAAE,IAAI,IAAIz3C,EAAE2tD,GAAG,IAAIlW,GAAE,EAAEjR,EAAExmC,EAAEte,OAAO8kD,IAAI,CAAC,IAAIgB,EAAExnC,EAAEwmC,GAAG,GAAGgB,EAAEA,GAAE,SAAU,OAAOA,EAAE,CAACmmB,GAAG,KAAKC,IAAG,CAAE,CAAC,MAAMnmB,GAAG,MAAM,OAAOkmB,KAAKA,GAAGA,GAAGrrE,MAAMkkD,EAAE,IAAIoO,GAAGW,GAAGwY,IAAItmB,CAAE,CAAC,QAAQgQ,GAAEhR,EAAEonB,IAAG,CAAE,CAAC,CAAC,OAAO,IAAI,CAAC,IAAIG,GAAG,GAAGC,GAAG,EAAEC,GAAG,KAAKC,GAAG,EAAEC,GAAG,GAAGC,GAAG,EAAEC,GAAG,KAAKC,GAAG,EAAEC,GAAG,GAAG,SAASC,GAAGjoB,EAAEC,GAAGunB,GAAGC,MAAME,GAAGH,GAAGC,MAAMC,GAAGA,GAAG1nB,EAAE2nB,GAAG1nB,CAAC,CACjV,SAASioB,GAAGloB,EAAEC,EAAEzmC,GAAGouD,GAAGC,MAAME,GAAGH,GAAGC,MAAMG,GAAGJ,GAAGC,MAAMC,GAAGA,GAAG9nB,EAAE,IAAIgB,EAAE+mB,GAAG/nB,EAAEgoB,GAAG,IAAI/mB,EAAE,GAAG0O,GAAG3O,GAAG,EAAEA,KAAK,GAAGC,GAAGznC,GAAG,EAAE,IAAI0nC,EAAE,GAAGyO,GAAG1P,GAAGgB,EAAE,GAAG,GAAGC,EAAE,CAAC,IAAIC,EAAEF,EAAEA,EAAE,EAAEC,GAAGF,GAAG,GAAGG,GAAG,GAAGloD,SAAS,IAAI+nD,IAAIG,EAAEF,GAAGE,EAAE4mB,GAAG,GAAG,GAAGpY,GAAG1P,GAAGgB,EAAEznC,GAAGynC,EAAED,EAAEgnB,GAAG9mB,EAAElB,CAAC,MAAM+nB,GAAG,GAAG7mB,EAAE1nC,GAAGynC,EAAED,EAAEgnB,GAAGhoB,CAAC,CAAC,SAASmoB,GAAGnoB,GAAG,OAAOA,EAAE0N,SAASua,GAAGjoB,EAAE,GAAGkoB,GAAGloB,EAAE,EAAE,GAAG,CAAC,SAASooB,GAAGpoB,GAAG,KAAKA,IAAI0nB,IAAIA,GAAGF,KAAKC,IAAID,GAAGC,IAAI,KAAKE,GAAGH,KAAKC,IAAID,GAAGC,IAAI,KAAK,KAAKznB,IAAI8nB,IAAIA,GAAGF,KAAKC,IAAID,GAAGC,IAAI,KAAKG,GAAGJ,KAAKC,IAAID,GAAGC,IAAI,KAAKE,GAAGH,KAAKC,IAAID,GAAGC,IAAI,IAAI,CAAC,IAAIQ,GAAG,KAAKC,GAAG,KAAKz8C,IAAE,EAAG08C,GAAG,KACje,SAASC,GAAGxoB,EAAEC,GAAG,IAAIzmC,EAAEivD,GAAG,EAAE,KAAK,KAAK,GAAGjvD,EAAE8lC,YAAY,UAAU9lC,EAAE4yC,UAAUnM,EAAEzmC,EAAEk0C,OAAO1N,EAAgB,QAAdC,EAAED,EAAE0oB,YAAoB1oB,EAAE0oB,UAAU,CAAClvD,GAAGwmC,EAAE2N,OAAO,IAAI1N,EAAE/jD,KAAKsd,EAAE,CACxJ,SAASmvD,GAAG3oB,EAAEC,GAAG,OAAOD,EAAEsE,KAAK,KAAK,EAAE,IAAI9qC,EAAEwmC,EAAE7jD,KAAyE,OAAO,QAA3E8jD,EAAE,IAAIA,EAAE0H,UAAUnuC,EAAEtc,gBAAgB+iD,EAAE5D,SAASn/C,cAAc,KAAK+iD,KAAmBD,EAAEoM,UAAUnM,EAAEooB,GAAGroB,EAAEsoB,GAAGjD,GAAGplB,EAAEoH,aAAY,GAAO,KAAK,EAAE,OAAoD,QAA7CpH,EAAE,KAAKD,EAAE4oB,cAAc,IAAI3oB,EAAE0H,SAAS,KAAK1H,KAAYD,EAAEoM,UAAUnM,EAAEooB,GAAGroB,EAAEsoB,GAAG,MAAK,GAAO,KAAK,GAAG,OAA+B,QAAxBroB,EAAE,IAAIA,EAAE0H,SAAS,KAAK1H,KAAYzmC,EAAE,OAAOsuD,GAAG,CAAC9rE,GAAG+rE,GAAGc,SAASb,IAAI,KAAKhoB,EAAE6N,cAAc,CAACC,WAAW7N,EAAE6oB,YAAYtvD,EAAEuvD,UAAU,aAAYvvD,EAAEivD,GAAG,GAAG,KAAK,KAAK,IAAKrc,UAAUnM,EAAEzmC,EAAEk0C,OAAO1N,EAAEA,EAAEvP,MAAMj3B,EAAE6uD,GAAGroB,EAAEsoB,GAClf,MAAK,GAAO,QAAQ,OAAM,EAAG,CAAC,SAASU,GAAGhpB,GAAG,OAAO,KAAY,EAAPA,EAAE7b,OAAS,KAAa,IAAR6b,EAAE2N,MAAU,CAAC,SAASsb,GAAGjpB,GAAG,GAAGn0B,GAAE,CAAC,IAAIo0B,EAAEqoB,GAAG,GAAGroB,EAAE,CAAC,IAAIzmC,EAAEymC,EAAE,IAAI0oB,GAAG3oB,EAAEC,GAAG,CAAC,GAAG+oB,GAAGhpB,GAAG,MAAMxhD,MAAM0lC,EAAE,MAAM+b,EAAEolB,GAAG7rD,EAAE8kD,aAAa,IAAItd,EAAEqnB,GAAGpoB,GAAG0oB,GAAG3oB,EAAEC,GAAGuoB,GAAGxnB,EAAExnC,IAAIwmC,EAAE2N,OAAe,KAAT3N,EAAE2N,MAAY,EAAE9hC,IAAE,EAAGw8C,GAAGroB,EAAE,CAAC,KAAK,CAAC,GAAGgpB,GAAGhpB,GAAG,MAAMxhD,MAAM0lC,EAAE,MAAM8b,EAAE2N,OAAe,KAAT3N,EAAE2N,MAAY,EAAE9hC,IAAE,EAAGw8C,GAAGroB,CAAC,CAAC,CAAC,CAAC,SAASkpB,GAAGlpB,GAAG,IAAIA,EAAEA,EAAE0N,OAAO,OAAO1N,GAAG,IAAIA,EAAEsE,KAAK,IAAItE,EAAEsE,KAAK,KAAKtE,EAAEsE,KAAKtE,EAAEA,EAAE0N,OAAO2a,GAAGroB,CAAC,CACha,SAASmpB,GAAGnpB,GAAG,GAAGA,IAAIqoB,GAAG,OAAM,EAAG,IAAIx8C,GAAE,OAAOq9C,GAAGlpB,GAAGn0B,IAAE,GAAG,EAAG,IAAIo0B,EAAkG,IAA/FA,EAAE,IAAID,EAAEsE,QAAQrE,EAAE,IAAID,EAAEsE,OAAgBrE,EAAE,UAAXA,EAAED,EAAE7jD,OAAmB,SAAS8jD,IAAIqkB,GAAGtkB,EAAE7jD,KAAK6jD,EAAEopB,gBAAmBnpB,IAAIA,EAAEqoB,IAAI,CAAC,GAAGU,GAAGhpB,GAAG,MAAMqpB,KAAK7qE,MAAM0lC,EAAE,MAAM,KAAK+b,GAAGuoB,GAAGxoB,EAAEC,GAAGA,EAAEolB,GAAGplB,EAAEqe,YAAY,CAAO,GAAN4K,GAAGlpB,GAAM,KAAKA,EAAEsE,IAAI,CAAgD,KAA7BtE,EAAE,QAApBA,EAAEA,EAAE6N,eAAyB7N,EAAE8N,WAAW,MAAW,MAAMtvD,MAAM0lC,EAAE,MAAM8b,EAAE,CAAiB,IAAhBA,EAAEA,EAAEse,YAAgBre,EAAE,EAAED,GAAG,CAAC,GAAG,IAAIA,EAAE2H,SAAS,CAAC,IAAInuC,EAAEwmC,EAAEngD,KAAK,GAAG,OAAO2Z,EAAE,CAAC,GAAG,IAAIymC,EAAE,CAACqoB,GAAGjD,GAAGrlB,EAAEse,aAAa,MAAMte,CAAC,CAACC,GAAG,KAAK,MAAMzmC,GAAG,OAAOA,GAAG,OAAOA,GAAGymC,GAAG,CAACD,EAAEA,EAAEse,WAAW,CAACgK,GACjgB,IAAI,CAAC,MAAMA,GAAGD,GAAGhD,GAAGrlB,EAAEoM,UAAUkS,aAAa,KAAK,OAAM,CAAE,CAAC,SAAS+K,KAAK,IAAI,IAAIrpB,EAAEsoB,GAAGtoB,GAAGA,EAAEqlB,GAAGrlB,EAAEse,YAAY,CAAC,SAASgL,KAAKhB,GAAGD,GAAG,KAAKx8C,IAAE,CAAE,CAAC,SAAS09C,GAAGvpB,GAAG,OAAOuoB,GAAGA,GAAG,CAACvoB,GAAGuoB,GAAGrsE,KAAK8jD,EAAE,CAAC,IAAIwpB,GAAGnnB,EAAGmR,wBAChM,SAASiW,GAAGzpB,EAAEC,EAAEzmC,GAAW,GAAG,QAAXwmC,EAAExmC,EAAEkwD,MAAiB,oBAAoB1pB,GAAG,kBAAkBA,EAAE,CAAC,GAAGxmC,EAAEmwD,OAAO,CAAY,GAAXnwD,EAAEA,EAAEmwD,OAAY,CAAC,GAAG,IAAInwD,EAAE8qC,IAAI,MAAM9lD,MAAM0lC,EAAE,MAAM,IAAI8c,EAAExnC,EAAE4yC,SAAS,CAAC,IAAIpL,EAAE,MAAMxiD,MAAM0lC,EAAE,IAAI8b,IAAI,IAAIiB,EAAED,EAAEE,EAAE,GAAGlB,EAAE,OAAG,OAAOC,GAAG,OAAOA,EAAEypB,KAAK,oBAAoBzpB,EAAEypB,KAAKzpB,EAAEypB,IAAIE,aAAa1oB,EAASjB,EAAEypB,KAAIzpB,EAAE,SAASD,GAAG,IAAIC,EAAEgB,EAAE4oB,KAAK,OAAO7pB,SAASC,EAAEiB,GAAGjB,EAAEiB,GAAGlB,CAAC,EAAEC,EAAE2pB,WAAW1oB,EAASjB,EAAC,CAAC,GAAG,kBAAkBD,EAAE,MAAMxhD,MAAM0lC,EAAE,MAAM,IAAI1qB,EAAEmwD,OAAO,MAAMnrE,MAAM0lC,EAAE,IAAI8b,GAAI,CAAC,OAAOA,CAAC,CAC/c,SAAS8pB,GAAG9pB,EAAEC,GAAuC,MAApCD,EAAEnnD,OAAOC,UAAUG,SAASO,KAAKymD,GAASzhD,MAAM0lC,EAAE,GAAG,oBAAoB8b,EAAE,qBAAqBnnD,OAAOslC,KAAK8hB,GAAG/hD,KAAK,MAAM,IAAI8hD,GAAI,CAAC,SAAS+pB,GAAG/pB,GAAiB,OAAOC,EAAfD,EAAE4E,OAAe5E,EAAE2E,SAAS,CACrM,SAASqlB,GAAGhqB,GAAG,SAASC,EAAEA,EAAEzmC,GAAG,GAAGwmC,EAAE,CAAC,IAAIgB,EAAEf,EAAEyoB,UAAU,OAAO1nB,GAAGf,EAAEyoB,UAAU,CAAClvD,GAAGymC,EAAE0N,OAAO,IAAI3M,EAAE9kD,KAAKsd,EAAE,CAAC,CAAC,SAASA,EAAEA,EAAEwnC,GAAG,IAAIhB,EAAE,OAAO,KAAK,KAAK,OAAOgB,GAAGf,EAAEzmC,EAAEwnC,GAAGA,EAAEA,EAAEiN,QAAQ,OAAO,IAAI,CAAC,SAASjN,EAAEhB,EAAEC,GAAG,IAAID,EAAE,IAAI8R,IAAI,OAAO7R,GAAG,OAAOA,EAAEtmD,IAAIqmD,EAAE8D,IAAI7D,EAAEtmD,IAAIsmD,GAAGD,EAAE8D,IAAI7D,EAAElkD,MAAMkkD,GAAGA,EAAEA,EAAEgO,QAAQ,OAAOjO,CAAC,CAAC,SAASiB,EAAEjB,EAAEC,GAAsC,OAAnCD,EAAEiqB,GAAGjqB,EAAEC,IAAKlkD,MAAM,EAAEikD,EAAEiO,QAAQ,KAAYjO,CAAC,CAAC,SAASkB,EAAEjB,EAAEzmC,EAAEwnC,GAAa,OAAVf,EAAElkD,MAAMilD,EAAMhB,EAA6C,QAAjBgB,EAAEf,EAAEwN,YAA6BzM,EAAEA,EAAEjlD,OAAQyd,GAAGymC,EAAE0N,OAAO,EAAEn0C,GAAGwnC,GAAEf,EAAE0N,OAAO,EAASn0C,IAArGymC,EAAE0N,OAAO,QAAQn0C,EAAqF,CAAC,SAAS2nC,EAAElB,GACzd,OAD4dD,GAC7f,OAAOC,EAAEwN,YAAYxN,EAAE0N,OAAO,GAAU1N,CAAC,CAAC,SAASiE,EAAElE,EAAEC,EAAEzmC,EAAEwnC,GAAG,OAAG,OAAOf,GAAG,IAAIA,EAAEqE,MAAWrE,EAAEiqB,GAAG1wD,EAAEwmC,EAAE7b,KAAK6c,IAAK0M,OAAO1N,EAAEC,KAAEA,EAAEgB,EAAEhB,EAAEzmC,IAAKk0C,OAAO1N,EAASC,EAAC,CAAC,SAASkE,EAAEnE,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIE,EAAE1nC,EAAErd,KAAK,OAAG+kD,IAAIyB,EAAUrpC,EAAE0mC,EAAEC,EAAEzmC,EAAE/d,MAAMa,SAAS0kD,EAAExnC,EAAE7f,KAAQ,OAAOsmD,IAAIA,EAAEX,cAAc4B,GAAG,kBAAkBA,GAAG,OAAOA,GAAGA,EAAEuD,WAAWrB,GAAI2mB,GAAG7oB,KAAKjB,EAAE9jD,QAAa6kD,EAAEC,EAAEhB,EAAEzmC,EAAE/d,QAASiuE,IAAID,GAAGzpB,EAAEC,EAAEzmC,GAAGwnC,EAAE0M,OAAO1N,EAAEgB,KAAEA,EAAEmpB,GAAG3wD,EAAErd,KAAKqd,EAAE7f,IAAI6f,EAAE/d,MAAM,KAAKukD,EAAE7b,KAAK6c,IAAK0oB,IAAID,GAAGzpB,EAAEC,EAAEzmC,GAAGwnC,EAAE0M,OAAO1N,EAASgB,EAAC,CAAC,SAASiD,EAAEjE,EAAEC,EAAEzmC,EAAEwnC,GAAG,OAAG,OAAOf,GAAG,IAAIA,EAAEqE,KACjfrE,EAAEmM,UAAU2G,gBAAgBv5C,EAAEu5C,eAAe9S,EAAEmM,UAAUge,iBAAiB5wD,EAAE4wD,iBAAsBnqB,EAAEoqB,GAAG7wD,EAAEwmC,EAAE7b,KAAK6c,IAAK0M,OAAO1N,EAAEC,KAAEA,EAAEgB,EAAEhB,EAAEzmC,EAAEld,UAAU,KAAMoxD,OAAO1N,EAASC,EAAC,CAAC,SAAS3mC,EAAE0mC,EAAEC,EAAEzmC,EAAEwnC,EAAEE,GAAG,OAAG,OAAOjB,GAAG,IAAIA,EAAEqE,MAAWrE,EAAEqqB,GAAG9wD,EAAEwmC,EAAE7b,KAAK6c,EAAEE,IAAKwM,OAAO1N,EAAEC,KAAEA,EAAEgB,EAAEhB,EAAEzmC,IAAKk0C,OAAO1N,EAASC,EAAC,CAAC,SAASsqB,EAAEvqB,EAAEC,EAAEzmC,GAAG,GAAG,kBAAkBymC,GAAG,KAAKA,GAAG,kBAAkBA,EAAE,OAAOA,EAAEiqB,GAAG,GAAGjqB,EAAED,EAAE7b,KAAK3qB,IAAKk0C,OAAO1N,EAAEC,EAAE,GAAG,kBAAkBA,GAAG,OAAOA,EAAE,CAAC,OAAOA,EAAEwE,UAAU,KAAKlC,EAAG,OAAO/oC,EAAE2wD,GAAGlqB,EAAE9jD,KAAK8jD,EAAEtmD,IAAIsmD,EAAExkD,MAAM,KAAKukD,EAAE7b,KAAK3qB,IACjfkwD,IAAID,GAAGzpB,EAAE,KAAKC,GAAGzmC,EAAEk0C,OAAO1N,EAAExmC,EAAE,KAAKkpC,EAAG,OAAOzC,EAAEoqB,GAAGpqB,EAAED,EAAE7b,KAAK3qB,IAAKk0C,OAAO1N,EAAEC,EAAE,KAAKmD,EAAiB,OAAOmnB,EAAEvqB,GAAEgB,EAAnBf,EAAE2E,OAAmB3E,EAAE0E,UAAUnrC,GAAG,GAAGgtC,GAAGvG,IAAIuD,EAAGvD,GAAG,OAAOA,EAAEqqB,GAAGrqB,EAAED,EAAE7b,KAAK3qB,EAAE,OAAQk0C,OAAO1N,EAAEC,EAAE6pB,GAAG9pB,EAAEC,EAAE,CAAC,OAAO,IAAI,CAAC,SAASuqB,EAAExqB,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAE,OAAOhB,EAAEA,EAAEtmD,IAAI,KAAK,GAAG,kBAAkB6f,GAAG,KAAKA,GAAG,kBAAkBA,EAAE,OAAO,OAAOynC,EAAE,KAAKiD,EAAElE,EAAEC,EAAE,GAAGzmC,EAAEwnC,GAAG,GAAG,kBAAkBxnC,GAAG,OAAOA,EAAE,CAAC,OAAOA,EAAEirC,UAAU,KAAKlC,EAAG,OAAO/oC,EAAE7f,MAAMsnD,EAAEkD,EAAEnE,EAAEC,EAAEzmC,EAAEwnC,GAAG,KAAK,KAAK0B,EAAG,OAAOlpC,EAAE7f,MAAMsnD,EAAEgD,EAAEjE,EAAEC,EAAEzmC,EAAEwnC,GAAG,KAAK,KAAKoC,EAAG,OAAiBonB,EAAExqB,EACpfC,GADwegB,EAAEznC,EAAEorC,OACxeprC,EAAEmrC,UAAU3D,GAAG,GAAGwF,GAAGhtC,IAAIgqC,EAAGhqC,GAAG,OAAO,OAAOynC,EAAE,KAAK3nC,EAAE0mC,EAAEC,EAAEzmC,EAAEwnC,EAAE,MAAM8oB,GAAG9pB,EAAExmC,EAAE,CAAC,OAAO,IAAI,CAAC,SAASixD,EAAEzqB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,GAAG,kBAAkBD,GAAG,KAAKA,GAAG,kBAAkBA,EAAE,OAAwBkD,EAAEjE,EAAnBD,EAAEA,EAAEkF,IAAI1rC,IAAI,KAAW,GAAGwnC,EAAEC,GAAG,GAAG,kBAAkBD,GAAG,OAAOA,EAAE,CAAC,OAAOA,EAAEyD,UAAU,KAAKlC,EAAG,OAA2C4B,EAAElE,EAAtCD,EAAEA,EAAEkF,IAAI,OAAOlE,EAAErnD,IAAI6f,EAAEwnC,EAAErnD,MAAM,KAAWqnD,EAAEC,GAAG,KAAKyB,EAAG,OAA2CuB,EAAEhE,EAAtCD,EAAEA,EAAEkF,IAAI,OAAOlE,EAAErnD,IAAI6f,EAAEwnC,EAAErnD,MAAM,KAAWqnD,EAAEC,GAAG,KAAKmC,EAAiB,OAAOqnB,EAAEzqB,EAAEC,EAAEzmC,GAAE0nC,EAAvBF,EAAE4D,OAAuB5D,EAAE2D,UAAU1D,GAAG,GAAGuF,GAAGxF,IAAIwC,EAAGxC,GAAG,OAAwB1nC,EAAE2mC,EAAnBD,EAAEA,EAAEkF,IAAI1rC,IAAI,KAAWwnC,EAAEC,EAAE,MAAM6oB,GAAG7pB,EAAEe,EAAE,CAAC,OAAO,IAAI,CAC9f,SAAS6hB,EAAE5hB,EAAEE,EAAE+C,EAAEC,GAAG,IAAI,IAAIF,EAAE,KAAK3qC,EAAE,KAAK2pD,EAAE9hB,EAAE+hB,EAAE/hB,EAAE,EAAE6hB,EAAE,KAAK,OAAOC,GAAGC,EAAEhf,EAAEhpD,OAAOgoE,IAAI,CAACD,EAAElnE,MAAMmnE,GAAGF,EAAEC,EAAEA,EAAE,MAAMD,EAAEC,EAAEhV,QAAQ,IAAI4U,EAAE2H,EAAEvpB,EAAEgiB,EAAE/e,EAAEgf,GAAG/e,GAAG,GAAG,OAAO0e,EAAE,CAAC,OAAOI,IAAIA,EAAED,GAAG,KAAK,CAAChjB,GAAGijB,GAAG,OAAOJ,EAAEpV,WAAWxN,EAAEgB,EAAEgiB,GAAG9hB,EAAED,EAAE2hB,EAAE1hB,EAAE+hB,GAAG,OAAO5pD,EAAE2qC,EAAE4e,EAAEvpD,EAAE20C,QAAQ4U,EAAEvpD,EAAEupD,EAAEI,EAAED,CAAC,CAAC,GAAGE,IAAIhf,EAAEhpD,OAAO,OAAOse,EAAEynC,EAAEgiB,GAAGp3C,IAAGo8C,GAAGhnB,EAAEiiB,GAAGjf,EAAE,GAAG,OAAOgf,EAAE,CAAC,KAAKC,EAAEhf,EAAEhpD,OAAOgoE,IAAkB,QAAdD,EAAEsH,EAAEtpB,EAAEiD,EAAEgf,GAAG/e,MAAchD,EAAED,EAAE+hB,EAAE9hB,EAAE+hB,GAAG,OAAO5pD,EAAE2qC,EAAEgf,EAAE3pD,EAAE20C,QAAQgV,EAAE3pD,EAAE2pD,GAAc,OAAXp3C,IAAGo8C,GAAGhnB,EAAEiiB,GAAUjf,CAAC,CAAC,IAAIgf,EAAEjiB,EAAEC,EAAEgiB,GAAGC,EAAEhf,EAAEhpD,OAAOgoE,IAAsB,QAAlBF,EAAEyH,EAAExH,EAAEhiB,EAAEiiB,EAAEhf,EAAEgf,GAAG/e,MAAcnE,GAAG,OAAOgjB,EAAEvV,WAAWwV,EAAE9Q,OAAO,OACvf6Q,EAAErpE,IAAIupE,EAAEF,EAAErpE,KAAKwnD,EAAED,EAAE8hB,EAAE7hB,EAAE+hB,GAAG,OAAO5pD,EAAE2qC,EAAE+e,EAAE1pD,EAAE20C,QAAQ+U,EAAE1pD,EAAE0pD,GAAuD,OAApDhjB,GAAGijB,EAAE5kC,SAAQ,SAAS2hB,GAAG,OAAOC,EAAEgB,EAAEjB,EAAE,IAAGn0B,IAAGo8C,GAAGhnB,EAAEiiB,GAAUjf,CAAC,CAAC,SAAS6e,EAAE7hB,EAAEE,EAAE+C,EAAEC,GAAG,IAAIF,EAAET,EAAGU,GAAG,GAAG,oBAAoBD,EAAE,MAAMzlD,MAAM0lC,EAAE,MAAkB,GAAG,OAAfggB,EAAED,EAAEzqD,KAAK0qD,IAAc,MAAM1lD,MAAM0lC,EAAE,MAAM,IAAI,IAAI++B,EAAEhf,EAAE,KAAK3qC,EAAE6nC,EAAE+hB,EAAE/hB,EAAE,EAAE6hB,EAAE,KAAKH,EAAE3e,EAAEpoC,OAAO,OAAOxC,IAAIupD,EAAE6H,KAAKxH,IAAIL,EAAE3e,EAAEpoC,OAAO,CAACxC,EAAEvd,MAAMmnE,GAAGF,EAAE1pD,EAAEA,EAAE,MAAM0pD,EAAE1pD,EAAE20C,QAAQ,IAAI6U,EAAE0H,EAAEvpB,EAAE3nC,EAAEupD,EAAExoE,MAAM8pD,GAAG,GAAG,OAAO2e,EAAE,CAAC,OAAOxpD,IAAIA,EAAE0pD,GAAG,KAAK,CAAChjB,GAAG1mC,GAAG,OAAOwpD,EAAErV,WAAWxN,EAAEgB,EAAE3nC,GAAG6nC,EAAED,EAAE4hB,EAAE3hB,EAAE+hB,GAAG,OAAOD,EAAEhf,EAAE6e,EAAEG,EAAEhV,QAAQ6U,EAAEG,EAAEH,EAAExpD,EAAE0pD,CAAC,CAAC,GAAGH,EAAE6H,KAAK,OAAOlxD,EAAEynC,EACzf3nC,GAAGuS,IAAGo8C,GAAGhnB,EAAEiiB,GAAGjf,EAAE,GAAG,OAAO3qC,EAAE,CAAC,MAAMupD,EAAE6H,KAAKxH,IAAIL,EAAE3e,EAAEpoC,OAAwB,QAAjB+mD,EAAE0H,EAAEtpB,EAAE4hB,EAAExoE,MAAM8pD,MAAchD,EAAED,EAAE2hB,EAAE1hB,EAAE+hB,GAAG,OAAOD,EAAEhf,EAAE4e,EAAEI,EAAEhV,QAAQ4U,EAAEI,EAAEJ,GAAc,OAAXh3C,IAAGo8C,GAAGhnB,EAAEiiB,GAAUjf,CAAC,CAAC,IAAI3qC,EAAE0nC,EAAEC,EAAE3nC,IAAIupD,EAAE6H,KAAKxH,IAAIL,EAAE3e,EAAEpoC,OAA4B,QAArB+mD,EAAE4H,EAAEnxD,EAAE2nC,EAAEiiB,EAAEL,EAAExoE,MAAM8pD,MAAcnE,GAAG,OAAO6iB,EAAEpV,WAAWn0C,EAAE64C,OAAO,OAAO0Q,EAAElpE,IAAIupE,EAAEL,EAAElpE,KAAKwnD,EAAED,EAAE2hB,EAAE1hB,EAAE+hB,GAAG,OAAOD,EAAEhf,EAAE4e,EAAEI,EAAEhV,QAAQ4U,EAAEI,EAAEJ,GAAuD,OAApD7iB,GAAG1mC,EAAE+kB,SAAQ,SAAS2hB,GAAG,OAAOC,EAAEgB,EAAEjB,EAAE,IAAGn0B,IAAGo8C,GAAGhnB,EAAEiiB,GAAUjf,CAAC,CAG3T,OAH4T,SAAS8e,EAAE/iB,EAAEgB,EAAEE,EAAEgD,GAAkF,GAA/E,kBAAkBhD,GAAG,OAAOA,GAAGA,EAAE/kD,OAAOwmD,GAAI,OAAOzB,EAAEvnD,MAAMunD,EAAEA,EAAEzlD,MAAMa,UAAa,kBAAkB4kD,GAAG,OAAOA,EAAE,CAAC,OAAOA,EAAEuD,UAAU,KAAKlC,EAAGvC,EAAE,CAAC,IAAI,IAAImE,EAC7hBjD,EAAEvnD,IAAIsqD,EAAEjD,EAAE,OAAOiD,GAAG,CAAC,GAAGA,EAAEtqD,MAAMwqD,EAAE,CAAU,IAATA,EAAEjD,EAAE/kD,QAAYwmD,GAAI,GAAG,IAAIsB,EAAEK,IAAI,CAAC9qC,EAAEwmC,EAAEiE,EAAEgK,UAASjN,EAAEC,EAAEgD,EAAE/C,EAAEzlD,MAAMa,WAAYoxD,OAAO1N,EAAEA,EAAEgB,EAAE,MAAMhB,CAAC,OAAO,GAAGiE,EAAE3E,cAAc6E,GAAG,kBAAkBA,GAAG,OAAOA,GAAGA,EAAEM,WAAWrB,GAAI2mB,GAAG5lB,KAAKF,EAAE9nD,KAAK,CAACqd,EAAEwmC,EAAEiE,EAAEgK,UAASjN,EAAEC,EAAEgD,EAAE/C,EAAEzlD,QAASiuE,IAAID,GAAGzpB,EAAEiE,EAAE/C,GAAGF,EAAE0M,OAAO1N,EAAEA,EAAEgB,EAAE,MAAMhB,CAAC,CAACxmC,EAAEwmC,EAAEiE,GAAG,KAAK,CAAMhE,EAAED,EAAEiE,GAAGA,EAAEA,EAAEgK,OAAO,CAAC/M,EAAE/kD,OAAOwmD,IAAI3B,EAAEspB,GAAGppB,EAAEzlD,MAAMa,SAAS0jD,EAAE7b,KAAK+f,EAAEhD,EAAEvnD,MAAO+zD,OAAO1N,EAAEA,EAAEgB,KAAIkD,EAAEimB,GAAGjpB,EAAE/kD,KAAK+kD,EAAEvnD,IAAIunD,EAAEzlD,MAAM,KAAKukD,EAAE7b,KAAK+f,IAAKwlB,IAAID,GAAGzpB,EAAEgB,EAAEE,GAAGgD,EAAEwJ,OAAO1N,EAAEA,EAAEkE,EAAE,CAAC,OAAO/C,EAAEnB,GAAG,KAAK0C,EAAG1C,EAAE,CAAC,IAAIiE,EAAE/C,EAAEvnD,IAAI,OACzfqnD,GAAG,CAAC,GAAGA,EAAErnD,MAAMsqD,EAAC,CAAC,GAAG,IAAIjD,EAAEsD,KAAKtD,EAAEoL,UAAU2G,gBAAgB7R,EAAE6R,eAAe/R,EAAEoL,UAAUge,iBAAiBlpB,EAAEkpB,eAAe,CAAC5wD,EAAEwmC,EAAEgB,EAAEiN,UAASjN,EAAEC,EAAED,EAAEE,EAAE5kD,UAAU,KAAMoxD,OAAO1N,EAAEA,EAAEgB,EAAE,MAAMhB,CAAC,CAAMxmC,EAAEwmC,EAAEgB,GAAG,KAAM,CAAKf,EAAED,EAAEgB,GAAGA,EAAEA,EAAEiN,OAAO,EAACjN,EAAEqpB,GAAGnpB,EAAElB,EAAE7b,KAAK+f,IAAKwJ,OAAO1N,EAAEA,EAAEgB,CAAC,CAAC,OAAOG,EAAEnB,GAAG,KAAKoD,EAAG,OAAiB2f,EAAE/iB,EAAEgB,GAAdiD,EAAE/C,EAAE0D,OAAc1D,EAAEyD,UAAUT,GAAG,GAAGsC,GAAGtF,GAAG,OAAO2hB,EAAE7iB,EAAEgB,EAAEE,EAAEgD,GAAG,GAAGV,EAAGtC,GAAG,OAAO4hB,EAAE9iB,EAAEgB,EAAEE,EAAEgD,GAAG4lB,GAAG9pB,EAAEkB,EAAE,CAAC,MAAM,kBAAkBA,GAAG,KAAKA,GAAG,kBAAkBA,GAAGA,EAAE,GAAGA,EAAE,OAAOF,GAAG,IAAIA,EAAEsD,KAAK9qC,EAAEwmC,EAAEgB,EAAEiN,UAASjN,EAAEC,EAAED,EAAEE,IAAKwM,OAAO1N,EAAEA,EAAEgB,IACnfxnC,EAAEwmC,EAAEgB,IAAGA,EAAEkpB,GAAGhpB,EAAElB,EAAE7b,KAAK+f,IAAKwJ,OAAO1N,EAAEA,EAAEgB,GAAGG,EAAEnB,IAAIxmC,EAAEwmC,EAAEgB,EAAE,CAAS,CAAC,IAAI2pB,GAAGX,IAAG,GAAIY,GAAGZ,IAAG,GAAIa,GAAG9E,GAAG,MAAM+E,GAAG,KAAKC,GAAG,KAAKC,GAAG,KAAK,SAASC,KAAKD,GAAGD,GAAGD,GAAG,IAAI,CAAC,SAASI,GAAGlrB,GAAG,IAAIC,EAAE4qB,GAAGxxC,QAAQ2sC,GAAE6E,IAAI7qB,EAAEmrB,cAAclrB,CAAC,CAAC,SAASmrB,GAAGprB,EAAEC,EAAEzmC,GAAG,KAAK,OAAOwmC,GAAG,CAAC,IAAIgB,EAAEhB,EAAEyN,UAA+H,IAApHzN,EAAEqrB,WAAWprB,KAAKA,GAAGD,EAAEqrB,YAAYprB,EAAE,OAAOe,IAAIA,EAAEqqB,YAAYprB,IAAI,OAAOe,IAAIA,EAAEqqB,WAAWprB,KAAKA,IAAIe,EAAEqqB,YAAYprB,GAAMD,IAAIxmC,EAAE,MAAMwmC,EAAEA,EAAE0N,MAAM,CAAC,CACnZ,SAASlwB,GAAGwiB,EAAEC,GAAG6qB,GAAG9qB,EAAEgrB,GAAGD,GAAG,KAAsB,QAAjB/qB,EAAEA,EAAEsrB,eAAuB,OAAOtrB,EAAEurB,eAAe,KAAKvrB,EAAEwrB,MAAMvrB,KAAKwrB,IAAG,GAAIzrB,EAAEurB,aAAa,KAAK,CAAC,SAASG,GAAG1rB,GAAG,IAAIC,EAAED,EAAEmrB,cAAc,GAAGH,KAAKhrB,EAAE,GAAGA,EAAE,CAAC2rB,QAAQ3rB,EAAE4rB,cAAc3rB,EAAEnkC,KAAK,MAAM,OAAOivD,GAAG,CAAC,GAAG,OAAOD,GAAG,MAAMtsE,MAAM0lC,EAAE,MAAM6mC,GAAG/qB,EAAE8qB,GAAGQ,aAAa,CAACE,MAAM,EAAED,aAAavrB,EAAE,MAAM+qB,GAAGA,GAAGjvD,KAAKkkC,EAAE,OAAOC,CAAC,CAAC,IAAI4rB,GAAG,KAAK,SAASC,GAAG9rB,GAAG,OAAO6rB,GAAGA,GAAG,CAAC7rB,GAAG6rB,GAAG3vE,KAAK8jD,EAAE,CACvY,SAAS+rB,GAAG/rB,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEhB,EAAE+rB,YAA+E,OAAnE,OAAO/qB,GAAGznC,EAAEsC,KAAKtC,EAAEsyD,GAAG7rB,KAAKzmC,EAAEsC,KAAKmlC,EAAEnlC,KAAKmlC,EAAEnlC,KAAKtC,GAAGymC,EAAE+rB,YAAYxyD,EAASyyD,GAAGjsB,EAAEgB,EAAE,CAAC,SAASirB,GAAGjsB,EAAEC,GAAGD,EAAEwrB,OAAOvrB,EAAE,IAAIzmC,EAAEwmC,EAAEyN,UAAqC,IAA3B,OAAOj0C,IAAIA,EAAEgyD,OAAOvrB,GAAGzmC,EAAEwmC,EAAMA,EAAEA,EAAE0N,OAAO,OAAO1N,GAAGA,EAAEqrB,YAAYprB,EAAgB,QAAdzmC,EAAEwmC,EAAEyN,aAAqBj0C,EAAE6xD,YAAYprB,GAAGzmC,EAAEwmC,EAAEA,EAAEA,EAAE0N,OAAO,OAAO,IAAIl0C,EAAE8qC,IAAI9qC,EAAE4yC,UAAU,IAAI,CAAC,IAAI8f,IAAG,EAAG,SAASC,GAAGnsB,GAAGA,EAAEosB,YAAY,CAACC,UAAUrsB,EAAE6N,cAAcye,gBAAgB,KAAKC,eAAe,KAAKC,OAAO,CAACC,QAAQ,KAAKT,YAAY,KAAKR,MAAM,GAAGkB,QAAQ,KAAK,CAC/e,SAASC,GAAG3sB,EAAEC,GAAGD,EAAEA,EAAEosB,YAAYnsB,EAAEmsB,cAAcpsB,IAAIC,EAAEmsB,YAAY,CAACC,UAAUrsB,EAAEqsB,UAAUC,gBAAgBtsB,EAAEssB,gBAAgBC,eAAevsB,EAAEusB,eAAeC,OAAOxsB,EAAEwsB,OAAOE,QAAQ1sB,EAAE0sB,SAAS,CAAC,SAASE,GAAG5sB,EAAEC,GAAG,MAAM,CAAC4sB,UAAU7sB,EAAE8sB,KAAK7sB,EAAEqE,IAAI,EAAEyoB,QAAQ,KAAKC,SAAS,KAAKlxD,KAAK,KAAK,CACtR,SAASmxD,GAAGjtB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAEosB,YAAY,GAAG,OAAOprB,EAAE,OAAO,KAAgB,GAAXA,EAAEA,EAAEwrB,OAAU,KAAO,EAAFU,IAAK,CAAC,IAAIjsB,EAAED,EAAEyrB,QAA+D,OAAvD,OAAOxrB,EAAEhB,EAAEnkC,KAAKmkC,GAAGA,EAAEnkC,KAAKmlC,EAAEnlC,KAAKmlC,EAAEnlC,KAAKmkC,GAAGe,EAAEyrB,QAAQxsB,EAASgsB,GAAGjsB,EAAExmC,EAAE,CAAoF,OAAnE,QAAhBynC,EAAED,EAAEgrB,cAAsB/rB,EAAEnkC,KAAKmkC,EAAE6rB,GAAG9qB,KAAKf,EAAEnkC,KAAKmlC,EAAEnlC,KAAKmlC,EAAEnlC,KAAKmkC,GAAGe,EAAEgrB,YAAY/rB,EAASgsB,GAAGjsB,EAAExmC,EAAE,CAAC,SAAS2zD,GAAGntB,EAAEC,EAAEzmC,GAAmB,GAAG,QAAnBymC,EAAEA,EAAEmsB,eAA0BnsB,EAAEA,EAAEusB,OAAO,KAAO,QAAFhzD,IAAY,CAAC,IAAIwnC,EAAEf,EAAEurB,MAAwBhyD,GAAlBwnC,GAAGhB,EAAEqQ,aAAkBpQ,EAAEurB,MAAMhyD,EAAEw3C,GAAGhR,EAAExmC,EAAE,CAAC,CACrZ,SAAS4zD,GAAGptB,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEosB,YAAYprB,EAAEhB,EAAEyN,UAAU,GAAG,OAAOzM,GAAoBxnC,KAAhBwnC,EAAEA,EAAEorB,aAAmB,CAAC,IAAInrB,EAAE,KAAKC,EAAE,KAAyB,GAAG,QAAvB1nC,EAAEA,EAAE8yD,iBAA4B,CAAC,EAAE,CAAC,IAAInrB,EAAE,CAAC0rB,UAAUrzD,EAAEqzD,UAAUC,KAAKtzD,EAAEszD,KAAKxoB,IAAI9qC,EAAE8qC,IAAIyoB,QAAQvzD,EAAEuzD,QAAQC,SAASxzD,EAAEwzD,SAASlxD,KAAK,MAAM,OAAOolC,EAAED,EAAEC,EAAEC,EAAED,EAAEA,EAAEplC,KAAKqlC,EAAE3nC,EAAEA,EAAEsC,IAAI,OAAO,OAAOtC,GAAG,OAAO0nC,EAAED,EAAEC,EAAEjB,EAAEiB,EAAEA,EAAEplC,KAAKmkC,CAAC,MAAMgB,EAAEC,EAAEjB,EAAiH,OAA/GzmC,EAAE,CAAC6yD,UAAUrrB,EAAEqrB,UAAUC,gBAAgBrrB,EAAEsrB,eAAerrB,EAAEsrB,OAAOxrB,EAAEwrB,OAAOE,QAAQ1rB,EAAE0rB,cAAS1sB,EAAEosB,YAAY5yD,EAAQ,CAAoB,QAAnBwmC,EAAExmC,EAAE+yD,gBAAwB/yD,EAAE8yD,gBAAgBrsB,EAAED,EAAElkC,KACnfmkC,EAAEzmC,EAAE+yD,eAAetsB,CAAC,CACpB,SAASotB,GAAGrtB,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEjB,EAAEosB,YAAYF,IAAG,EAAG,IAAIhrB,EAAED,EAAEqrB,gBAAgBnrB,EAAEF,EAAEsrB,eAAeroB,EAAEjD,EAAEurB,OAAOC,QAAQ,GAAG,OAAOvoB,EAAE,CAACjD,EAAEurB,OAAOC,QAAQ,KAAK,IAAItoB,EAAED,EAAED,EAAEE,EAAEroC,KAAKqoC,EAAEroC,KAAK,KAAK,OAAOqlC,EAAED,EAAE+C,EAAE9C,EAAErlC,KAAKmoC,EAAE9C,EAAEgD,EAAE,IAAI7qC,EAAE0mC,EAAEyN,UAAU,OAAOn0C,KAAoB4qC,GAAhB5qC,EAAEA,EAAE8yD,aAAgBG,kBAAmBprB,IAAI,OAAO+C,EAAE5qC,EAAEgzD,gBAAgBroB,EAAEC,EAAEpoC,KAAKmoC,EAAE3qC,EAAEizD,eAAepoB,GAAG,CAAC,GAAG,OAAOjD,EAAE,CAAC,IAAIqpB,EAAEtpB,EAAEorB,UAA6B,IAAnBlrB,EAAE,EAAE7nC,EAAE2qC,EAAEE,EAAE,KAAKD,EAAEhD,IAAI,CAAC,IAAIspB,EAAEtmB,EAAE4oB,KAAKrC,EAAEvmB,EAAE2oB,UAAU,IAAI7rB,EAAEwpB,KAAKA,EAAE,CAAC,OAAOlxD,IAAIA,EAAEA,EAAEwC,KAAK,CAAC+wD,UAAUpC,EAAEqC,KAAK,EAAExoB,IAAIJ,EAAEI,IAAIyoB,QAAQ7oB,EAAE6oB,QAAQC,SAAS9oB,EAAE8oB,SACvflxD,KAAK,OAAOkkC,EAAE,CAAC,IAAI6iB,EAAE7iB,EAAE8iB,EAAE5e,EAAU,OAARsmB,EAAEvqB,EAAEwqB,EAAEjxD,EAASspD,EAAExe,KAAK,KAAK,EAAc,GAAG,oBAAfue,EAAEC,EAAEiK,SAAiC,CAACxC,EAAE1H,EAAErpE,KAAKixE,EAAEF,EAAEC,GAAG,MAAMxqB,CAAC,CAACuqB,EAAE1H,EAAE,MAAM7iB,EAAE,KAAK,EAAE6iB,EAAElV,OAAe,MAATkV,EAAElV,MAAa,IAAI,KAAK,EAAsD,GAAG,QAA3C6c,EAAE,oBAAd3H,EAAEC,EAAEiK,SAAgClK,EAAErpE,KAAKixE,EAAEF,EAAEC,GAAG3H,SAAe,IAAS2H,EAAE,MAAMxqB,EAAEuqB,EAAE96C,EAAE,CAAC,EAAE86C,EAAEC,GAAG,MAAMxqB,EAAE,KAAK,EAAEksB,IAAG,EAAG,CAAC,OAAOhoB,EAAE8oB,UAAU,IAAI9oB,EAAE4oB,OAAO9sB,EAAE2N,OAAO,GAAe,QAAZ6c,EAAEvpB,EAAEyrB,SAAiBzrB,EAAEyrB,QAAQ,CAACxoB,GAAGsmB,EAAEtuE,KAAKgoD,GAAG,MAAMumB,EAAE,CAACoC,UAAUpC,EAAEqC,KAAKtC,EAAElmB,IAAIJ,EAAEI,IAAIyoB,QAAQ7oB,EAAE6oB,QAAQC,SAAS9oB,EAAE8oB,SAASlxD,KAAK,MAAM,OAAOxC,GAAG2qC,EAAE3qC,EAAEmxD,EAAEtmB,EAAEomB,GAAGjxD,EAAEA,EAAEwC,KAAK2uD,EAAEtpB,GAAGqpB,EAC3e,GAAG,QAAZtmB,EAAEA,EAAEpoC,MAAiB,IAAsB,QAAnBooC,EAAEjD,EAAEurB,OAAOC,SAAiB,MAAevoB,GAAJsmB,EAAEtmB,GAAMpoC,KAAK0uD,EAAE1uD,KAAK,KAAKmlC,EAAEsrB,eAAe/B,EAAEvpB,EAAEurB,OAAOC,QAAQ,IAAI,EAAsG,GAA5F,OAAOnzD,IAAI6qC,EAAEomB,GAAGtpB,EAAEorB,UAAUloB,EAAElD,EAAEqrB,gBAAgBroB,EAAEhD,EAAEsrB,eAAejzD,EAA4B,QAA1B2mC,EAAEgB,EAAEurB,OAAOR,aAAwB,CAAC/qB,EAAEhB,EAAE,GAAGkB,GAAGF,EAAE6rB,KAAK7rB,EAAEA,EAAEnlC,WAAWmlC,IAAIhB,EAAE,MAAM,OAAOiB,IAAID,EAAEurB,OAAOhB,MAAM,GAAG8B,IAAInsB,EAAEnB,EAAEwrB,MAAMrqB,EAAEnB,EAAE6N,cAAc0c,CAAC,CAAC,CAC9V,SAASgD,GAAGvtB,EAAEC,EAAEzmC,GAA8B,GAA3BwmC,EAAEC,EAAEysB,QAAQzsB,EAAEysB,QAAQ,KAAQ,OAAO1sB,EAAE,IAAIC,EAAE,EAAEA,EAAED,EAAE9kD,OAAO+kD,IAAI,CAAC,IAAIe,EAAEhB,EAAEC,GAAGgB,EAAED,EAAEgsB,SAAS,GAAG,OAAO/rB,EAAE,CAAqB,GAApBD,EAAEgsB,SAAS,KAAKhsB,EAAExnC,EAAK,oBAAoBynC,EAAE,MAAMziD,MAAM0lC,EAAE,IAAI+c,IAAIA,EAAEznD,KAAKwnD,EAAE,CAAC,CAAC,CAAC,IAAIwsB,GAAG,CAAC,EAAEC,GAAG1H,GAAGyH,IAAIE,GAAG3H,GAAGyH,IAAIG,GAAG5H,GAAGyH,IAAI,SAASI,GAAG5tB,GAAG,GAAGA,IAAIwtB,GAAG,MAAMhvE,MAAM0lC,EAAE,MAAM,OAAO8b,CAAC,CACnS,SAAS6tB,GAAG7tB,EAAEC,GAAyC,OAAtCgmB,GAAE0H,GAAG1tB,GAAGgmB,GAAEyH,GAAG1tB,GAAGimB,GAAEwH,GAAGD,IAAIxtB,EAAEC,EAAE0H,UAAmB,KAAK,EAAE,KAAK,GAAG1H,GAAGA,EAAEA,EAAE+e,iBAAiB/e,EAAEtkB,aAAasrB,GAAG,KAAK,IAAI,MAAM,QAAkEhH,EAAEgH,GAArChH,GAAvBD,EAAE,IAAIA,EAAEC,EAAE1D,WAAW0D,GAAMtkB,cAAc,KAAKqkB,EAAEA,EAAE5jD,SAAkB4pE,GAAEyH,IAAIxH,GAAEwH,GAAGxtB,EAAE,CAAC,SAAS6tB,KAAK9H,GAAEyH,IAAIzH,GAAE0H,IAAI1H,GAAE2H,GAAG,CAAC,SAASI,GAAG/tB,GAAG4tB,GAAGD,GAAGt0C,SAAS,IAAI4mB,EAAE2tB,GAAGH,GAAGp0C,SAAa7f,EAAEytC,GAAGhH,EAAED,EAAE7jD,MAAM8jD,IAAIzmC,IAAIysD,GAAEyH,GAAG1tB,GAAGimB,GAAEwH,GAAGj0D,GAAG,CAAC,SAASw0D,GAAGhuB,GAAG0tB,GAAGr0C,UAAU2mB,IAAIgmB,GAAEyH,IAAIzH,GAAE0H,IAAI,CAAC,IAAIO,GAAElI,GAAG,GACxZ,SAASmI,GAAGluB,GAAG,IAAI,IAAIC,EAAED,EAAE,OAAOC,GAAG,CAAC,GAAG,KAAKA,EAAEqE,IAAI,CAAC,IAAI9qC,EAAEymC,EAAE4N,cAAc,GAAG,OAAOr0C,IAAmB,QAAfA,EAAEA,EAAEs0C,aAAqB,OAAOt0C,EAAE3Z,MAAM,OAAO2Z,EAAE3Z,MAAM,OAAOogD,CAAC,MAAM,GAAG,KAAKA,EAAEqE,UAAK,IAASrE,EAAEmpB,cAAc+E,aAAa,GAAG,KAAa,IAARluB,EAAE0N,OAAW,OAAO1N,OAAO,GAAG,OAAOA,EAAExP,MAAM,CAACwP,EAAExP,MAAMid,OAAOzN,EAAEA,EAAEA,EAAExP,MAAM,QAAQ,CAAC,GAAGwP,IAAID,EAAE,MAAM,KAAK,OAAOC,EAAEgO,SAAS,CAAC,GAAG,OAAOhO,EAAEyN,QAAQzN,EAAEyN,SAAS1N,EAAE,OAAO,KAAKC,EAAEA,EAAEyN,MAAM,CAACzN,EAAEgO,QAAQP,OAAOzN,EAAEyN,OAAOzN,EAAEA,EAAEgO,OAAO,CAAC,OAAO,IAAI,CAAC,IAAImgB,GAAG,GACrc,SAASC,KAAK,IAAI,IAAIruB,EAAE,EAAEA,EAAEouB,GAAGlzE,OAAO8kD,IAAIouB,GAAGpuB,GAAGsuB,8BAA8B,KAAKF,GAAGlzE,OAAO,CAAC,CAAC,IAAIqzE,GAAGlsB,EAAGmsB,uBAAuBC,GAAGpsB,EAAGmR,wBAAwBkb,GAAG,EAAEC,GAAE,KAAKC,GAAE,KAAKC,GAAE,KAAKC,IAAG,EAAGC,IAAG,EAAGC,GAAG,EAAEC,GAAG,EAAE,SAAS5iD,KAAI,MAAM7tB,MAAM0lC,EAAE,KAAM,CAAC,SAASgrC,GAAGlvB,EAAEC,GAAG,GAAG,OAAOA,EAAE,OAAM,EAAG,IAAI,IAAIzmC,EAAE,EAAEA,EAAEymC,EAAE/kD,QAAQse,EAAEwmC,EAAE9kD,OAAOse,IAAI,IAAI0kD,GAAGle,EAAExmC,GAAGymC,EAAEzmC,IAAI,OAAM,EAAG,OAAM,CAAE,CAChW,SAAS21D,GAAGnvB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,GAAyH,GAAtHwtB,GAAGxtB,EAAEytB,GAAE1uB,EAAEA,EAAE4N,cAAc,KAAK5N,EAAEmsB,YAAY,KAAKnsB,EAAEurB,MAAM,EAAE+C,GAAGl1C,QAAQ,OAAO2mB,GAAG,OAAOA,EAAE6N,cAAcuhB,GAAGC,GAAGrvB,EAAExmC,EAAEwnC,EAAEC,GAAM8tB,GAAG,CAAC7tB,EAAE,EAAE,EAAE,CAAY,GAAX6tB,IAAG,EAAGC,GAAG,EAAK,IAAI9tB,EAAE,MAAM1iD,MAAM0lC,EAAE,MAAMgd,GAAG,EAAE2tB,GAAED,GAAE,KAAK3uB,EAAEmsB,YAAY,KAAKmC,GAAGl1C,QAAQi2C,GAAGtvB,EAAExmC,EAAEwnC,EAAEC,EAAE,OAAO8tB,GAAG,CAA+D,GAA9DR,GAAGl1C,QAAQk2C,GAAGtvB,EAAE,OAAO2uB,IAAG,OAAOA,GAAE9yD,KAAK4yD,GAAG,EAAEG,GAAED,GAAED,GAAE,KAAKG,IAAG,EAAM7uB,EAAE,MAAMzhD,MAAM0lC,EAAE,MAAM,OAAO8b,CAAC,CAAC,SAASwvB,KAAK,IAAIxvB,EAAE,IAAIgvB,GAAQ,OAALA,GAAG,EAAShvB,CAAC,CAC/Y,SAASyvB,KAAK,IAAIzvB,EAAE,CAAC6N,cAAc,KAAKwe,UAAU,KAAKqD,UAAU,KAAKlzD,MAAM,KAAKV,KAAK,MAA8C,OAAxC,OAAO+yD,GAAEF,GAAE9gB,cAAcghB,GAAE7uB,EAAE6uB,GAAEA,GAAE/yD,KAAKkkC,EAAS6uB,EAAC,CAAC,SAASc,KAAK,GAAG,OAAOf,GAAE,CAAC,IAAI5uB,EAAE2uB,GAAElhB,UAAUzN,EAAE,OAAOA,EAAEA,EAAE6N,cAAc,IAAI,MAAM7N,EAAE4uB,GAAE9yD,KAAK,IAAImkC,EAAE,OAAO4uB,GAAEF,GAAE9gB,cAAcghB,GAAE/yD,KAAK,GAAG,OAAOmkC,EAAE4uB,GAAE5uB,EAAE2uB,GAAE5uB,MAAM,CAAC,GAAG,OAAOA,EAAE,MAAMxhD,MAAM0lC,EAAE,MAAU8b,EAAE,CAAC6N,eAAP+gB,GAAE5uB,GAAqB6N,cAAcwe,UAAUuC,GAAEvC,UAAUqD,UAAUd,GAAEc,UAAUlzD,MAAMoyD,GAAEpyD,MAAMV,KAAK,MAAM,OAAO+yD,GAAEF,GAAE9gB,cAAcghB,GAAE7uB,EAAE6uB,GAAEA,GAAE/yD,KAAKkkC,CAAC,CAAC,OAAO6uB,EAAC,CACje,SAASe,GAAG5vB,EAAEC,GAAG,MAAM,oBAAoBA,EAAEA,EAAED,GAAGC,CAAC,CACnD,SAAS4vB,GAAG7vB,GAAG,IAAIC,EAAE0vB,KAAKn2D,EAAEymC,EAAEzjC,MAAM,GAAG,OAAOhD,EAAE,MAAMhb,MAAM0lC,EAAE,MAAM1qB,EAAEs2D,oBAAoB9vB,EAAE,IAAIgB,EAAE4tB,GAAE3tB,EAAED,EAAE0uB,UAAUxuB,EAAE1nC,EAAEizD,QAAQ,GAAG,OAAOvrB,EAAE,CAAC,GAAG,OAAOD,EAAE,CAAC,IAAIE,EAAEF,EAAEnlC,KAAKmlC,EAAEnlC,KAAKolC,EAAEplC,KAAKolC,EAAEplC,KAAKqlC,CAAC,CAACH,EAAE0uB,UAAUzuB,EAAEC,EAAE1nC,EAAEizD,QAAQ,IAAI,CAAC,GAAG,OAAOxrB,EAAE,CAACC,EAAED,EAAEnlC,KAAKklC,EAAEA,EAAEqrB,UAAU,IAAInoB,EAAE/C,EAAE,KAAKgD,EAAE,KAAKF,EAAE/C,EAAE,EAAE,CAAC,IAAI5nC,EAAE2qC,EAAE6oB,KAAK,IAAI4B,GAAGp1D,KAAKA,EAAE,OAAO6qC,IAAIA,EAAEA,EAAEroC,KAAK,CAACgxD,KAAK,EAAE1nE,OAAO6+C,EAAE7+C,OAAO2qE,cAAc9rB,EAAE8rB,cAAcC,WAAW/rB,EAAE+rB,WAAWl0D,KAAK,OAAOklC,EAAEiD,EAAE8rB,cAAc9rB,EAAE+rB,WAAWhwB,EAAEgB,EAAEiD,EAAE7+C,YAAY,CAAC,IAAImlE,EAAE,CAACuC,KAAKxzD,EAAElU,OAAO6+C,EAAE7+C,OAAO2qE,cAAc9rB,EAAE8rB,cACngBC,WAAW/rB,EAAE+rB,WAAWl0D,KAAK,MAAM,OAAOqoC,GAAGD,EAAEC,EAAEomB,EAAEppB,EAAEH,GAAGmD,EAAEA,EAAEroC,KAAKyuD,EAAEoE,GAAEnD,OAAOlyD,EAAEg0D,IAAIh0D,CAAC,CAAC2qC,EAAEA,EAAEnoC,IAAI,OAAO,OAAOmoC,GAAGA,IAAI/C,GAAG,OAAOiD,EAAEhD,EAAEH,EAAEmD,EAAEroC,KAAKooC,EAAEga,GAAGld,EAAEf,EAAE4N,iBAAiB4d,IAAG,GAAIxrB,EAAE4N,cAAc7M,EAAEf,EAAEosB,UAAUlrB,EAAElB,EAAEyvB,UAAUvrB,EAAE3qC,EAAEy2D,kBAAkBjvB,CAAC,CAAiB,GAAG,QAAnBhB,EAAExmC,EAAEwyD,aAAwB,CAAC/qB,EAAEjB,EAAE,GAAGkB,EAAED,EAAE6rB,KAAK6B,GAAEnD,OAAOtqB,EAAEosB,IAAIpsB,EAAED,EAAEA,EAAEnlC,WAAWmlC,IAAIjB,EAAE,MAAM,OAAOiB,IAAIznC,EAAEgyD,MAAM,GAAG,MAAM,CAACvrB,EAAE4N,cAAcr0C,EAAE02D,SAAS,CAC9X,SAASC,GAAGnwB,GAAG,IAAIC,EAAE0vB,KAAKn2D,EAAEymC,EAAEzjC,MAAM,GAAG,OAAOhD,EAAE,MAAMhb,MAAM0lC,EAAE,MAAM1qB,EAAEs2D,oBAAoB9vB,EAAE,IAAIgB,EAAExnC,EAAE02D,SAASjvB,EAAEznC,EAAEizD,QAAQvrB,EAAEjB,EAAE4N,cAAc,GAAG,OAAO5M,EAAE,CAACznC,EAAEizD,QAAQ,KAAK,IAAItrB,EAAEF,EAAEA,EAAEnlC,KAAK,GAAGolC,EAAElB,EAAEkB,EAAEC,EAAE/7C,QAAQ+7C,EAAEA,EAAErlC,WAAWqlC,IAAIF,GAAGid,GAAGhd,EAAEjB,EAAE4N,iBAAiB4d,IAAG,GAAIxrB,EAAE4N,cAAc3M,EAAE,OAAOjB,EAAEyvB,YAAYzvB,EAAEosB,UAAUnrB,GAAG1nC,EAAEy2D,kBAAkB/uB,CAAC,CAAC,MAAM,CAACA,EAAEF,EAAE,CAAC,SAASovB,KAAK,CACpW,SAASC,GAAGrwB,EAAEC,GAAG,IAAIzmC,EAAEm1D,GAAE3tB,EAAE2uB,KAAK1uB,EAAEhB,IAAIiB,GAAGgd,GAAGld,EAAE6M,cAAc5M,GAAsE,GAAnEC,IAAIF,EAAE6M,cAAc5M,EAAEwqB,IAAG,GAAIzqB,EAAEA,EAAExkC,MAAM8zD,GAAGC,GAAG5N,KAAK,KAAKnpD,EAAEwnC,EAAEhB,GAAG,CAACA,IAAOgB,EAAEwvB,cAAcvwB,GAAGiB,GAAG,OAAO2tB,IAAuB,EAApBA,GAAEhhB,cAAcvJ,IAAM,CAAuD,GAAtD9qC,EAAEm0C,OAAO,KAAK8iB,GAAG,EAAEC,GAAG/N,KAAK,KAAKnpD,EAAEwnC,EAAEC,EAAEhB,QAAG,EAAO,MAAS,OAAO0wB,GAAE,MAAMnyE,MAAM0lC,EAAE,MAAM,KAAQ,GAAHwqC,KAAQkC,GAAGp3D,EAAEymC,EAAEgB,EAAE,CAAC,OAAOA,CAAC,CAAC,SAAS2vB,GAAG5wB,EAAEC,EAAEzmC,GAAGwmC,EAAE2N,OAAO,MAAM3N,EAAE,CAACwwB,YAAYvwB,EAAE5lD,MAAMmf,GAAmB,QAAhBymC,EAAE0uB,GAAEvC,cAAsBnsB,EAAE,CAAC4wB,WAAW,KAAKC,OAAO,MAAMnC,GAAEvC,YAAYnsB,EAAEA,EAAE6wB,OAAO,CAAC9wB,IAAgB,QAAXxmC,EAAEymC,EAAE6wB,QAAgB7wB,EAAE6wB,OAAO,CAAC9wB,GAAGxmC,EAAEtd,KAAK8jD,EAAG,CAClf,SAAS0wB,GAAG1wB,EAAEC,EAAEzmC,EAAEwnC,GAAGf,EAAE5lD,MAAMmf,EAAEymC,EAAEuwB,YAAYxvB,EAAE+vB,GAAG9wB,IAAI+wB,GAAGhxB,EAAE,CAAC,SAASuwB,GAAGvwB,EAAEC,EAAEzmC,GAAG,OAAOA,GAAE,WAAWu3D,GAAG9wB,IAAI+wB,GAAGhxB,EAAE,GAAE,CAAC,SAAS+wB,GAAG/wB,GAAG,IAAIC,EAAED,EAAEwwB,YAAYxwB,EAAEA,EAAE3lD,MAAM,IAAI,IAAImf,EAAEymC,IAAI,OAAOie,GAAGle,EAAExmC,EAAE,CAAC,MAAMwnC,GAAG,OAAM,CAAE,CAAC,CAAC,SAASgwB,GAAGhxB,GAAG,IAAIC,EAAEgsB,GAAGjsB,EAAE,GAAG,OAAOC,GAAGgxB,GAAGhxB,EAAED,EAAE,GAAG,EAAE,CAClQ,SAAStH,GAAGsH,GAAG,IAAIC,EAAEwvB,KAA8M,MAAzM,oBAAoBzvB,IAAIA,EAAEA,KAAKC,EAAE4N,cAAc5N,EAAEosB,UAAUrsB,EAAEA,EAAE,CAACysB,QAAQ,KAAKT,YAAY,KAAKR,MAAM,EAAE0E,SAAS,KAAKJ,oBAAoBF,GAAGK,kBAAkBjwB,GAAGC,EAAEzjC,MAAMwjC,EAAEA,EAAEA,EAAEkwB,SAASgB,GAAGvO,KAAK,KAAKgM,GAAE3uB,GAAS,CAACC,EAAE4N,cAAc7N,EAAE,CAC5P,SAASywB,GAAGzwB,EAAEC,EAAEzmC,EAAEwnC,GAA8O,OAA3OhB,EAAE,CAACsE,IAAItE,EAAE5+C,OAAO6+C,EAAEkxB,QAAQ33D,EAAE43D,KAAKpwB,EAAEllC,KAAK,MAAsB,QAAhBmkC,EAAE0uB,GAAEvC,cAAsBnsB,EAAE,CAAC4wB,WAAW,KAAKC,OAAO,MAAMnC,GAAEvC,YAAYnsB,EAAEA,EAAE4wB,WAAW7wB,EAAElkC,KAAKkkC,GAAmB,QAAfxmC,EAAEymC,EAAE4wB,YAAoB5wB,EAAE4wB,WAAW7wB,EAAElkC,KAAKkkC,GAAGgB,EAAExnC,EAAEsC,KAAKtC,EAAEsC,KAAKkkC,EAAEA,EAAElkC,KAAKklC,EAAEf,EAAE4wB,WAAW7wB,GAAWA,CAAC,CAAC,SAASqxB,KAAK,OAAO1B,KAAK9hB,aAAa,CAAC,SAASyjB,GAAGtxB,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEwuB,KAAKd,GAAEhhB,OAAO3N,EAAEiB,EAAE4M,cAAc4iB,GAAG,EAAExwB,EAAEzmC,OAAE,OAAO,IAASwnC,EAAE,KAAKA,EAAE,CAC9Y,SAASuwB,GAAGvxB,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAE0uB,KAAK3uB,OAAE,IAASA,EAAE,KAAKA,EAAE,IAAIE,OAAE,EAAO,GAAG,OAAO0tB,GAAE,CAAC,IAAIztB,EAAEytB,GAAE/gB,cAA0B,GAAZ3M,EAAEC,EAAEgwB,QAAW,OAAOnwB,GAAGkuB,GAAGluB,EAAEG,EAAEiwB,MAAmC,YAA5BnwB,EAAE4M,cAAc4iB,GAAGxwB,EAAEzmC,EAAE0nC,EAAEF,GAAU,CAAC2tB,GAAEhhB,OAAO3N,EAAEiB,EAAE4M,cAAc4iB,GAAG,EAAExwB,EAAEzmC,EAAE0nC,EAAEF,EAAE,CAAC,SAASwwB,GAAGxxB,EAAEC,GAAG,OAAOqxB,GAAG,QAAQ,EAAEtxB,EAAEC,EAAE,CAAC,SAASqwB,GAAGtwB,EAAEC,GAAG,OAAOsxB,GAAG,KAAK,EAAEvxB,EAAEC,EAAE,CAAC,SAASwxB,GAAGzxB,EAAEC,GAAG,OAAOsxB,GAAG,EAAE,EAAEvxB,EAAEC,EAAE,CAAC,SAASyxB,GAAG1xB,EAAEC,GAAG,OAAOsxB,GAAG,EAAE,EAAEvxB,EAAEC,EAAE,CAChX,SAAS0xB,GAAG3xB,EAAEC,GAAG,MAAG,oBAAoBA,GAASD,EAAEA,IAAIC,EAAED,GAAG,WAAWC,EAAE,KAAK,GAAK,OAAOA,QAAG,IAASA,GAASD,EAAEA,IAAIC,EAAE5mB,QAAQ2mB,EAAE,WAAWC,EAAE5mB,QAAQ,IAAI,QAA1E,CAA2E,CAAC,SAASu4C,GAAG5xB,EAAEC,EAAEzmC,GAA6C,OAA1CA,EAAE,OAAOA,QAAG,IAASA,EAAEA,EAAEpb,OAAO,CAAC4hD,IAAI,KAAYuxB,GAAG,EAAE,EAAEI,GAAGhP,KAAK,KAAK1iB,EAAED,GAAGxmC,EAAE,CAAC,SAASq4D,KAAK,CAAC,SAASC,GAAG9xB,EAAEC,GAAG,IAAIzmC,EAAEm2D,KAAK1vB,OAAE,IAASA,EAAE,KAAKA,EAAE,IAAIe,EAAExnC,EAAEq0C,cAAc,OAAG,OAAO7M,GAAG,OAAOf,GAAGivB,GAAGjvB,EAAEe,EAAE,IAAWA,EAAE,IAAGxnC,EAAEq0C,cAAc,CAAC7N,EAAEC,GAAUD,EAAC,CAC7Z,SAAS+xB,GAAG/xB,EAAEC,GAAG,IAAIzmC,EAAEm2D,KAAK1vB,OAAE,IAASA,EAAE,KAAKA,EAAE,IAAIe,EAAExnC,EAAEq0C,cAAc,OAAG,OAAO7M,GAAG,OAAOf,GAAGivB,GAAGjvB,EAAEe,EAAE,IAAWA,EAAE,IAAGhB,EAAEA,IAAIxmC,EAAEq0C,cAAc,CAAC7N,EAAEC,GAAUD,EAAC,CAAC,SAASgyB,GAAGhyB,EAAEC,EAAEzmC,GAAG,OAAG,KAAQ,GAAHk1D,KAAc1uB,EAAEqsB,YAAYrsB,EAAEqsB,WAAU,EAAGZ,IAAG,GAAIzrB,EAAE6N,cAAcr0C,IAAE0kD,GAAG1kD,EAAEymC,KAAKzmC,EAAEo3C,KAAK+d,GAAEnD,OAAOhyD,EAAE8zD,IAAI9zD,EAAEwmC,EAAEqsB,WAAU,GAAWpsB,EAAC,CAAC,SAASgyB,GAAGjyB,EAAEC,GAAG,IAAIzmC,EAAEy3C,GAAEA,GAAE,IAAIz3C,GAAG,EAAEA,EAAEA,EAAE,EAAEwmC,GAAE,GAAI,IAAIgB,EAAEytB,GAAG9a,WAAW8a,GAAG9a,WAAW,CAAC,EAAE,IAAI3T,GAAE,GAAIC,GAAG,CAAC,QAAQgR,GAAEz3C,EAAEi1D,GAAG9a,WAAW3S,CAAC,CAAC,CAAC,SAASkxB,KAAK,OAAOvC,KAAK9hB,aAAa,CAC1d,SAASskB,GAAGnyB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEoxB,GAAGpyB,GAAkE,GAA/DxmC,EAAE,CAACszD,KAAK9rB,EAAE57C,OAAOoU,EAAEu2D,eAAc,EAAGC,WAAW,KAAKl0D,KAAK,MAASu2D,GAAGryB,GAAGsyB,GAAGryB,EAAEzmC,QAAQ,GAAiB,QAAdA,EAAEuyD,GAAG/rB,EAAEC,EAAEzmC,EAAEwnC,IAAY,CAAWiwB,GAAGz3D,EAAEwmC,EAAEgB,EAAXuxB,MAAgBC,GAAGh5D,EAAEymC,EAAEe,EAAE,CAAC,CAC/K,SAASkwB,GAAGlxB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEoxB,GAAGpyB,GAAGiB,EAAE,CAAC6rB,KAAK9rB,EAAE57C,OAAOoU,EAAEu2D,eAAc,EAAGC,WAAW,KAAKl0D,KAAK,MAAM,GAAGu2D,GAAGryB,GAAGsyB,GAAGryB,EAAEgB,OAAO,CAAC,IAAIC,EAAElB,EAAEyN,UAAU,GAAG,IAAIzN,EAAEwrB,QAAQ,OAAOtqB,GAAG,IAAIA,EAAEsqB,QAAiC,QAAxBtqB,EAAEjB,EAAE6vB,qBAA8B,IAAI,IAAI3uB,EAAElB,EAAEgwB,kBAAkB/rB,EAAEhD,EAAEC,EAAE3nC,GAAqC,GAAlCynC,EAAE8uB,eAAc,EAAG9uB,EAAE+uB,WAAW9rB,EAAKga,GAAGha,EAAE/C,GAAG,CAAC,IAAIgD,EAAElE,EAAE+rB,YAA+E,OAAnE,OAAO7nB,GAAGlD,EAAEnlC,KAAKmlC,EAAE6qB,GAAG7rB,KAAKgB,EAAEnlC,KAAKqoC,EAAEroC,KAAKqoC,EAAEroC,KAAKmlC,QAAGhB,EAAE+rB,YAAY/qB,EAAQ,CAAC,CAAC,MAAMgD,GAAG,CAAwB,QAAdzqC,EAAEuyD,GAAG/rB,EAAEC,EAAEgB,EAAED,MAAoBiwB,GAAGz3D,EAAEwmC,EAAEgB,EAAbC,EAAEsxB,MAAgBC,GAAGh5D,EAAEymC,EAAEe,GAAG,CAAC,CAC/c,SAASqxB,GAAGryB,GAAG,IAAIC,EAAED,EAAEyN,UAAU,OAAOzN,IAAI2uB,IAAG,OAAO1uB,GAAGA,IAAI0uB,EAAC,CAAC,SAAS2D,GAAGtyB,EAAEC,GAAG8uB,GAAGD,IAAG,EAAG,IAAIt1D,EAAEwmC,EAAEysB,QAAQ,OAAOjzD,EAAEymC,EAAEnkC,KAAKmkC,GAAGA,EAAEnkC,KAAKtC,EAAEsC,KAAKtC,EAAEsC,KAAKmkC,GAAGD,EAAEysB,QAAQxsB,CAAC,CAAC,SAASuyB,GAAGxyB,EAAEC,EAAEzmC,GAAG,GAAG,KAAO,QAAFA,GAAW,CAAC,IAAIwnC,EAAEf,EAAEurB,MAAwBhyD,GAAlBwnC,GAAGhB,EAAEqQ,aAAkBpQ,EAAEurB,MAAMhyD,EAAEw3C,GAAGhR,EAAExmC,EAAE,CAAC,CAC9P,IAAI+1D,GAAG,CAACkD,YAAY/G,GAAGgH,YAAYrmD,GAAEsmD,WAAWtmD,GAAEumD,UAAUvmD,GAAEwmD,oBAAoBxmD,GAAEymD,mBAAmBzmD,GAAE0mD,gBAAgB1mD,GAAE2mD,QAAQ3mD,GAAE4mD,WAAW5mD,GAAE6mD,OAAO7mD,GAAE8mD,SAAS9mD,GAAE+mD,cAAc/mD,GAAEgnD,iBAAiBhnD,GAAEinD,cAAcjnD,GAAEknD,iBAAiBlnD,GAAEmnD,qBAAqBnnD,GAAEonD,MAAMpnD,GAAEqnD,0BAAyB,GAAItE,GAAG,CAACqD,YAAY/G,GAAGgH,YAAY,SAAS1yB,EAAEC,GAA4C,OAAzCwvB,KAAK5hB,cAAc,CAAC7N,OAAE,IAASC,EAAE,KAAKA,GAAUD,CAAC,EAAE2yB,WAAWjH,GAAGkH,UAAUpB,GAAGqB,oBAAoB,SAAS7yB,EAAEC,EAAEzmC,GAA6C,OAA1CA,EAAE,OAAOA,QAAG,IAASA,EAAEA,EAAEpb,OAAO,CAAC4hD,IAAI,KAAYsxB,GAAG,QAC3f,EAAEK,GAAGhP,KAAK,KAAK1iB,EAAED,GAAGxmC,EAAE,EAAEu5D,gBAAgB,SAAS/yB,EAAEC,GAAG,OAAOqxB,GAAG,QAAQ,EAAEtxB,EAAEC,EAAE,EAAE6yB,mBAAmB,SAAS9yB,EAAEC,GAAG,OAAOqxB,GAAG,EAAE,EAAEtxB,EAAEC,EAAE,EAAE+yB,QAAQ,SAAShzB,EAAEC,GAAG,IAAIzmC,EAAEi2D,KAAqD,OAAhDxvB,OAAE,IAASA,EAAE,KAAKA,EAAED,EAAEA,IAAIxmC,EAAEq0C,cAAc,CAAC7N,EAAEC,GAAUD,CAAC,EAAEizB,WAAW,SAASjzB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEyuB,KAAkM,OAA7LxvB,OAAE,IAASzmC,EAAEA,EAAEymC,GAAGA,EAAEe,EAAE6M,cAAc7M,EAAEqrB,UAAUpsB,EAAED,EAAE,CAACysB,QAAQ,KAAKT,YAAY,KAAKR,MAAM,EAAE0E,SAAS,KAAKJ,oBAAoB9vB,EAAEiwB,kBAAkBhwB,GAAGe,EAAExkC,MAAMwjC,EAAEA,EAAEA,EAAEkwB,SAASiC,GAAGxP,KAAK,KAAKgM,GAAE3uB,GAAS,CAACgB,EAAE6M,cAAc7N,EAAE,EAAEkzB,OAAO,SAASlzB,GAC3d,OAAdA,EAAE,CAAC3mB,QAAQ2mB,GAAhByvB,KAA4B5hB,cAAc7N,CAAC,EAAEmzB,SAASz6B,GAAG06B,cAAcvB,GAAGwB,iBAAiB,SAASrzB,GAAG,OAAOyvB,KAAK5hB,cAAc7N,CAAC,EAAEszB,cAAc,WAAW,IAAItzB,EAAEtH,IAAG,GAAIuH,EAAED,EAAE,GAA6C,OAA1CA,EAAEiyB,GAAGtP,KAAK,KAAK3iB,EAAE,IAAIyvB,KAAK5hB,cAAc7N,EAAQ,CAACC,EAAED,EAAE,EAAEuzB,iBAAiB,WAAW,EAAEC,qBAAqB,SAASxzB,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAE2tB,GAAE1tB,EAAEwuB,KAAK,GAAG5jD,GAAE,CAAC,QAAG,IAASrS,EAAE,MAAMhb,MAAM0lC,EAAE,MAAM1qB,EAAEA,GAAG,KAAK,CAAO,GAANA,EAAEymC,IAAO,OAAO0wB,GAAE,MAAMnyE,MAAM0lC,EAAE,MAAM,KAAQ,GAAHwqC,KAAQkC,GAAG5vB,EAAEf,EAAEzmC,EAAE,CAACynC,EAAE4M,cAAcr0C,EAAE,IAAI0nC,EAAE,CAAC7mD,MAAMmf,EAAEg3D,YAAYvwB,GACvZ,OAD0ZgB,EAAEzkC,MAAM0kC,EAAEswB,GAAGjB,GAAG5N,KAAK,KAAK3hB,EACpfE,EAAElB,GAAG,CAACA,IAAIgB,EAAE2M,OAAO,KAAK8iB,GAAG,EAAEC,GAAG/N,KAAK,KAAK3hB,EAAEE,EAAE1nC,EAAEymC,QAAG,EAAO,MAAazmC,CAAC,EAAEi6D,MAAM,WAAW,IAAIzzB,EAAEyvB,KAAKxvB,EAAE0wB,GAAEgD,iBAAiB,GAAG9nD,GAAE,CAAC,IAAIrS,EAAEwuD,GAAkD/nB,EAAE,IAAIA,EAAE,KAA9CzmC,GAAHuuD,KAAU,GAAG,GAAGpY,GAAhBoY,IAAsB,IAAI9uE,SAAS,IAAIugB,GAAuB,GAAPA,EAAEw1D,QAAW/uB,GAAG,IAAIzmC,EAAEvgB,SAAS,KAAKgnD,GAAG,GAAG,MAAaA,EAAE,IAAIA,EAAE,KAAfzmC,EAAEy1D,MAAmBh2E,SAAS,IAAI,IAAI,OAAO+mD,EAAE6N,cAAc5N,CAAC,EAAEyzB,0BAAyB,GAAIrE,GAAG,CAACoD,YAAY/G,GAAGgH,YAAYZ,GAAGa,WAAWjH,GAAGkH,UAAUtC,GAAGuC,oBAAoBjB,GAAGkB,mBAAmBrB,GAAGsB,gBAAgBrB,GAAGsB,QAAQjB,GAAGkB,WAAWpD,GAAGqD,OAAO7B,GAAG8B,SAAS,WAAW,OAAOtD,GAAGD,GAAG,EACrhBwD,cAAcvB,GAAGwB,iBAAiB,SAASrzB,GAAc,OAAOgyB,GAAZrC,KAAiBf,GAAE/gB,cAAc7N,EAAE,EAAEszB,cAAc,WAAgD,MAAM,CAArCzD,GAAGD,IAAI,GAAKD,KAAK9hB,cAAyB,EAAE0lB,iBAAiBnD,GAAGoD,qBAAqBnD,GAAGoD,MAAMvB,GAAGwB,0BAAyB,GAAIpE,GAAG,CAACmD,YAAY/G,GAAGgH,YAAYZ,GAAGa,WAAWjH,GAAGkH,UAAUtC,GAAGuC,oBAAoBjB,GAAGkB,mBAAmBrB,GAAGsB,gBAAgBrB,GAAGsB,QAAQjB,GAAGkB,WAAW9C,GAAG+C,OAAO7B,GAAG8B,SAAS,WAAW,OAAOhD,GAAGP,GAAG,EAAEwD,cAAcvB,GAAGwB,iBAAiB,SAASrzB,GAAG,IAAIC,EAAE0vB,KAAK,OAAO,OACzff,GAAE3uB,EAAE4N,cAAc7N,EAAEgyB,GAAG/xB,EAAE2uB,GAAE/gB,cAAc7N,EAAE,EAAEszB,cAAc,WAAgD,MAAM,CAArCnD,GAAGP,IAAI,GAAKD,KAAK9hB,cAAyB,EAAE0lB,iBAAiBnD,GAAGoD,qBAAqBnD,GAAGoD,MAAMvB,GAAGwB,0BAAyB,GAAI,SAASE,GAAG5zB,EAAEC,GAAG,GAAGD,GAAGA,EAAE6zB,aAAa,CAA4B,IAAI,IAAIr6D,KAAnCymC,EAAExwB,EAAE,CAAC,EAAEwwB,GAAGD,EAAEA,EAAE6zB,kBAA4B,IAAS5zB,EAAEzmC,KAAKymC,EAAEzmC,GAAGwmC,EAAExmC,IAAI,OAAOymC,CAAC,CAAC,OAAOA,CAAC,CAAC,SAAS6zB,GAAG9zB,EAAEC,EAAEzmC,EAAEwnC,GAA8BxnC,EAAE,QAAXA,EAAEA,EAAEwnC,EAAtBf,EAAED,EAAE6N,sBAAmC,IAASr0C,EAAEymC,EAAExwB,EAAE,CAAC,EAAEwwB,EAAEzmC,GAAGwmC,EAAE6N,cAAcr0C,EAAE,IAAIwmC,EAAEwrB,QAAQxrB,EAAEosB,YAAYC,UAAU7yD,EAAE,CACrd,IAAIu6D,GAAG,CAACC,UAAU,SAASh0B,GAAG,SAAOA,EAAEA,EAAEi0B,kBAAiBzmB,GAAGxN,KAAKA,CAAI,EAAEk0B,gBAAgB,SAASl0B,EAAEC,EAAEzmC,GAAGwmC,EAAEA,EAAEi0B,gBAAgB,IAAIjzB,EAAEuxB,KAAItxB,EAAEmxB,GAAGpyB,GAAGkB,EAAE0rB,GAAG5rB,EAAEC,GAAGC,EAAE6rB,QAAQ9sB,OAAE,IAASzmC,GAAG,OAAOA,IAAI0nC,EAAE8rB,SAASxzD,GAAe,QAAZymC,EAAEgtB,GAAGjtB,EAAEkB,EAAED,MAAcgwB,GAAGhxB,EAAED,EAAEiB,EAAED,GAAGmsB,GAAGltB,EAAED,EAAEiB,GAAG,EAAEkzB,oBAAoB,SAASn0B,EAAEC,EAAEzmC,GAAGwmC,EAAEA,EAAEi0B,gBAAgB,IAAIjzB,EAAEuxB,KAAItxB,EAAEmxB,GAAGpyB,GAAGkB,EAAE0rB,GAAG5rB,EAAEC,GAAGC,EAAEoD,IAAI,EAAEpD,EAAE6rB,QAAQ9sB,OAAE,IAASzmC,GAAG,OAAOA,IAAI0nC,EAAE8rB,SAASxzD,GAAe,QAAZymC,EAAEgtB,GAAGjtB,EAAEkB,EAAED,MAAcgwB,GAAGhxB,EAAED,EAAEiB,EAAED,GAAGmsB,GAAGltB,EAAED,EAAEiB,GAAG,EAAEmzB,mBAAmB,SAASp0B,EAAEC,GAAGD,EAAEA,EAAEi0B,gBAAgB,IAAIz6D,EAAE+4D,KAAIvxB,EACnfoxB,GAAGpyB,GAAGiB,EAAE2rB,GAAGpzD,EAAEwnC,GAAGC,EAAEqD,IAAI,OAAE,IAASrE,GAAG,OAAOA,IAAIgB,EAAE+rB,SAAS/sB,GAAe,QAAZA,EAAEgtB,GAAGjtB,EAAEiB,EAAED,MAAciwB,GAAGhxB,EAAED,EAAEgB,EAAExnC,GAAG2zD,GAAGltB,EAAED,EAAEgB,GAAG,GAAG,SAASqzB,GAAGr0B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,GAAiB,MAAM,oBAApBnB,EAAEA,EAAEoM,WAAsCkoB,sBAAsBt0B,EAAEs0B,sBAAsBtzB,EAAEE,EAAEC,IAAGlB,EAAEnnD,YAAWmnD,EAAEnnD,UAAUy7E,wBAAsBpW,GAAG3kD,EAAEwnC,KAAKmd,GAAGld,EAAEC,GAAK,CAC1S,SAASszB,GAAGx0B,EAAEC,EAAEzmC,GAAG,IAAIwnC,GAAE,EAAGC,EAAEilB,GAAOhlB,EAAEjB,EAAEw0B,YAA2W,MAA/V,kBAAkBvzB,GAAG,OAAOA,EAAEA,EAAEwqB,GAAGxqB,IAAID,EAAEylB,GAAGzmB,GAAGomB,GAAGF,GAAE9sC,QAAyB6nB,GAAGF,EAAE,QAAtBA,EAAEf,EAAEsmB,oBAA4B,IAASvlB,GAAGslB,GAAGtmB,EAAEiB,GAAGilB,IAAIjmB,EAAE,IAAIA,EAAEzmC,EAAE0nC,GAAGlB,EAAE6N,cAAc,OAAO5N,EAAE1hB,YAAO,IAAS0hB,EAAE1hB,MAAM0hB,EAAE1hB,MAAM,KAAK0hB,EAAEy0B,QAAQX,GAAG/zB,EAAEoM,UAAUnM,EAAEA,EAAEg0B,gBAAgBj0B,EAAEgB,KAAIhB,EAAEA,EAAEoM,WAAYoa,4CAA4CvlB,EAAEjB,EAAEymB,0CAA0CvlB,GAAUjB,CAAC,CAC5Z,SAAS00B,GAAG30B,EAAEC,EAAEzmC,EAAEwnC,GAAGhB,EAAEC,EAAE1hB,MAAM,oBAAoB0hB,EAAE20B,2BAA2B30B,EAAE20B,0BAA0Bp7D,EAAEwnC,GAAG,oBAAoBf,EAAE40B,kCAAkC50B,EAAE40B,iCAAiCr7D,EAAEwnC,GAAGf,EAAE1hB,QAAQyhB,GAAG+zB,GAAGI,oBAAoBl0B,EAAEA,EAAE1hB,MAAM,KAAK,CACpQ,SAASu2C,GAAG90B,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEjB,EAAEoM,UAAUnL,EAAExlD,MAAM+d,EAAEynC,EAAE1iB,MAAMyhB,EAAE6N,cAAc5M,EAAE4oB,KAAK,CAAC,EAAEsC,GAAGnsB,GAAG,IAAIkB,EAAEjB,EAAEw0B,YAAY,kBAAkBvzB,GAAG,OAAOA,EAAED,EAAE0qB,QAAQD,GAAGxqB,IAAIA,EAAEwlB,GAAGzmB,GAAGomB,GAAGF,GAAE9sC,QAAQ4nB,EAAE0qB,QAAQrF,GAAGtmB,EAAEkB,IAAID,EAAE1iB,MAAMyhB,EAAE6N,cAA2C,oBAA7B3M,EAAEjB,EAAE80B,4BAAiDjB,GAAG9zB,EAAEC,EAAEiB,EAAE1nC,GAAGynC,EAAE1iB,MAAMyhB,EAAE6N,eAAe,oBAAoB5N,EAAE80B,0BAA0B,oBAAoB9zB,EAAE+zB,yBAAyB,oBAAoB/zB,EAAEg0B,2BAA2B,oBAAoBh0B,EAAEi0B,qBAAqBj1B,EAAEgB,EAAE1iB,MACrf,oBAAoB0iB,EAAEi0B,oBAAoBj0B,EAAEi0B,qBAAqB,oBAAoBj0B,EAAEg0B,2BAA2Bh0B,EAAEg0B,4BAA4Bh1B,IAAIgB,EAAE1iB,OAAOw1C,GAAGI,oBAAoBlzB,EAAEA,EAAE1iB,MAAM,MAAM8uC,GAAGrtB,EAAExmC,EAAEynC,EAAED,GAAGC,EAAE1iB,MAAMyhB,EAAE6N,eAAe,oBAAoB5M,EAAEk0B,oBAAoBn1B,EAAE2N,OAAO,QAAQ,CAAC,SAASynB,GAAGp1B,EAAEC,GAAG,IAAI,IAAIzmC,EAAE,GAAGwnC,EAAEf,EAAE,GAAGzmC,GAAG6qC,EAAGrD,GAAGA,EAAEA,EAAE0M,aAAa1M,GAAG,IAAIC,EAAEznC,CAAC,CAAC,MAAM0nC,GAAGD,EAAE,6BAA6BC,EAAEm0B,QAAQ,KAAKn0B,EAAEhoB,KAAK,CAAC,MAAM,CAAC7+B,MAAM2lD,EAAEnnC,OAAOonC,EAAE/mB,MAAM+nB,EAAEq0B,OAAO,KAAK,CAC1d,SAASC,GAAGv1B,EAAEC,EAAEzmC,GAAG,MAAM,CAACnf,MAAM2lD,EAAEnnC,OAAO,KAAKqgB,MAAM,MAAM1f,EAAEA,EAAE,KAAK87D,OAAO,MAAMr1B,EAAEA,EAAE,KAAK,CAAC,SAASu1B,GAAGx1B,EAAEC,GAAG,IAAIw1B,QAAQ18D,MAAMknC,EAAE5lD,MAAM,CAAC,MAAMmf,GAAGirD,YAAW,WAAW,MAAMjrD,CAAE,GAAE,CAAC,CAAC,IAAIk8D,GAAG,oBAAoBC,QAAQA,QAAQ7jB,IAAI,SAAS8jB,GAAG51B,EAAEC,EAAEzmC,IAAGA,EAAEozD,IAAI,EAAEpzD,IAAK8qC,IAAI,EAAE9qC,EAAEuzD,QAAQ,CAACrzC,QAAQ,MAAM,IAAIsnB,EAAEf,EAAE5lD,MAAsD,OAAhDmf,EAAEwzD,SAAS,WAAW6I,KAAKA,IAAG,EAAGC,GAAG90B,GAAGw0B,GAAGx1B,EAAEC,EAAE,EAASzmC,CAAC,CACrW,SAASu8D,GAAG/1B,EAAEC,EAAEzmC,IAAGA,EAAEozD,IAAI,EAAEpzD,IAAK8qC,IAAI,EAAE,IAAItD,EAAEhB,EAAE7jD,KAAK65E,yBAAyB,GAAG,oBAAoBh1B,EAAE,CAAC,IAAIC,EAAEhB,EAAE5lD,MAAMmf,EAAEuzD,QAAQ,WAAW,OAAO/rB,EAAEC,EAAE,EAAEznC,EAAEwzD,SAAS,WAAWwI,GAAGx1B,EAAEC,EAAE,CAAC,CAAC,IAAIiB,EAAElB,EAAEoM,UAA8O,OAApO,OAAOlL,GAAG,oBAAoBA,EAAE+0B,oBAAoBz8D,EAAEwzD,SAAS,WAAWwI,GAAGx1B,EAAEC,GAAG,oBAAoBe,IAAI,OAAOk1B,GAAGA,GAAG,IAAI91B,IAAI,CAAClqC,OAAOggE,GAAG11B,IAAItqC,OAAO,IAAIsD,EAAEymC,EAAE/mB,MAAMhjB,KAAK+/D,kBAAkBh2B,EAAE5lD,MAAM,CAAC87E,eAAe,OAAO38D,EAAEA,EAAE,IAAI,GAAUA,CAAC,CACnb,SAAS48D,GAAGp2B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAEq2B,UAAU,GAAG,OAAOr1B,EAAE,CAACA,EAAEhB,EAAEq2B,UAAU,IAAIX,GAAG,IAAIz0B,EAAE,IAAIb,IAAIY,EAAE8C,IAAI7D,EAAEgB,EAAE,WAAiB,KAAXA,EAAED,EAAEkE,IAAIjF,MAAgBgB,EAAE,IAAIb,IAAIY,EAAE8C,IAAI7D,EAAEgB,IAAIA,EAAEohB,IAAI7oD,KAAKynC,EAAET,IAAIhnC,GAAGwmC,EAAEs2B,GAAG3T,KAAK,KAAK3iB,EAAEC,EAAEzmC,GAAGymC,EAAEglB,KAAKjlB,EAAEA,GAAG,CAAC,SAASu2B,GAAGv2B,GAAG,EAAE,CAAC,IAAIC,EAA4E,IAAvEA,EAAE,KAAKD,EAAEsE,OAAsBrE,EAAE,QAApBA,EAAED,EAAE6N,gBAAyB,OAAO5N,EAAE6N,YAAuB7N,EAAE,OAAOD,EAAEA,EAAEA,EAAE0N,MAAM,OAAO,OAAO1N,GAAG,OAAO,IAAI,CAChW,SAASw2B,GAAGx2B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,OAAG,KAAY,EAAPjB,EAAE7b,OAAe6b,IAAIC,EAAED,EAAE2N,OAAO,OAAO3N,EAAE2N,OAAO,IAAIn0C,EAAEm0C,OAAO,OAAOn0C,EAAEm0C,QAAQ,MAAM,IAAIn0C,EAAE8qC,MAAM,OAAO9qC,EAAEi0C,UAAUj0C,EAAE8qC,IAAI,KAAIrE,EAAE2sB,IAAI,EAAE,IAAKtoB,IAAI,EAAE2oB,GAAGzzD,EAAEymC,EAAE,KAAKzmC,EAAEgyD,OAAO,GAAGxrB,IAAEA,EAAE2N,OAAO,MAAM3N,EAAEwrB,MAAMvqB,EAASjB,EAAC,CAAC,IAAIy2B,GAAGp0B,EAAGq0B,kBAAkBjL,IAAG,EAAG,SAASkL,GAAG32B,EAAEC,EAAEzmC,EAAEwnC,GAAGf,EAAExP,MAAM,OAAOuP,EAAE4qB,GAAG3qB,EAAE,KAAKzmC,EAAEwnC,GAAG2pB,GAAG1qB,EAAED,EAAEvP,MAAMj3B,EAAEwnC,EAAE,CACnV,SAAS41B,GAAG52B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAGznC,EAAEA,EAAE+qC,OAAO,IAAIrD,EAAEjB,EAAEypB,IAAqC,OAAjClsC,GAAGyiB,EAAEgB,GAAGD,EAAEmuB,GAAGnvB,EAAEC,EAAEzmC,EAAEwnC,EAAEE,EAAED,GAAGznC,EAAEg2D,KAAQ,OAAOxvB,GAAIyrB,IAA2E5/C,IAAGrS,GAAG2uD,GAAGloB,GAAGA,EAAE0N,OAAO,EAAEgpB,GAAG32B,EAAEC,EAAEe,EAAEC,GAAUhB,EAAExP,QAA7GwP,EAAEmsB,YAAYpsB,EAAEosB,YAAYnsB,EAAE0N,QAAQ,KAAK3N,EAAEwrB,QAAQvqB,EAAE41B,GAAG72B,EAAEC,EAAEgB,GAAoD,CACzN,SAAS61B,GAAG92B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,GAAG,OAAOjB,EAAE,CAAC,IAAIkB,EAAE1nC,EAAErd,KAAK,MAAG,oBAAoB+kD,GAAI61B,GAAG71B,SAAI,IAASA,EAAE2yB,cAAc,OAAOr6D,EAAEw9D,cAAS,IAASx9D,EAAEq6D,eAAoD7zB,EAAEmqB,GAAG3wD,EAAErd,KAAK,KAAK6kD,EAAEf,EAAEA,EAAE9b,KAAK8c,IAAKyoB,IAAIzpB,EAAEypB,IAAI1pB,EAAE0N,OAAOzN,EAASA,EAAExP,MAAMuP,IAArGC,EAAEqE,IAAI,GAAGrE,EAAE9jD,KAAK+kD,EAAE+1B,GAAGj3B,EAAEC,EAAEiB,EAAEF,EAAEC,GAAyE,CAAW,GAAVC,EAAElB,EAAEvP,MAAS,KAAKuP,EAAEwrB,MAAMvqB,GAAG,CAAC,IAAIE,EAAED,EAAEkoB,cAA0C,IAAhB5vD,EAAE,QAAdA,EAAEA,EAAEw9D,SAAmBx9D,EAAE2kD,IAAQhd,EAAEH,IAAIhB,EAAE0pB,MAAMzpB,EAAEypB,IAAI,OAAOmN,GAAG72B,EAAEC,EAAEgB,EAAE,CAA6C,OAA5ChB,EAAE0N,OAAO,GAAE3N,EAAEiqB,GAAG/oB,EAAEF,IAAK0oB,IAAIzpB,EAAEypB,IAAI1pB,EAAE0N,OAAOzN,EAASA,EAAExP,MAAMuP,CAAC,CAC1b,SAASi3B,GAAGj3B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,GAAG,OAAOjB,EAAE,CAAC,IAAIkB,EAAElB,EAAEopB,cAAc,GAAGjL,GAAGjd,EAAEF,IAAIhB,EAAE0pB,MAAMzpB,EAAEypB,IAAI,IAAG+B,IAAG,EAAGxrB,EAAE2oB,aAAa5nB,EAAEE,EAAE,KAAKlB,EAAEwrB,MAAMvqB,GAAsC,OAAOhB,EAAEurB,MAAMxrB,EAAEwrB,MAAMqL,GAAG72B,EAAEC,EAAEgB,GAAjE,KAAa,OAARjB,EAAE2N,SAAgB8d,IAAG,EAAyC,EAAC,OAAOyL,GAAGl3B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAE,CACxN,SAASk2B,GAAGn3B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEf,EAAE2oB,aAAa3nB,EAAED,EAAE1kD,SAAS4kD,EAAE,OAAOlB,EAAEA,EAAE6N,cAAc,KAAK,GAAG,WAAW7M,EAAE7c,KAAK,GAAG,KAAY,EAAP8b,EAAE9b,MAAQ8b,EAAE4N,cAAc,CAACupB,UAAU,EAAEC,UAAU,KAAKC,YAAY,MAAMrR,GAAEsR,GAAGC,IAAIA,IAAIh+D,MAAM,CAAC,GAAG,KAAO,WAAFA,GAAc,OAAOwmC,EAAE,OAAOkB,EAAEA,EAAEk2B,UAAU59D,EAAEA,EAAEymC,EAAEurB,MAAMvrB,EAAEorB,WAAW,WAAWprB,EAAE4N,cAAc,CAACupB,UAAUp3B,EAAEq3B,UAAU,KAAKC,YAAY,MAAMr3B,EAAEmsB,YAAY,KAAKnG,GAAEsR,GAAGC,IAAIA,IAAIx3B,EAAE,KAAKC,EAAE4N,cAAc,CAACupB,UAAU,EAAEC,UAAU,KAAKC,YAAY,MAAMt2B,EAAE,OAAOE,EAAEA,EAAEk2B,UAAU59D,EAAEysD,GAAEsR,GAAGC,IAAIA,IAAIx2B,CAAC,MAAM,OACtfE,GAAGF,EAAEE,EAAEk2B,UAAU59D,EAAEymC,EAAE4N,cAAc,MAAM7M,EAAExnC,EAAEysD,GAAEsR,GAAGC,IAAIA,IAAIx2B,EAAc,OAAZ21B,GAAG32B,EAAEC,EAAEgB,EAAEznC,GAAUymC,EAAExP,KAAK,CAAC,SAASgnC,GAAGz3B,EAAEC,GAAG,IAAIzmC,EAAEymC,EAAEypB,KAAO,OAAO1pB,GAAG,OAAOxmC,GAAG,OAAOwmC,GAAGA,EAAE0pB,MAAMlwD,KAAEymC,EAAE0N,OAAO,IAAI1N,EAAE0N,OAAO,QAAO,CAAC,SAASupB,GAAGl3B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,IAAIC,EAAEwlB,GAAGltD,GAAG6sD,GAAGF,GAAE9sC,QAAmD,OAA3C6nB,EAAEolB,GAAGrmB,EAAEiB,GAAG1jB,GAAGyiB,EAAEgB,GAAGznC,EAAE21D,GAAGnvB,EAAEC,EAAEzmC,EAAEwnC,EAAEE,EAAED,GAAGD,EAAEwuB,KAAQ,OAAOxvB,GAAIyrB,IAA2E5/C,IAAGm1B,GAAGmnB,GAAGloB,GAAGA,EAAE0N,OAAO,EAAEgpB,GAAG32B,EAAEC,EAAEzmC,EAAEynC,GAAUhB,EAAExP,QAA7GwP,EAAEmsB,YAAYpsB,EAAEosB,YAAYnsB,EAAE0N,QAAQ,KAAK3N,EAAEwrB,QAAQvqB,EAAE41B,GAAG72B,EAAEC,EAAEgB,GAAoD,CACla,SAASy2B,GAAG13B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,GAAGylB,GAAGltD,GAAG,CAAC,IAAI0nC,GAAE,EAAG8lB,GAAG/mB,EAAE,MAAMiB,GAAE,EAAW,GAAR1jB,GAAGyiB,EAAEgB,GAAM,OAAOhB,EAAEmM,UAAUurB,GAAG33B,EAAEC,GAAGu0B,GAAGv0B,EAAEzmC,EAAEwnC,GAAG8zB,GAAG70B,EAAEzmC,EAAEwnC,EAAEC,GAAGD,GAAE,OAAQ,GAAG,OAAOhB,EAAE,CAAC,IAAImB,EAAElB,EAAEmM,UAAUlI,EAAEjE,EAAEmpB,cAAcjoB,EAAE1lD,MAAMyoD,EAAE,IAAIC,EAAEhD,EAAEwqB,QAAQ1nB,EAAEzqC,EAAEi7D,YAAY,kBAAkBxwB,GAAG,OAAOA,EAAEA,EAAEynB,GAAGznB,GAAyBA,EAAEqiB,GAAGrmB,EAA1BgE,EAAEyiB,GAAGltD,GAAG6sD,GAAGF,GAAE9sC,SAAmB,IAAI/f,EAAEE,EAAEu7D,yBAAyBxK,EAAE,oBAAoBjxD,GAAG,oBAAoB6nC,EAAE6zB,wBAAwBzK,GAAG,oBAAoBppB,EAAE0zB,kCAAkC,oBAAoB1zB,EAAEyzB,4BAC1d1wB,IAAIlD,GAAGmD,IAAIF,IAAI0wB,GAAG10B,EAAEkB,EAAEH,EAAEiD,GAAGioB,IAAG,EAAG,IAAI1B,EAAEvqB,EAAE4N,cAAc1M,EAAE5iB,MAAMisC,EAAE6C,GAAGptB,EAAEe,EAAEG,EAAEF,GAAGkD,EAAElE,EAAE4N,cAAc3J,IAAIlD,GAAGwpB,IAAIrmB,GAAGiiB,GAAG/sC,SAAS6yC,IAAI,oBAAoB5yD,IAAIw6D,GAAG7zB,EAAEzmC,EAAEF,EAAE0nC,GAAGmD,EAAElE,EAAE4N,gBAAgB3J,EAAEgoB,IAAImI,GAAGp0B,EAAEzmC,EAAE0qC,EAAElD,EAAEwpB,EAAErmB,EAAEF,KAAKsmB,GAAG,oBAAoBppB,EAAE8zB,2BAA2B,oBAAoB9zB,EAAE+zB,qBAAqB,oBAAoB/zB,EAAE+zB,oBAAoB/zB,EAAE+zB,qBAAqB,oBAAoB/zB,EAAE8zB,2BAA2B9zB,EAAE8zB,6BAA6B,oBAAoB9zB,EAAEg0B,oBAAoBl1B,EAAE0N,OAAO,WAClf,oBAAoBxM,EAAEg0B,oBAAoBl1B,EAAE0N,OAAO,SAAS1N,EAAEmpB,cAAcpoB,EAAEf,EAAE4N,cAAc1J,GAAGhD,EAAE1lD,MAAMulD,EAAEG,EAAE5iB,MAAM4lB,EAAEhD,EAAEwqB,QAAQ1nB,EAAEjD,EAAEkD,IAAI,oBAAoB/C,EAAEg0B,oBAAoBl1B,EAAE0N,OAAO,SAAS3M,GAAE,EAAG,KAAK,CAACG,EAAElB,EAAEmM,UAAUugB,GAAG3sB,EAAEC,GAAGiE,EAAEjE,EAAEmpB,cAAcnlB,EAAEhE,EAAE9jD,OAAO8jD,EAAEX,YAAY4E,EAAE0vB,GAAG3zB,EAAE9jD,KAAK+nD,GAAG/C,EAAE1lD,MAAMwoD,EAAEsmB,EAAEtqB,EAAE2oB,aAAa4B,EAAErpB,EAAEwqB,QAAwB,kBAAhBxnB,EAAE3qC,EAAEi7D,cAAiC,OAAOtwB,EAAEA,EAAEunB,GAAGvnB,GAAyBA,EAAEmiB,GAAGrmB,EAA1BkE,EAAEuiB,GAAGltD,GAAG6sD,GAAGF,GAAE9sC,SAAmB,IAAIoxC,EAAEjxD,EAAEu7D,0BAA0Bz7D,EAAE,oBAAoBmxD,GAAG,oBAAoBtpB,EAAE6zB,0BAC9e,oBAAoB7zB,EAAE0zB,kCAAkC,oBAAoB1zB,EAAEyzB,4BAA4B1wB,IAAIqmB,GAAGC,IAAIrmB,IAAIwwB,GAAG10B,EAAEkB,EAAEH,EAAEmD,GAAG+nB,IAAG,EAAG1B,EAAEvqB,EAAE4N,cAAc1M,EAAE5iB,MAAMisC,EAAE6C,GAAGptB,EAAEe,EAAEG,EAAEF,GAAG,IAAI4hB,EAAE5iB,EAAE4N,cAAc3J,IAAIqmB,GAAGC,IAAI3H,GAAGuD,GAAG/sC,SAAS6yC,IAAI,oBAAoBzB,IAAIqJ,GAAG7zB,EAAEzmC,EAAEixD,EAAEzpB,GAAG6hB,EAAE5iB,EAAE4N,gBAAgB5J,EAAEioB,IAAImI,GAAGp0B,EAAEzmC,EAAEyqC,EAAEjD,EAAEwpB,EAAE3H,EAAE1e,KAAI,IAAK7qC,GAAG,oBAAoB6nC,EAAEy2B,4BAA4B,oBAAoBz2B,EAAE02B,sBAAsB,oBAAoB12B,EAAE02B,qBAAqB12B,EAAE02B,oBAAoB72B,EAAE6hB,EAAE1e,GAAG,oBAAoBhD,EAAEy2B,4BAC5fz2B,EAAEy2B,2BAA2B52B,EAAE6hB,EAAE1e,IAAI,oBAAoBhD,EAAE22B,qBAAqB73B,EAAE0N,OAAO,GAAG,oBAAoBxM,EAAE6zB,0BAA0B/0B,EAAE0N,OAAO,QAAQ,oBAAoBxM,EAAE22B,oBAAoB5zB,IAAIlE,EAAEopB,eAAeoB,IAAIxqB,EAAE6N,gBAAgB5N,EAAE0N,OAAO,GAAG,oBAAoBxM,EAAE6zB,yBAAyB9wB,IAAIlE,EAAEopB,eAAeoB,IAAIxqB,EAAE6N,gBAAgB5N,EAAE0N,OAAO,MAAM1N,EAAEmpB,cAAcpoB,EAAEf,EAAE4N,cAAcgV,GAAG1hB,EAAE1lD,MAAMulD,EAAEG,EAAE5iB,MAAMskC,EAAE1hB,EAAEwqB,QAAQxnB,EAAEnD,EAAEiD,IAAI,oBAAoB9C,EAAE22B,oBAAoB5zB,IAAIlE,EAAEopB,eAAeoB,IACjfxqB,EAAE6N,gBAAgB5N,EAAE0N,OAAO,GAAG,oBAAoBxM,EAAE6zB,yBAAyB9wB,IAAIlE,EAAEopB,eAAeoB,IAAIxqB,EAAE6N,gBAAgB5N,EAAE0N,OAAO,MAAM3M,GAAE,EAAG,CAAC,OAAO+2B,GAAG/3B,EAAEC,EAAEzmC,EAAEwnC,EAAEE,EAAED,EAAE,CACnK,SAAS82B,GAAG/3B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,GAAGu2B,GAAGz3B,EAAEC,GAAG,IAAIkB,EAAE,KAAa,IAARlB,EAAE0N,OAAW,IAAI3M,IAAIG,EAAE,OAAOF,GAAGimB,GAAGjnB,EAAEzmC,GAAE,GAAIq9D,GAAG72B,EAAEC,EAAEiB,GAAGF,EAAEf,EAAEmM,UAAUqqB,GAAGp9C,QAAQ4mB,EAAE,IAAIiE,EAAE/C,GAAG,oBAAoB3nC,EAAEw8D,yBAAyB,KAAKh1B,EAAEuD,SAAwI,OAA/HtE,EAAE0N,OAAO,EAAE,OAAO3N,GAAGmB,GAAGlB,EAAExP,MAAMk6B,GAAG1qB,EAAED,EAAEvP,MAAM,KAAKyQ,GAAGjB,EAAExP,MAAMk6B,GAAG1qB,EAAE,KAAKiE,EAAEhD,IAAIy1B,GAAG32B,EAAEC,EAAEiE,EAAEhD,GAAGjB,EAAE4N,cAAc7M,EAAEziB,MAAM0iB,GAAGimB,GAAGjnB,EAAEzmC,GAAE,GAAWymC,EAAExP,KAAK,CAAC,SAASunC,GAAGh4B,GAAG,IAAIC,EAAED,EAAEoM,UAAUnM,EAAEg4B,eAAepR,GAAG7mB,EAAEC,EAAEg4B,eAAeh4B,EAAEg4B,iBAAiBh4B,EAAE0rB,SAAS1rB,EAAE0rB,SAAS9E,GAAG7mB,EAAEC,EAAE0rB,SAAQ,GAAIkC,GAAG7tB,EAAEC,EAAE8S,cAAc,CAC5e,SAASmlB,GAAGl4B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAuC,OAApCqoB,KAAKC,GAAGtoB,GAAGhB,EAAE0N,OAAO,IAAIgpB,GAAG32B,EAAEC,EAAEzmC,EAAEwnC,GAAUf,EAAExP,KAAK,CAAC,IAaqL0nC,GAAGC,GAAGC,GAAGC,GAb1LC,GAAG,CAACzqB,WAAW,KAAKgb,YAAY,KAAKC,UAAU,GAAG,SAASyP,GAAGx4B,GAAG,MAAM,CAACo3B,UAAUp3B,EAAEq3B,UAAU,KAAKC,YAAY,KAAK,CAClM,SAASmB,GAAGz4B,EAAEC,EAAEzmC,GAAG,IAA0D0qC,EAAtDlD,EAAEf,EAAE2oB,aAAa3nB,EAAEgtB,GAAE50C,QAAQ6nB,GAAE,EAAGC,EAAE,KAAa,IAARlB,EAAE0N,OAAqJ,IAAvIzJ,EAAE/C,KAAK+C,GAAE,OAAOlE,GAAG,OAAOA,EAAE6N,gBAAiB,KAAO,EAAF5M,IAASiD,GAAEhD,GAAE,EAAGjB,EAAE0N,QAAQ,KAAY,OAAO3N,GAAG,OAAOA,EAAE6N,gBAAc5M,GAAG,GAAEglB,GAAEgI,GAAI,EAAFhtB,GAAQ,OAAOjB,EAA2B,OAAxBipB,GAAGhpB,GAAwB,QAArBD,EAAEC,EAAE4N,gBAA2C,QAAf7N,EAAEA,EAAE8N,aAA4B,KAAY,EAAP7N,EAAE9b,MAAQ8b,EAAEurB,MAAM,EAAE,OAAOxrB,EAAEngD,KAAKogD,EAAEurB,MAAM,EAAEvrB,EAAEurB,MAAM,WAAW,OAAKrqB,EAAEH,EAAE1kD,SAAS0jD,EAAEgB,EAAE03B,SAAgBx3B,GAAGF,EAAEf,EAAE9b,KAAK+c,EAAEjB,EAAExP,MAAM0Q,EAAE,CAAChd,KAAK,SAAS7nC,SAAS6kD,GAAG,KAAO,EAAFH,IAAM,OAAOE,GAAGA,EAAEmqB,WAAW,EAAEnqB,EAAE0nB,aAC7eznB,GAAGD,EAAEy3B,GAAGx3B,EAAEH,EAAE,EAAE,MAAMhB,EAAEsqB,GAAGtqB,EAAEgB,EAAExnC,EAAE,MAAM0nC,EAAEwM,OAAOzN,EAAED,EAAE0N,OAAOzN,EAAEiB,EAAE+M,QAAQjO,EAAEC,EAAExP,MAAMyQ,EAAEjB,EAAExP,MAAMod,cAAc2qB,GAAGh/D,GAAGymC,EAAE4N,cAAc0qB,GAAGv4B,GAAG44B,GAAG34B,EAAEkB,IAAqB,GAAG,QAArBF,EAAEjB,EAAE6N,gBAA2C,QAAf3J,EAAEjD,EAAE6M,YAAqB,OAGpM,SAAY9N,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,GAAG,GAAG3nC,EAAG,OAAW,IAARymC,EAAE0N,OAAiB1N,EAAE0N,QAAQ,IAAwBkrB,GAAG74B,EAAEC,EAAEkB,EAA3BH,EAAEu0B,GAAG/2E,MAAM0lC,EAAE,SAAsB,OAAO+b,EAAE4N,eAAqB5N,EAAExP,MAAMuP,EAAEvP,MAAMwP,EAAE0N,OAAO,IAAI,OAAKzM,EAAEF,EAAE03B,SAASz3B,EAAEhB,EAAE9b,KAAK6c,EAAE23B,GAAG,CAACx0C,KAAK,UAAU7nC,SAAS0kD,EAAE1kD,UAAU2kD,EAAE,EAAE,OAAMC,EAAEopB,GAAGppB,EAAED,EAAEE,EAAE,OAAQwM,OAAO,EAAE3M,EAAE0M,OAAOzN,EAAEiB,EAAEwM,OAAOzN,EAAEe,EAAEiN,QAAQ/M,EAAEjB,EAAExP,MAAMuQ,EAAE,KAAY,EAAPf,EAAE9b,OAASwmC,GAAG1qB,EAAED,EAAEvP,MAAM,KAAK0Q,GAAGlB,EAAExP,MAAMod,cAAc2qB,GAAGr3B,GAAGlB,EAAE4N,cAAc0qB,GAAUr3B,GAAE,GAAG,KAAY,EAAPjB,EAAE9b,MAAQ,OAAO00C,GAAG74B,EAAEC,EAAEkB,EAAE,MAAM,GAAG,OAAOF,EAAEphD,KAAK,CAChd,GADidmhD,EAAEC,EAAEqd,aAAard,EAAEqd,YAAYwa,QAC3e,IAAI50B,EAAElD,EAAE+3B,KAA0C,OAArC/3B,EAAEkD,EAA0C20B,GAAG74B,EAAEC,EAAEkB,EAA/BH,EAAEu0B,GAAlBr0B,EAAE1iD,MAAM0lC,EAAE,MAAa8c,OAAE,GAA0B,CAAwB,GAAvBkD,EAAE,KAAK/C,EAAEnB,EAAEqrB,YAAeI,IAAIvnB,EAAE,CAAK,GAAG,QAAPlD,EAAE2vB,IAAc,CAAC,OAAOxvB,GAAGA,GAAG,KAAK,EAAEF,EAAE,EAAE,MAAM,KAAK,GAAGA,EAAE,EAAE,MAAM,KAAK,GAAG,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,MAAM,KAAK,MAAM,KAAK,MAAM,KAAK,OAAO,KAAK,OAAO,KAAK,OAAO,KAAK,QAAQ,KAAK,QAAQ,KAAK,QAAQ,KAAK,QAAQ,KAAK,SAAS,KAAK,SAAS,KAAK,SAASA,EAAE,GAAG,MAAM,KAAK,UAAUA,EAAE,UAAU,MAAM,QAAQA,EAAE,EAChd,KADkdA,EAAE,KAAKA,GAAGD,EAAEsP,eAAenP,IAAI,EAAEF,IAC5eA,IAAIC,EAAE6nB,YAAY7nB,EAAE6nB,UAAU9nB,EAAEgrB,GAAGjsB,EAAEiB,GAAGgwB,GAAGjwB,EAAEhB,EAAEiB,GAAG,GAAG,CAA0B,OAAzB+3B,KAAgCH,GAAG74B,EAAEC,EAAEkB,EAAlCH,EAAEu0B,GAAG/2E,MAAM0lC,EAAE,OAAyB,CAAC,MAAG,OAAO+c,EAAEphD,MAAYogD,EAAE0N,OAAO,IAAI1N,EAAExP,MAAMuP,EAAEvP,MAAMwP,EAAEg5B,GAAGtW,KAAK,KAAK3iB,GAAGiB,EAAEi4B,YAAYj5B,EAAE,OAAKD,EAAEkB,EAAE4nB,YAAYR,GAAGjD,GAAGpkB,EAAEqd,aAAa+J,GAAGpoB,EAAEp0B,IAAE,EAAG08C,GAAG,KAAK,OAAOvoB,IAAI4nB,GAAGC,MAAME,GAAGH,GAAGC,MAAMG,GAAGJ,GAAGC,MAAMC,GAAGC,GAAG/nB,EAAEhkD,GAAGgsE,GAAGhoB,EAAE6oB,SAASf,GAAG7nB,GAAGA,EAAE24B,GAAG34B,EAAEe,EAAE1kD,UAAU2jD,EAAE0N,OAAO,KAAY1N,EAAC,CALrKk5B,CAAGn5B,EAAEC,EAAEkB,EAAEH,EAAEkD,EAAEjD,EAAEznC,GAAG,GAAG0nC,EAAE,CAACA,EAAEF,EAAE03B,SAASv3B,EAAElB,EAAE9b,KAAe+f,GAAVjD,EAAEjB,EAAEvP,OAAUwd,QAAQ,IAAI9J,EAAE,CAAChgB,KAAK,SAAS7nC,SAAS0kD,EAAE1kD,UAChF,OAD0F,KAAO,EAAF6kD,IAAMlB,EAAExP,QAAQwQ,IAAGD,EAAEf,EAAExP,OAAQ46B,WAAW,EAAErqB,EAAE4nB,aAAazkB,EAAElE,EAAEyoB,UAAU,OAAO1nB,EAAEipB,GAAGhpB,EAAEkD,IAAKi1B,aAA4B,SAAfn4B,EAAEm4B,aAAuB,OAAOl1B,EAAEhD,EAAE+oB,GAAG/lB,EAAEhD,IAAIA,EAAEopB,GAAGppB,EAAEC,EAAE3nC,EAAE,OAAQm0C,OAAO,EAAGzM,EAAEwM,OACnfzN,EAAEe,EAAE0M,OAAOzN,EAAEe,EAAEiN,QAAQ/M,EAAEjB,EAAExP,MAAMuQ,EAAEA,EAAEE,EAAEA,EAAEjB,EAAExP,MAA8B0Q,EAAE,QAA1BA,EAAEnB,EAAEvP,MAAMod,eAAyB2qB,GAAGh/D,GAAG,CAAC49D,UAAUj2B,EAAEi2B,UAAU59D,EAAE69D,UAAU,KAAKC,YAAYn2B,EAAEm2B,aAAap2B,EAAE2M,cAAc1M,EAAED,EAAEmqB,WAAWrrB,EAAEqrB,YAAY7xD,EAAEymC,EAAE4N,cAAc0qB,GAAUv3B,CAAC,CAAoO,OAAzNhB,GAAVkB,EAAElB,EAAEvP,OAAUwd,QAAQjN,EAAEipB,GAAG/oB,EAAE,CAAC/c,KAAK,UAAU7nC,SAAS0kD,EAAE1kD,WAAW,KAAY,EAAP2jD,EAAE9b,QAAU6c,EAAEwqB,MAAMhyD,GAAGwnC,EAAE0M,OAAOzN,EAAEe,EAAEiN,QAAQ,KAAK,OAAOjO,IAAkB,QAAdxmC,EAAEymC,EAAEyoB,YAAoBzoB,EAAEyoB,UAAU,CAAC1oB,GAAGC,EAAE0N,OAAO,IAAIn0C,EAAEtd,KAAK8jD,IAAIC,EAAExP,MAAMuQ,EAAEf,EAAE4N,cAAc,KAAY7M,CAAC,CACnd,SAAS43B,GAAG54B,EAAEC,GAA8D,OAA3DA,EAAE04B,GAAG,CAACx0C,KAAK,UAAU7nC,SAAS2jD,GAAGD,EAAE7b,KAAK,EAAE,OAAQupB,OAAO1N,EAASA,EAAEvP,MAAMwP,CAAC,CAAC,SAAS44B,GAAG74B,EAAEC,EAAEzmC,EAAEwnC,GAAwG,OAArG,OAAOA,GAAGuoB,GAAGvoB,GAAG2pB,GAAG1qB,EAAED,EAAEvP,MAAM,KAAKj3B,IAAGwmC,EAAE44B,GAAG34B,EAAEA,EAAE2oB,aAAatsE,WAAYqxD,OAAO,EAAE1N,EAAE4N,cAAc,KAAY7N,CAAC,CAGkJ,SAASq5B,GAAGr5B,EAAEC,EAAEzmC,GAAGwmC,EAAEwrB,OAAOvrB,EAAE,IAAIe,EAAEhB,EAAEyN,UAAU,OAAOzM,IAAIA,EAAEwqB,OAAOvrB,GAAGmrB,GAAGprB,EAAE0N,OAAOzN,EAAEzmC,EAAE,CACxc,SAAS8/D,GAAGt5B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,IAAIC,EAAElB,EAAE6N,cAAc,OAAO3M,EAAElB,EAAE6N,cAAc,CAAC0rB,YAAYt5B,EAAEu5B,UAAU,KAAKC,mBAAmB,EAAEroC,KAAK4P,EAAE04B,KAAKlgE,EAAEmgE,SAAS14B,IAAIC,EAAEq4B,YAAYt5B,EAAEiB,EAAEs4B,UAAU,KAAKt4B,EAAEu4B,mBAAmB,EAAEv4B,EAAE9P,KAAK4P,EAAEE,EAAEw4B,KAAKlgE,EAAE0nC,EAAEy4B,SAAS14B,EAAE,CAC3O,SAAS24B,GAAG55B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEf,EAAE2oB,aAAa3nB,EAAED,EAAEmtB,YAAYjtB,EAAEF,EAAE04B,KAAsC,GAAjC/C,GAAG32B,EAAEC,EAAEe,EAAE1kD,SAASkd,GAAkB,KAAO,GAAtBwnC,EAAEitB,GAAE50C,UAAqB2nB,EAAI,EAAFA,EAAI,EAAEf,EAAE0N,OAAO,QAAQ,CAAC,GAAG,OAAO3N,GAAG,KAAa,IAARA,EAAE2N,OAAW3N,EAAE,IAAIA,EAAEC,EAAExP,MAAM,OAAOuP,GAAG,CAAC,GAAG,KAAKA,EAAEsE,IAAI,OAAOtE,EAAE6N,eAAewrB,GAAGr5B,EAAExmC,EAAEymC,QAAQ,GAAG,KAAKD,EAAEsE,IAAI+0B,GAAGr5B,EAAExmC,EAAEymC,QAAQ,GAAG,OAAOD,EAAEvP,MAAM,CAACuP,EAAEvP,MAAMid,OAAO1N,EAAEA,EAAEA,EAAEvP,MAAM,QAAQ,CAAC,GAAGuP,IAAIC,EAAE,MAAMD,EAAE,KAAK,OAAOA,EAAEiO,SAAS,CAAC,GAAG,OAAOjO,EAAE0N,QAAQ1N,EAAE0N,SAASzN,EAAE,MAAMD,EAAEA,EAAEA,EAAE0N,MAAM,CAAC1N,EAAEiO,QAAQP,OAAO1N,EAAE0N,OAAO1N,EAAEA,EAAEiO,OAAO,CAACjN,GAAG,CAAC,CAAQ,GAAPilB,GAAEgI,GAAEjtB,GAAM,KAAY,EAAPf,EAAE9b,MAAQ8b,EAAE4N,cAC/e,UAAU,OAAO5M,GAAG,IAAK,WAAqB,IAAVznC,EAAEymC,EAAExP,MAAUwQ,EAAE,KAAK,OAAOznC,GAAiB,QAAdwmC,EAAExmC,EAAEi0C,YAAoB,OAAOygB,GAAGluB,KAAKiB,EAAEznC,GAAGA,EAAEA,EAAEy0C,QAAY,QAAJz0C,EAAEynC,IAAYA,EAAEhB,EAAExP,MAAMwP,EAAExP,MAAM,OAAOwQ,EAAEznC,EAAEy0C,QAAQz0C,EAAEy0C,QAAQ,MAAMqrB,GAAGr5B,GAAE,EAAGgB,EAAEznC,EAAE0nC,GAAG,MAAM,IAAK,YAA6B,IAAjB1nC,EAAE,KAAKynC,EAAEhB,EAAExP,MAAUwP,EAAExP,MAAM,KAAK,OAAOwQ,GAAG,CAAe,GAAG,QAAjBjB,EAAEiB,EAAEwM,YAAuB,OAAOygB,GAAGluB,GAAG,CAACC,EAAExP,MAAMwQ,EAAE,KAAK,CAACjB,EAAEiB,EAAEgN,QAAQhN,EAAEgN,QAAQz0C,EAAEA,EAAEynC,EAAEA,EAAEjB,CAAC,CAACs5B,GAAGr5B,GAAE,EAAGzmC,EAAE,KAAK0nC,GAAG,MAAM,IAAK,WAAWo4B,GAAGr5B,GAAE,EAAG,KAAK,UAAK,GAAQ,MAAM,QAAQA,EAAE4N,cAAc,KAAK,OAAO5N,EAAExP,KAAK,CAC7d,SAASknC,GAAG33B,EAAEC,GAAG,KAAY,EAAPA,EAAE9b,OAAS,OAAO6b,IAAIA,EAAEyN,UAAU,KAAKxN,EAAEwN,UAAU,KAAKxN,EAAE0N,OAAO,EAAE,CAAC,SAASkpB,GAAG72B,EAAEC,EAAEzmC,GAAyD,GAAtD,OAAOwmC,IAAIC,EAAEqrB,aAAatrB,EAAEsrB,cAAcgC,IAAIrtB,EAAEurB,MAAS,KAAKhyD,EAAEymC,EAAEorB,YAAY,OAAO,KAAK,GAAG,OAAOrrB,GAAGC,EAAExP,QAAQuP,EAAEvP,MAAM,MAAMjyC,MAAM0lC,EAAE,MAAM,GAAG,OAAO+b,EAAExP,MAAM,CAA4C,IAAjCj3B,EAAEywD,GAAZjqB,EAAEC,EAAExP,MAAauP,EAAE4oB,cAAc3oB,EAAExP,MAAMj3B,EAAMA,EAAEk0C,OAAOzN,EAAE,OAAOD,EAAEiO,SAASjO,EAAEA,EAAEiO,SAAQz0C,EAAEA,EAAEy0C,QAAQgc,GAAGjqB,EAAEA,EAAE4oB,eAAgBlb,OAAOzN,EAAEzmC,EAAEy0C,QAAQ,IAAI,CAAC,OAAOhO,EAAExP,KAAK,CAO9a,SAASopC,GAAG75B,EAAEC,GAAG,IAAIp0B,GAAE,OAAOm0B,EAAE25B,UAAU,IAAK,SAAS15B,EAAED,EAAE05B,KAAK,IAAI,IAAIlgE,EAAE,KAAK,OAAOymC,GAAG,OAAOA,EAAEwN,YAAYj0C,EAAEymC,GAAGA,EAAEA,EAAEgO,QAAQ,OAAOz0C,EAAEwmC,EAAE05B,KAAK,KAAKlgE,EAAEy0C,QAAQ,KAAK,MAAM,IAAK,YAAYz0C,EAAEwmC,EAAE05B,KAAK,IAAI,IAAI14B,EAAE,KAAK,OAAOxnC,GAAG,OAAOA,EAAEi0C,YAAYzM,EAAExnC,GAAGA,EAAEA,EAAEy0C,QAAQ,OAAOjN,EAAEf,GAAG,OAAOD,EAAE05B,KAAK15B,EAAE05B,KAAK,KAAK15B,EAAE05B,KAAKzrB,QAAQ,KAAKjN,EAAEiN,QAAQ,KAAK,CAC5U,SAASzhC,GAAEwzB,GAAG,IAAIC,EAAE,OAAOD,EAAEyN,WAAWzN,EAAEyN,UAAUhd,QAAQuP,EAAEvP,MAAMj3B,EAAE,EAAEwnC,EAAE,EAAE,GAAGf,EAAE,IAAI,IAAIgB,EAAEjB,EAAEvP,MAAM,OAAOwQ,GAAGznC,GAAGynC,EAAEuqB,MAAMvqB,EAAEoqB,WAAWrqB,GAAkB,SAAfC,EAAEm4B,aAAsBp4B,GAAW,SAARC,EAAE0M,MAAe1M,EAAEyM,OAAO1N,EAAEiB,EAAEA,EAAEgN,aAAa,IAAIhN,EAAEjB,EAAEvP,MAAM,OAAOwQ,GAAGznC,GAAGynC,EAAEuqB,MAAMvqB,EAAEoqB,WAAWrqB,GAAGC,EAAEm4B,aAAap4B,GAAGC,EAAE0M,MAAM1M,EAAEyM,OAAO1N,EAAEiB,EAAEA,EAAEgN,QAAyC,OAAjCjO,EAAEo5B,cAAcp4B,EAAEhB,EAAEqrB,WAAW7xD,EAASymC,CAAC,CAC7V,SAAS65B,GAAG95B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEf,EAAE2oB,aAAmB,OAANR,GAAGnoB,GAAUA,EAAEqE,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG,KAAK,EAAE,KAAK,GAAG,KAAK,EAAE,KAAK,EAAE,KAAK,GAAG,KAAK,EAAE,KAAK,GAAG,OAAO93B,GAAEyzB,GAAG,KAAK,KAAK,EAUtD,KAAK,GAAG,OAAOymB,GAAGzmB,EAAE9jD,OAAOyqE,KAAKp6C,GAAEyzB,GAAG,KAVqD,KAAK,EAA2Q,OAAzQe,EAAEf,EAAEmM,UAAU0hB,KAAK9H,GAAEI,IAAIJ,GAAEG,IAAGkI,KAAKrtB,EAAEi3B,iBAAiBj3B,EAAE2qB,QAAQ3qB,EAAEi3B,eAAej3B,EAAEi3B,eAAe,MAAS,OAAOj4B,GAAG,OAAOA,EAAEvP,QAAM04B,GAAGlpB,GAAGA,EAAE0N,OAAO,EAAE,OAAO3N,GAAGA,EAAE6N,cAAciF,cAAc,KAAa,IAAR7S,EAAE0N,SAAa1N,EAAE0N,OAAO,KAAK,OAAO4a,KAAKwR,GAAGxR,IAAIA,GAAG,QAAO6P,GAAGp4B,EAAEC,GAAGzzB,GAAEyzB,GAAU,KAAK,KAAK,EAAE+tB,GAAG/tB,GAAG,IAAIgB,EAAE2sB,GAAGD,GAAGt0C,SAC7e,GAAT7f,EAAEymC,EAAE9jD,KAAQ,OAAO6jD,GAAG,MAAMC,EAAEmM,UAAUisB,GAAGr4B,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAGjB,EAAE0pB,MAAMzpB,EAAEypB,MAAMzpB,EAAE0N,OAAO,IAAI1N,EAAE0N,OAAO,aAAa,CAAC,IAAI3M,EAAE,CAAC,GAAG,OAAOf,EAAEmM,UAAU,MAAM5tD,MAAM0lC,EAAE,MAAW,OAAL1X,GAAEyzB,GAAU,IAAI,CAAkB,GAAjBD,EAAE4tB,GAAGH,GAAGp0C,SAAY8vC,GAAGlpB,GAAG,CAACe,EAAEf,EAAEmM,UAAU5yC,EAAEymC,EAAE9jD,KAAK,IAAI+kD,EAAEjB,EAAEmpB,cAA+C,OAAjCpoB,EAAEykB,IAAIxlB,EAAEe,EAAE0kB,IAAIxkB,EAAElB,EAAE,KAAY,EAAPC,EAAE9b,MAAe3qB,GAAG,IAAK,SAAS2oD,GAAE,SAASnhB,GAAGmhB,GAAE,QAAQnhB,GAAG,MAAM,IAAK,SAAS,IAAK,SAAS,IAAK,QAAQmhB,GAAE,OAAOnhB,GAAG,MAAM,IAAK,QAAQ,IAAK,QAAQ,IAAIC,EAAE,EAAEA,EAAE4gB,GAAG3mE,OAAO+lD,IAAIkhB,GAAEN,GAAG5gB,GAAGD,GAAG,MAAM,IAAK,SAASmhB,GAAE,QAAQnhB,GAAG,MAAM,IAAK,MAAM,IAAK,QAAQ,IAAK,OAAOmhB,GAAE,QACnhBnhB,GAAGmhB,GAAE,OAAOnhB,GAAG,MAAM,IAAK,UAAUmhB,GAAE,SAASnhB,GAAG,MAAM,IAAK,QAAQgF,EAAGhF,EAAEE,GAAGihB,GAAE,UAAUnhB,GAAG,MAAM,IAAK,SAASA,EAAE8E,cAAc,CAACk0B,cAAc94B,EAAEp3C,UAAUq4D,GAAE,UAAUnhB,GAAG,MAAM,IAAK,WAAW6F,GAAG7F,EAAEE,GAAGihB,GAAE,UAAUnhB,GAAkB,IAAI,IAAIG,KAAvBsK,GAAGjyC,EAAE0nC,GAAGD,EAAE,KAAkBC,EAAE,GAAGA,EAAEnoD,eAAeooD,GAAG,CAAC,IAAI+C,EAAEhD,EAAEC,GAAG,aAAaA,EAAE,kBAAkB+C,EAAElD,EAAErmC,cAAcupC,KAAI,IAAKhD,EAAE+4B,0BAA0B/V,GAAGljB,EAAErmC,YAAYupC,EAAElE,GAAGiB,EAAE,CAAC,WAAWiD,IAAI,kBAAkBA,GAAGlD,EAAErmC,cAAc,GAAGupC,KAAI,IAAKhD,EAAE+4B,0BAA0B/V,GAAGljB,EAAErmC,YAC1eupC,EAAElE,GAAGiB,EAAE,CAAC,WAAW,GAAGiD,IAAI7D,EAAGtnD,eAAeooD,IAAI,MAAM+C,GAAG,aAAa/C,GAAGghB,GAAE,SAASnhB,EAAE,CAAC,OAAOxnC,GAAG,IAAK,QAAQwrC,EAAGhE,GAAGsF,EAAGtF,EAAEE,GAAE,GAAI,MAAM,IAAK,WAAW8D,EAAGhE,GAAG+F,GAAG/F,GAAG,MAAM,IAAK,SAAS,IAAK,SAAS,MAAM,QAAQ,oBAAoBE,EAAEr2C,UAAUm2C,EAAEk5B,QAAQ/V,IAAInjB,EAAEC,EAAEhB,EAAEmsB,YAAYprB,EAAE,OAAOA,IAAIf,EAAE0N,OAAO,EAAE,KAAK,CAACxM,EAAE,IAAIF,EAAE0G,SAAS1G,EAAEA,EAAEsF,cAAc,iCAAiCvG,IAAIA,EAAEgH,GAAGxtC,IAAI,iCAAiCwmC,EAAE,WAAWxmC,IAAGwmC,EAAEmB,EAAE1mC,cAAc,QAASC,UAAU,qBAAuBslC,EAAEA,EAAEsH,YAAYtH,EAAEqH,aAC/f,kBAAkBrG,EAAEx4C,GAAGw3C,EAAEmB,EAAE1mC,cAAcjB,EAAE,CAAChR,GAAGw4C,EAAEx4C,MAAMw3C,EAAEmB,EAAE1mC,cAAcjB,GAAG,WAAWA,IAAI2nC,EAAEnB,EAAEgB,EAAEl3C,SAASq3C,EAAEr3C,UAAS,EAAGk3C,EAAErwC,OAAOwwC,EAAExwC,KAAKqwC,EAAErwC,QAAQqvC,EAAEmB,EAAEg5B,gBAAgBn6B,EAAExmC,GAAGwmC,EAAEylB,IAAIxlB,EAAED,EAAE0lB,IAAI1kB,EAAEm3B,GAAGn4B,EAAEC,GAAE,GAAG,GAAIA,EAAEmM,UAAUpM,EAAEA,EAAE,CAAW,OAAVmB,EAAEuK,GAAGlyC,EAAEwnC,GAAUxnC,GAAG,IAAK,SAAS2oD,GAAE,SAASniB,GAAGmiB,GAAE,QAAQniB,GAAGiB,EAAED,EAAE,MAAM,IAAK,SAAS,IAAK,SAAS,IAAK,QAAQmhB,GAAE,OAAOniB,GAAGiB,EAAED,EAAE,MAAM,IAAK,QAAQ,IAAK,QAAQ,IAAIC,EAAE,EAAEA,EAAE4gB,GAAG3mE,OAAO+lD,IAAIkhB,GAAEN,GAAG5gB,GAAGjB,GAAGiB,EAAED,EAAE,MAAM,IAAK,SAASmhB,GAAE,QAAQniB,GAAGiB,EAAED,EAAE,MAAM,IAAK,MAAM,IAAK,QAAQ,IAAK,OAAOmhB,GAAE,QAClfniB,GAAGmiB,GAAE,OAAOniB,GAAGiB,EAAED,EAAE,MAAM,IAAK,UAAUmhB,GAAE,SAASniB,GAAGiB,EAAED,EAAE,MAAM,IAAK,QAAQgF,EAAGhG,EAAEgB,GAAGC,EAAE0E,EAAG3F,EAAEgB,GAAGmhB,GAAE,UAAUniB,GAAG,MAAM,IAAK,SAAiL,QAAQiB,EAAED,QAAxK,IAAK,SAAShB,EAAE8F,cAAc,CAACk0B,cAAch5B,EAAEl3C,UAAUm3C,EAAExxB,EAAE,CAAC,EAAEuxB,EAAE,CAAC3mD,WAAM,IAAS8nE,GAAE,UAAUniB,GAAG,MAAM,IAAK,WAAW6G,GAAG7G,EAAEgB,GAAGC,EAAE0F,GAAG3G,EAAEgB,GAAGmhB,GAAE,UAAUniB,GAAiC,IAAIkB,KAAhBuK,GAAGjyC,EAAEynC,GAAGiD,EAAEjD,EAAa,GAAGiD,EAAEnrD,eAAemoD,GAAG,CAAC,IAAIiD,EAAED,EAAEhD,GAAG,UAAUA,EAAEyJ,GAAG3K,EAAEmE,GAAG,4BAA4BjD,EAAuB,OAApBiD,EAAEA,EAAEA,EAAEogB,YAAO,IAAgBpd,GAAGnH,EAAEmE,GAAI,aAAajD,EAAE,kBAAkBiD,GAAG,aAC7e3qC,GAAG,KAAK2qC,IAAIsD,GAAGzH,EAAEmE,GAAG,kBAAkBA,GAAGsD,GAAGzH,EAAE,GAAGmE,GAAG,mCAAmCjD,GAAG,6BAA6BA,GAAG,cAAcA,IAAIb,EAAGtnD,eAAemoD,GAAG,MAAMiD,GAAG,aAAajD,GAAGihB,GAAE,SAASniB,GAAG,MAAMmE,GAAGtC,EAAG7B,EAAEkB,EAAEiD,EAAEhD,GAAG,CAAC,OAAO3nC,GAAG,IAAK,QAAQwrC,EAAGhF,GAAGsG,EAAGtG,EAAEgB,GAAE,GAAI,MAAM,IAAK,WAAWgE,EAAGhF,GAAG+G,GAAG/G,GAAG,MAAM,IAAK,SAAS,MAAMgB,EAAE3mD,OAAO2lD,EAAEkC,aAAa,QAAQ,GAAG4C,EAAG9D,EAAE3mD,QAAQ,MAAM,IAAK,SAAS2lD,EAAEl2C,WAAWk3C,EAAEl3C,SAAmB,OAAVo3C,EAAEF,EAAE3mD,OAAcosD,GAAGzG,IAAIgB,EAAEl3C,SAASo3C,GAAE,GAAI,MAAMF,EAAE6E,cAAcY,GAAGzG,IAAIgB,EAAEl3C,SAASk3C,EAAE6E,cAClf,GAAI,MAAM,QAAQ,oBAAoB5E,EAAEp2C,UAAUm1C,EAAEk6B,QAAQ/V,IAAI,OAAO3qD,GAAG,IAAK,SAAS,IAAK,QAAQ,IAAK,SAAS,IAAK,WAAWwnC,IAAIA,EAAEl7C,UAAU,MAAMk6C,EAAE,IAAK,MAAMgB,GAAE,EAAG,MAAMhB,EAAE,QAAQgB,GAAE,EAAG,CAACA,IAAIf,EAAE0N,OAAO,EAAE,CAAC,OAAO1N,EAAEypB,MAAMzpB,EAAE0N,OAAO,IAAI1N,EAAE0N,OAAO,QAAQ,CAAM,OAALnhC,GAAEyzB,GAAU,KAAK,KAAK,EAAE,GAAGD,GAAG,MAAMC,EAAEmM,UAAUksB,GAAGt4B,EAAEC,EAAED,EAAEopB,cAAcpoB,OAAO,CAAC,GAAG,kBAAkBA,GAAG,OAAOf,EAAEmM,UAAU,MAAM5tD,MAAM0lC,EAAE,MAAsC,GAAhC1qB,EAAEo0D,GAAGD,GAAGt0C,SAASu0C,GAAGH,GAAGp0C,SAAY8vC,GAAGlpB,GAAG,CAAyC,GAAxCe,EAAEf,EAAEmM,UAAU5yC,EAAEymC,EAAEmpB,cAAcpoB,EAAEykB,IAAIxlB,GAAKiB,EAAEF,EAAE4G,YAAYpuC,IAC/e,QADofwmC,EACvfqoB,IAAY,OAAOroB,EAAEsE,KAAK,KAAK,EAAE4f,GAAGljB,EAAE4G,UAAUpuC,EAAE,KAAY,EAAPwmC,EAAE7b,OAAS,MAAM,KAAK,GAAE,IAAK6b,EAAEopB,cAAc6Q,0BAA0B/V,GAAGljB,EAAE4G,UAAUpuC,EAAE,KAAY,EAAPwmC,EAAE7b,OAAS+c,IAAIjB,EAAE0N,OAAO,EAAE,MAAM3M,GAAG,IAAIxnC,EAAEmuC,SAASnuC,EAAEA,EAAE+sC,eAAe/J,eAAewE,IAAKykB,IAAIxlB,EAAEA,EAAEmM,UAAUpL,CAAC,CAAM,OAALx0B,GAAEyzB,GAAU,KAAK,KAAK,GAA0B,GAAvB+lB,GAAEiI,IAAGjtB,EAAEf,EAAE4N,cAAiB,OAAO7N,GAAG,OAAOA,EAAE6N,eAAe,OAAO7N,EAAE6N,cAAcC,WAAW,CAAC,GAAGjiC,IAAG,OAAOy8C,IAAI,KAAY,EAAProB,EAAE9b,OAAS,KAAa,IAAR8b,EAAE0N,OAAW0b,KAAKC,KAAKrpB,EAAE0N,OAAO,MAAMzM,GAAE,OAAQ,GAAGA,EAAEioB,GAAGlpB,GAAG,OAAOe,GAAG,OAAOA,EAAE8M,WAAW,CAAC,GAAG,OAC5f9N,EAAE,CAAC,IAAIkB,EAAE,MAAM1iD,MAAM0lC,EAAE,MAAqD,KAA7Bgd,EAAE,QAApBA,EAAEjB,EAAE4N,eAAyB3M,EAAE4M,WAAW,MAAW,MAAMtvD,MAAM0lC,EAAE,MAAMgd,EAAEukB,IAAIxlB,CAAC,MAAMqpB,KAAK,KAAa,IAARrpB,EAAE0N,SAAa1N,EAAE4N,cAAc,MAAM5N,EAAE0N,OAAO,EAAEnhC,GAAEyzB,GAAGiB,GAAE,CAAE,MAAM,OAAOqnB,KAAKwR,GAAGxR,IAAIA,GAAG,MAAMrnB,GAAE,EAAG,IAAIA,EAAE,OAAe,MAARjB,EAAE0N,MAAY1N,EAAE,IAAI,CAAC,OAAG,KAAa,IAARA,EAAE0N,QAAkB1N,EAAEurB,MAAMhyD,EAAEymC,KAAEe,EAAE,OAAOA,MAAO,OAAOhB,GAAG,OAAOA,EAAE6N,gBAAgB7M,IAAIf,EAAExP,MAAMkd,OAAO,KAAK,KAAY,EAAP1N,EAAE9b,QAAU,OAAO6b,GAAG,KAAe,EAAViuB,GAAE50C,SAAW,IAAI+gD,KAAIA,GAAE,GAAGpB,OAAO,OAAO/4B,EAAEmsB,cAAcnsB,EAAE0N,OAAO,GAAGnhC,GAAEyzB,GAAU,MAAK,KAAK,EAAE,OAAO6tB,KACrfsK,GAAGp4B,EAAEC,GAAG,OAAOD,GAAG0iB,GAAGziB,EAAEmM,UAAU2G,eAAevmC,GAAEyzB,GAAG,KAAK,KAAK,GAAG,OAAOirB,GAAGjrB,EAAE9jD,KAAKuoD,UAAUl4B,GAAEyzB,GAAG,KAA+C,KAAK,GAA0B,GAAvB+lB,GAAEiI,IAAwB,QAArB/sB,EAAEjB,EAAE4N,eAA0B,OAAOrhC,GAAEyzB,GAAG,KAAuC,GAAlCe,EAAE,KAAa,IAARf,EAAE0N,OAA4B,QAAjBxM,EAAED,EAAEs4B,WAAsB,GAAGx4B,EAAE64B,GAAG34B,GAAE,OAAQ,CAAC,GAAG,IAAIk5B,IAAG,OAAOp6B,GAAG,KAAa,IAARA,EAAE2N,OAAW,IAAI3N,EAAEC,EAAExP,MAAM,OAAOuP,GAAG,CAAS,GAAG,QAAXmB,EAAE+sB,GAAGluB,IAAe,CAAmG,IAAlGC,EAAE0N,OAAO,IAAIksB,GAAG34B,GAAE,GAAoB,QAAhBF,EAAEG,EAAEirB,eAAuBnsB,EAAEmsB,YAAYprB,EAAEf,EAAE0N,OAAO,GAAG1N,EAAEm5B,aAAa,EAAEp4B,EAAExnC,EAAMA,EAAEymC,EAAExP,MAAM,OAAOj3B,GAAOwmC,EAAEgB,GAANE,EAAE1nC,GAAQm0C,OAAO,SAC/d,QAAdxM,EAAED,EAAEuM,YAAoBvM,EAAEmqB,WAAW,EAAEnqB,EAAEsqB,MAAMxrB,EAAEkB,EAAEzQ,MAAM,KAAKyQ,EAAEk4B,aAAa,EAAEl4B,EAAEkoB,cAAc,KAAKloB,EAAE2M,cAAc,KAAK3M,EAAEkrB,YAAY,KAAKlrB,EAAEoqB,aAAa,KAAKpqB,EAAEkL,UAAU,OAAOlL,EAAEmqB,WAAWlqB,EAAEkqB,WAAWnqB,EAAEsqB,MAAMrqB,EAAEqqB,MAAMtqB,EAAEzQ,MAAM0Q,EAAE1Q,MAAMyQ,EAAEk4B,aAAa,EAAEl4B,EAAEwnB,UAAU,KAAKxnB,EAAEkoB,cAAcjoB,EAAEioB,cAAcloB,EAAE2M,cAAc1M,EAAE0M,cAAc3M,EAAEkrB,YAAYjrB,EAAEirB,YAAYlrB,EAAE/kD,KAAKglD,EAAEhlD,KAAK6jD,EAAEmB,EAAEmqB,aAAapqB,EAAEoqB,aAAa,OAAOtrB,EAAE,KAAK,CAACwrB,MAAMxrB,EAAEwrB,MAAMD,aAAavrB,EAAEurB,eAAe/xD,EAAEA,EAAEy0C,QAA2B,OAAnBgY,GAAEgI,GAAY,EAAVA,GAAE50C,QAAU,GAAU4mB,EAAExP,KAAK,CAACuP,EAClgBA,EAAEiO,OAAO,CAAC,OAAO/M,EAAEw4B,MAAMlvD,KAAI6vD,KAAKp6B,EAAE0N,OAAO,IAAI3M,GAAE,EAAG64B,GAAG34B,GAAE,GAAIjB,EAAEurB,MAAM,QAAQ,KAAK,CAAC,IAAIxqB,EAAE,GAAW,QAARhB,EAAEkuB,GAAG/sB,KAAa,GAAGlB,EAAE0N,OAAO,IAAI3M,GAAE,EAAmB,QAAhBxnC,EAAEwmC,EAAEosB,eAAuBnsB,EAAEmsB,YAAY5yD,EAAEymC,EAAE0N,OAAO,GAAGksB,GAAG34B,GAAE,GAAI,OAAOA,EAAEw4B,MAAM,WAAWx4B,EAAEy4B,WAAWx4B,EAAEsM,YAAY5hC,GAAE,OAAOW,GAAEyzB,GAAG,UAAU,EAAEz1B,KAAI02B,EAAEu4B,mBAAmBY,IAAI,aAAa7gE,IAAIymC,EAAE0N,OAAO,IAAI3M,GAAE,EAAG64B,GAAG34B,GAAE,GAAIjB,EAAEurB,MAAM,SAAStqB,EAAEq4B,aAAap4B,EAAE8M,QAAQhO,EAAExP,MAAMwP,EAAExP,MAAM0Q,IAAa,QAAT3nC,EAAE0nC,EAAE9P,MAAc53B,EAAEy0C,QAAQ9M,EAAElB,EAAExP,MAAM0Q,EAAED,EAAE9P,KAAK+P,EAAE,CAAC,OAAG,OAAOD,EAAEw4B,MAAYz5B,EAAEiB,EAAEw4B,KAAKx4B,EAAEs4B,UAC9ev5B,EAAEiB,EAAEw4B,KAAKz5B,EAAEgO,QAAQ/M,EAAEu4B,mBAAmBjvD,KAAIy1B,EAAEgO,QAAQ,KAAKz0C,EAAEy0D,GAAE50C,QAAQ4sC,GAAEgI,GAAEjtB,EAAI,EAAFxnC,EAAI,EAAI,EAAFA,GAAKymC,IAAEzzB,GAAEyzB,GAAU,MAAK,KAAK,GAAG,KAAK,GAAG,OAAOq6B,KAAKt5B,EAAE,OAAOf,EAAE4N,cAAc,OAAO7N,GAAG,OAAOA,EAAE6N,gBAAgB7M,IAAIf,EAAE0N,OAAO,MAAM3M,GAAG,KAAY,EAAPf,EAAE9b,MAAQ,KAAQ,WAAHqzC,MAAiBhrD,GAAEyzB,GAAkB,EAAfA,EAAEm5B,eAAiBn5B,EAAE0N,OAAO,OAAOnhC,GAAEyzB,GAAG,KAAK,KAAK,GAAe,KAAK,GAAG,OAAO,KAAK,MAAMzhD,MAAM0lC,EAAE,IAAI+b,EAAEqE,KAAM,CAClX,SAASi2B,GAAGv6B,EAAEC,GAAS,OAANmoB,GAAGnoB,GAAUA,EAAEqE,KAAK,KAAK,EAAE,OAAOoiB,GAAGzmB,EAAE9jD,OAAOyqE,KAAiB,OAAZ5mB,EAAEC,EAAE0N,QAAe1N,EAAE0N,OAAS,MAAH3N,EAAS,IAAIC,GAAG,KAAK,KAAK,EAAE,OAAO6tB,KAAK9H,GAAEI,IAAIJ,GAAEG,IAAGkI,KAAe,KAAO,OAAjBruB,EAAEC,EAAE0N,SAAqB,KAAO,IAAF3N,IAAQC,EAAE0N,OAAS,MAAH3N,EAAS,IAAIC,GAAG,KAAK,KAAK,EAAE,OAAO+tB,GAAG/tB,GAAG,KAAK,KAAK,GAA0B,GAAvB+lB,GAAEiI,IAAwB,QAArBjuB,EAAEC,EAAE4N,gBAA2B,OAAO7N,EAAE8N,WAAW,CAAC,GAAG,OAAO7N,EAAEwN,UAAU,MAAMjvD,MAAM0lC,EAAE,MAAMolC,IAAI,CAAW,OAAS,OAAnBtpB,EAAEC,EAAE0N,QAAsB1N,EAAE0N,OAAS,MAAH3N,EAAS,IAAIC,GAAG,KAAK,KAAK,GAAG,OAAO+lB,GAAEiI,IAAG,KAAK,KAAK,EAAE,OAAOH,KAAK,KAAK,KAAK,GAAG,OAAO5C,GAAGjrB,EAAE9jD,KAAKuoD,UAAU,KAAK,KAAK,GAAG,KAAK,GAAG,OAAO41B,KAC1gB,KAAyB,QAAQ,OAAO,KAAK,CArB7CnC,GAAG,SAASn4B,EAAEC,GAAG,IAAI,IAAIzmC,EAAEymC,EAAExP,MAAM,OAAOj3B,GAAG,CAAC,GAAG,IAAIA,EAAE8qC,KAAK,IAAI9qC,EAAE8qC,IAAItE,EAAExX,YAAYhvB,EAAE4yC,gBAAgB,GAAG,IAAI5yC,EAAE8qC,KAAK,OAAO9qC,EAAEi3B,MAAM,CAACj3B,EAAEi3B,MAAMid,OAAOl0C,EAAEA,EAAEA,EAAEi3B,MAAM,QAAQ,CAAC,GAAGj3B,IAAIymC,EAAE,MAAM,KAAK,OAAOzmC,EAAEy0C,SAAS,CAAC,GAAG,OAAOz0C,EAAEk0C,QAAQl0C,EAAEk0C,SAASzN,EAAE,OAAOzmC,EAAEA,EAAEk0C,MAAM,CAACl0C,EAAEy0C,QAAQP,OAAOl0C,EAAEk0C,OAAOl0C,EAAEA,EAAEy0C,OAAO,CAAC,EAAEmqB,GAAG,WAAW,EACxTC,GAAG,SAASr4B,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEjB,EAAEopB,cAAc,GAAGnoB,IAAID,EAAE,CAAChB,EAAEC,EAAEmM,UAAUwhB,GAAGH,GAAGp0C,SAAS,IAA4R8nB,EAAxRD,EAAE,KAAK,OAAO1nC,GAAG,IAAK,QAAQynC,EAAE0E,EAAG3F,EAAEiB,GAAGD,EAAE2E,EAAG3F,EAAEgB,GAAGE,EAAE,GAAG,MAAM,IAAK,SAASD,EAAExxB,EAAE,CAAC,EAAEwxB,EAAE,CAAC5mD,WAAM,IAAS2mD,EAAEvxB,EAAE,CAAC,EAAEuxB,EAAE,CAAC3mD,WAAM,IAAS6mD,EAAE,GAAG,MAAM,IAAK,WAAWD,EAAE0F,GAAG3G,EAAEiB,GAAGD,EAAE2F,GAAG3G,EAAEgB,GAAGE,EAAE,GAAG,MAAM,QAAQ,oBAAoBD,EAAEp2C,SAAS,oBAAoBm2C,EAAEn2C,UAAUm1C,EAAEk6B,QAAQ/V,IAAyB,IAAIlgB,KAAzBwH,GAAGjyC,EAAEwnC,GAASxnC,EAAE,KAAcynC,EAAE,IAAID,EAAEjoD,eAAekrD,IAAIhD,EAAEloD,eAAekrD,IAAI,MAAMhD,EAAEgD,GAAG,GAAG,UAAUA,EAAE,CAAC,IAAIC,EAAEjD,EAAEgD,GAAG,IAAI9C,KAAK+C,EAAEA,EAAEnrD,eAAeooD,KACjf3nC,IAAIA,EAAE,CAAC,GAAGA,EAAE2nC,GAAG,GAAG,KAAK,4BAA4B8C,GAAG,aAAaA,GAAG,mCAAmCA,GAAG,6BAA6BA,GAAG,cAAcA,IAAI5D,EAAGtnD,eAAekrD,GAAG/C,IAAIA,EAAE,KAAKA,EAAEA,GAAG,IAAIhlD,KAAK+nD,EAAE,OAAO,IAAIA,KAAKjD,EAAE,CAAC,IAAImD,EAAEnD,EAAEiD,GAAyB,GAAtBC,EAAE,MAAMjD,EAAEA,EAAEgD,QAAG,EAAUjD,EAAEjoD,eAAekrD,IAAIE,IAAID,IAAI,MAAMC,GAAG,MAAMD,GAAG,GAAG,UAAUD,EAAE,GAAGC,EAAE,CAAC,IAAI/C,KAAK+C,GAAGA,EAAEnrD,eAAeooD,IAAIgD,GAAGA,EAAEprD,eAAeooD,KAAK3nC,IAAIA,EAAE,CAAC,GAAGA,EAAE2nC,GAAG,IAAI,IAAIA,KAAKgD,EAAEA,EAAEprD,eAAeooD,IAAI+C,EAAE/C,KAAKgD,EAAEhD,KAAK3nC,IAAIA,EAAE,CAAC,GAAGA,EAAE2nC,GAAGgD,EAAEhD,GAAG,MAAM3nC,IAAI0nC,IAAIA,EAAE,IAAIA,EAAEhlD,KAAK+nD,EACpfzqC,IAAIA,EAAE2qC,MAAM,4BAA4BF,GAAGE,EAAEA,EAAEA,EAAEogB,YAAO,EAAOrgB,EAAEA,EAAEA,EAAEqgB,YAAO,EAAO,MAAMpgB,GAAGD,IAAIC,IAAIjD,EAAEA,GAAG,IAAIhlD,KAAK+nD,EAAEE,IAAI,aAAaF,EAAE,kBAAkBE,GAAG,kBAAkBA,IAAIjD,EAAEA,GAAG,IAAIhlD,KAAK+nD,EAAE,GAAGE,GAAG,mCAAmCF,GAAG,6BAA6BA,IAAI5D,EAAGtnD,eAAekrD,IAAI,MAAME,GAAG,aAAaF,GAAGke,GAAE,SAASniB,GAAGkB,GAAGgD,IAAIC,IAAIjD,EAAE,MAAMA,EAAEA,GAAG,IAAIhlD,KAAK+nD,EAAEE,GAAG,CAAC3qC,IAAI0nC,EAAEA,GAAG,IAAIhlD,KAAK,QAAQsd,GAAG,IAAIyqC,EAAE/C,GAAKjB,EAAEmsB,YAAYnoB,KAAEhE,EAAE0N,OAAO,EAAC,CAAC,EAAE2qB,GAAG,SAASt4B,EAAEC,EAAEzmC,EAAEwnC,GAAGxnC,IAAIwnC,IAAIf,EAAE0N,OAAO,EAAE,EAkBlb,IAAI6sB,IAAG,EAAGvtD,IAAE,EAAGwtD,GAAG,oBAAoBC,QAAQA,QAAQt6B,IAAIu6B,GAAE,KAAK,SAASC,GAAG56B,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAE0pB,IAAI,GAAG,OAAOlwD,EAAE,GAAG,oBAAoBA,EAAE,IAAIA,EAAE,KAAK,CAAC,MAAMwnC,GAAG65B,GAAE76B,EAAEC,EAAEe,EAAE,MAAMxnC,EAAE6f,QAAQ,IAAI,CAAC,SAASyhD,GAAG96B,EAAEC,EAAEzmC,GAAG,IAAIA,GAAG,CAAC,MAAMwnC,GAAG65B,GAAE76B,EAAEC,EAAEe,EAAE,CAAC,CAAC,IAAI+5B,IAAG,EAIxR,SAASC,GAAGh7B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEf,EAAEmsB,YAAyC,GAAG,QAAhCprB,EAAE,OAAOA,EAAEA,EAAE6vB,WAAW,MAAiB,CAAC,IAAI5vB,EAAED,EAAEA,EAAEllC,KAAK,EAAE,CAAC,IAAImlC,EAAEqD,IAAItE,KAAKA,EAAE,CAAC,IAAIkB,EAAED,EAAEkwB,QAAQlwB,EAAEkwB,aAAQ,OAAO,IAASjwB,GAAG45B,GAAG76B,EAAEzmC,EAAE0nC,EAAE,CAACD,EAAEA,EAAEnlC,IAAI,OAAOmlC,IAAID,EAAE,CAAC,CAAC,SAASi6B,GAAGj7B,EAAEC,GAAgD,GAAG,QAAhCA,EAAE,QAAlBA,EAAEA,EAAEmsB,aAAuBnsB,EAAE4wB,WAAW,MAAiB,CAAC,IAAIr3D,EAAEymC,EAAEA,EAAEnkC,KAAK,EAAE,CAAC,IAAItC,EAAE8qC,IAAItE,KAAKA,EAAE,CAAC,IAAIgB,EAAExnC,EAAEpY,OAAOoY,EAAE23D,QAAQnwB,GAAG,CAACxnC,EAAEA,EAAEsC,IAAI,OAAOtC,IAAIymC,EAAE,CAAC,CAAC,SAASi7B,GAAGl7B,GAAG,IAAIC,EAAED,EAAE0pB,IAAI,GAAG,OAAOzpB,EAAE,CAAC,IAAIzmC,EAAEwmC,EAAEoM,UAAiBpM,EAAEsE,IAA8BtE,EAAExmC,EAAE,oBAAoBymC,EAAEA,EAAED,GAAGC,EAAE5mB,QAAQ2mB,CAAC,CAAC,CAClf,SAASm7B,GAAGn7B,GAAG,IAAIC,EAAED,EAAEyN,UAAU,OAAOxN,IAAID,EAAEyN,UAAU,KAAK0tB,GAAGl7B,IAAID,EAAEvP,MAAM,KAAKuP,EAAE0oB,UAAU,KAAK1oB,EAAEiO,QAAQ,KAAK,IAAIjO,EAAEsE,MAAoB,QAAdrE,EAAED,EAAEoM,oBAA4BnM,EAAEwlB,WAAWxlB,EAAEylB,WAAWzlB,EAAEmiB,WAAWniB,EAAE0lB,WAAW1lB,EAAE2lB,MAAM5lB,EAAEoM,UAAU,KAAKpM,EAAE0N,OAAO,KAAK1N,EAAEsrB,aAAa,KAAKtrB,EAAEopB,cAAc,KAAKppB,EAAE6N,cAAc,KAAK7N,EAAE4oB,aAAa,KAAK5oB,EAAEoM,UAAU,KAAKpM,EAAEosB,YAAY,IAAI,CAAC,SAASgP,GAAGp7B,GAAG,OAAO,IAAIA,EAAEsE,KAAK,IAAItE,EAAEsE,KAAK,IAAItE,EAAEsE,GAAG,CACna,SAAS+2B,GAAGr7B,GAAGA,EAAE,OAAO,CAAC,KAAK,OAAOA,EAAEiO,SAAS,CAAC,GAAG,OAAOjO,EAAE0N,QAAQ0tB,GAAGp7B,EAAE0N,QAAQ,OAAO,KAAK1N,EAAEA,EAAE0N,MAAM,CAA2B,IAA1B1N,EAAEiO,QAAQP,OAAO1N,EAAE0N,OAAW1N,EAAEA,EAAEiO,QAAQ,IAAIjO,EAAEsE,KAAK,IAAItE,EAAEsE,KAAK,KAAKtE,EAAEsE,KAAK,CAAC,GAAW,EAARtE,EAAE2N,MAAQ,SAAS3N,EAAE,GAAG,OAAOA,EAAEvP,OAAO,IAAIuP,EAAEsE,IAAI,SAAStE,EAAOA,EAAEvP,MAAMid,OAAO1N,EAAEA,EAAEA,EAAEvP,KAAK,CAAC,KAAa,EAARuP,EAAE2N,OAAS,OAAO3N,EAAEoM,SAAS,CAAC,CACzT,SAASkvB,GAAGt7B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAEsE,IAAI,GAAG,IAAItD,GAAG,IAAIA,EAAEhB,EAAEA,EAAEoM,UAAUnM,EAAE,IAAIzmC,EAAEmuC,SAASnuC,EAAE+iC,WAAW9K,aAAauO,EAAEC,GAAGzmC,EAAEi4B,aAAauO,EAAEC,IAAI,IAAIzmC,EAAEmuC,UAAU1H,EAAEzmC,EAAE+iC,YAAa9K,aAAauO,EAAExmC,IAAKymC,EAAEzmC,GAAIgvB,YAAYwX,GAA4B,QAAxBxmC,EAAEA,EAAE+hE,2BAA8B,IAAS/hE,GAAG,OAAOymC,EAAEi6B,UAAUj6B,EAAEi6B,QAAQ/V,UAAU,GAAG,IAAInjB,GAAc,QAAVhB,EAAEA,EAAEvP,OAAgB,IAAI6qC,GAAGt7B,EAAEC,EAAEzmC,GAAGwmC,EAAEA,EAAEiO,QAAQ,OAAOjO,GAAGs7B,GAAGt7B,EAAEC,EAAEzmC,GAAGwmC,EAAEA,EAAEiO,OAAO,CAC1X,SAASutB,GAAGx7B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAEsE,IAAI,GAAG,IAAItD,GAAG,IAAIA,EAAEhB,EAAEA,EAAEoM,UAAUnM,EAAEzmC,EAAEi4B,aAAauO,EAAEC,GAAGzmC,EAAEgvB,YAAYwX,QAAQ,GAAG,IAAIgB,GAAc,QAAVhB,EAAEA,EAAEvP,OAAgB,IAAI+qC,GAAGx7B,EAAEC,EAAEzmC,GAAGwmC,EAAEA,EAAEiO,QAAQ,OAAOjO,GAAGw7B,GAAGx7B,EAAEC,EAAEzmC,GAAGwmC,EAAEA,EAAEiO,OAAO,CAAC,IAAIwtB,GAAE,KAAKC,IAAG,EAAG,SAASC,GAAG37B,EAAEC,EAAEzmC,GAAG,IAAIA,EAAEA,EAAEi3B,MAAM,OAAOj3B,GAAGoiE,GAAG57B,EAAEC,EAAEzmC,GAAGA,EAAEA,EAAEy0C,OAAO,CACnR,SAAS2tB,GAAG57B,EAAEC,EAAEzmC,GAAG,GAAGk2C,IAAI,oBAAoBA,GAAGmsB,qBAAqB,IAAInsB,GAAGmsB,qBAAqBpsB,GAAGj2C,EAAE,CAAC,MAAM0qC,GAAG,CAAC,OAAO1qC,EAAE8qC,KAAK,KAAK,EAAEr3B,IAAG2tD,GAAGphE,EAAEymC,GAAG,KAAK,EAAE,IAAIe,EAAEy6B,GAAEx6B,EAAEy6B,GAAGD,GAAE,KAAKE,GAAG37B,EAAEC,EAAEzmC,GAAOkiE,GAAGz6B,EAAE,QAATw6B,GAAEz6B,KAAkB06B,IAAI17B,EAAEy7B,GAAEjiE,EAAEA,EAAE4yC,UAAU,IAAIpM,EAAE2H,SAAS3H,EAAEzD,WAAW+K,YAAY9tC,GAAGwmC,EAAEsH,YAAY9tC,IAAIiiE,GAAEn0B,YAAY9tC,EAAE4yC,YAAY,MAAM,KAAK,GAAG,OAAOqvB,KAAIC,IAAI17B,EAAEy7B,GAAEjiE,EAAEA,EAAE4yC,UAAU,IAAIpM,EAAE2H,SAASyd,GAAGplB,EAAEzD,WAAW/iC,GAAG,IAAIwmC,EAAE2H,UAAUyd,GAAGplB,EAAExmC,GAAG85C,GAAGtT,IAAIolB,GAAGqW,GAAEjiE,EAAE4yC,YAAY,MAAM,KAAK,EAAEpL,EAAEy6B,GAAEx6B,EAAEy6B,GAAGD,GAAEjiE,EAAE4yC,UAAU2G,cAAc2oB,IAAG,EAClfC,GAAG37B,EAAEC,EAAEzmC,GAAGiiE,GAAEz6B,EAAE06B,GAAGz6B,EAAE,MAAM,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG,KAAK,GAAG,IAAIh0B,KAAoB,QAAhB+zB,EAAExnC,EAAE4yD,cAAsC,QAAfprB,EAAEA,EAAE6vB,aAAsB,CAAC5vB,EAAED,EAAEA,EAAEllC,KAAK,EAAE,CAAC,IAAIolC,EAAED,EAAEE,EAAED,EAAEiwB,QAAQjwB,EAAEA,EAAEoD,SAAI,IAASnD,IAAI,KAAO,EAAFD,IAAe,KAAO,EAAFA,KAAf45B,GAAGthE,EAAEymC,EAAEkB,GAAyBF,EAAEA,EAAEnlC,IAAI,OAAOmlC,IAAID,EAAE,CAAC26B,GAAG37B,EAAEC,EAAEzmC,GAAG,MAAM,KAAK,EAAE,IAAIyT,KAAI2tD,GAAGphE,EAAEymC,GAAiB,oBAAde,EAAExnC,EAAE4yC,WAAgC0vB,sBAAsB,IAAI96B,EAAEvlD,MAAM+d,EAAE4vD,cAAcpoB,EAAEziB,MAAM/kB,EAAEq0C,cAAc7M,EAAE86B,sBAAsB,CAAC,MAAM53B,GAAG22B,GAAErhE,EAAEymC,EAAEiE,EAAE,CAACy3B,GAAG37B,EAAEC,EAAEzmC,GAAG,MAAM,KAAK,GAAGmiE,GAAG37B,EAAEC,EAAEzmC,GAAG,MAAM,KAAK,GAAU,EAAPA,EAAE2qB,MAAQlX,IAAG+zB,EAAE/zB,KAAI,OAChfzT,EAAEq0C,cAAc8tB,GAAG37B,EAAEC,EAAEzmC,GAAGyT,GAAE+zB,GAAG26B,GAAG37B,EAAEC,EAAEzmC,GAAG,MAAM,QAAQmiE,GAAG37B,EAAEC,EAAEzmC,GAAG,CAAC,SAASuiE,GAAG/7B,GAAG,IAAIC,EAAED,EAAEosB,YAAY,GAAG,OAAOnsB,EAAE,CAACD,EAAEosB,YAAY,KAAK,IAAI5yD,EAAEwmC,EAAEoM,UAAU,OAAO5yC,IAAIA,EAAEwmC,EAAEoM,UAAU,IAAIquB,IAAIx6B,EAAE5hB,SAAQ,SAAS4hB,GAAG,IAAIe,EAAEg7B,GAAGrZ,KAAK,KAAK3iB,EAAEC,GAAGzmC,EAAE6oD,IAAIpiB,KAAKzmC,EAAEgnC,IAAIP,GAAGA,EAAEglB,KAAKjkB,EAAEA,GAAG,GAAE,CAAC,CACzQ,SAASi7B,GAAGj8B,EAAEC,GAAG,IAAIzmC,EAAEymC,EAAEyoB,UAAU,GAAG,OAAOlvD,EAAE,IAAI,IAAIwnC,EAAE,EAAEA,EAAExnC,EAAEte,OAAO8lD,IAAI,CAAC,IAAIC,EAAEznC,EAAEwnC,GAAG,IAAI,IAAIE,EAAElB,EAAEmB,EAAElB,EAAEiE,EAAE/C,EAAEnB,EAAE,KAAK,OAAOkE,GAAG,CAAC,OAAOA,EAAEI,KAAK,KAAK,EAAEm3B,GAAEv3B,EAAEkI,UAAUsvB,IAAG,EAAG,MAAM17B,EAAE,KAAK,EAA4C,KAAK,EAAEy7B,GAAEv3B,EAAEkI,UAAU2G,cAAc2oB,IAAG,EAAG,MAAM17B,EAAEkE,EAAEA,EAAEwJ,MAAM,CAAC,GAAG,OAAO+tB,GAAE,MAAMj9E,MAAM0lC,EAAE,MAAM03C,GAAG16B,EAAEC,EAAEF,GAAGw6B,GAAE,KAAKC,IAAG,EAAG,IAAIv3B,EAAElD,EAAEwM,UAAU,OAAOtJ,IAAIA,EAAEuJ,OAAO,MAAMzM,EAAEyM,OAAO,IAAI,CAAC,MAAMzJ,GAAG42B,GAAE55B,EAAEhB,EAAEgE,EAAE,CAAC,CAAC,GAAkB,MAAfhE,EAAEm5B,aAAmB,IAAIn5B,EAAEA,EAAExP,MAAM,OAAOwP,GAAGi8B,GAAGj8B,EAAED,GAAGC,EAAEA,EAAEgO,OAAO,CACje,SAASiuB,GAAGl8B,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEyN,UAAUzM,EAAEhB,EAAE2N,MAAM,OAAO3N,EAAEsE,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG,KAAK,GAAiB,GAAd23B,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAQ,EAAFgB,EAAI,CAAC,IAAIg6B,GAAG,EAAEh7B,EAAEA,EAAE0N,QAAQutB,GAAG,EAAEj7B,EAAE,CAAC,MAAM8iB,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,CAAC,IAAIkY,GAAG,EAAEh7B,EAAEA,EAAE0N,OAAO,CAAC,MAAMoV,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,CAAC,CAAC,MAAM,KAAK,EAAEmZ,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAK,IAAFgB,GAAO,OAAOxnC,GAAGohE,GAAGphE,EAAEA,EAAEk0C,QAAQ,MAAM,KAAK,EAAgD,GAA9CuuB,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAK,IAAFgB,GAAO,OAAOxnC,GAAGohE,GAAGphE,EAAEA,EAAEk0C,QAAmB,GAAR1N,EAAE2N,MAAS,CAAC,IAAI1M,EAAEjB,EAAEoM,UAAU,IAAI3E,GAAGxG,EAAE,GAAG,CAAC,MAAM6hB,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,CAAC,CAAC,GAAK,EAAF9hB,GAAoB,OAAdC,EAAEjB,EAAEoM,WAAmB,CAAC,IAAIlL,EAAElB,EAAEopB,cAAcjoB,EAAE,OAAO3nC,EAAEA,EAAE4vD,cAAcloB,EAAEgD,EAAElE,EAAE7jD,KAAKgoD,EAAEnE,EAAEosB,YACje,GAAnBpsB,EAAEosB,YAAY,KAAQ,OAAOjoB,EAAE,IAAI,UAAUD,GAAG,UAAUhD,EAAE/kD,MAAM,MAAM+kD,EAAEhnD,MAAMisD,EAAGlF,EAAEC,GAAGwK,GAAGxH,EAAE/C,GAAG,IAAI8C,EAAEyH,GAAGxH,EAAEhD,GAAG,IAAIC,EAAE,EAAEA,EAAEgD,EAAEjpD,OAAOimD,GAAG,EAAE,CAAC,IAAI7nC,EAAE6qC,EAAEhD,GAAGopB,EAAEpmB,EAAEhD,EAAE,GAAG,UAAU7nC,EAAEqxC,GAAG1J,EAAEspB,GAAG,4BAA4BjxD,EAAE6tC,GAAGlG,EAAEspB,GAAG,aAAajxD,EAAEmuC,GAAGxG,EAAEspB,GAAG1oB,EAAGZ,EAAE3nC,EAAEixD,EAAEtmB,EAAE,CAAC,OAAOC,GAAG,IAAK,QAAQkC,EAAGnF,EAAEC,GAAG,MAAM,IAAK,WAAW4F,GAAG7F,EAAEC,GAAG,MAAM,IAAK,SAAS,IAAIspB,EAAEvpB,EAAE6E,cAAck0B,YAAY/4B,EAAE6E,cAAck0B,cAAc94B,EAAEp3C,SAAS,IAAI2gE,EAAEvpB,EAAE7mD,MAAM,MAAMowE,EAAEhkB,GAAGxF,IAAIC,EAAEp3C,SAAS2gE,GAAE,GAAID,MAAMtpB,EAAEp3C,WAAW,MAAMo3C,EAAE2E,aAAaY,GAAGxF,IAAIC,EAAEp3C,SACnfo3C,EAAE2E,cAAa,GAAIY,GAAGxF,IAAIC,EAAEp3C,SAASo3C,EAAEp3C,SAAS,GAAG,IAAG,IAAKm3C,EAAEykB,IAAIxkB,CAAC,CAAC,MAAM4hB,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,CAAC,CAAC,MAAM,KAAK,EAAgB,GAAdmZ,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAQ,EAAFgB,EAAI,CAAC,GAAG,OAAOhB,EAAEoM,UAAU,MAAM5tD,MAAM0lC,EAAE,MAAM+c,EAAEjB,EAAEoM,UAAUlL,EAAElB,EAAEopB,cAAc,IAAInoB,EAAE2G,UAAU1G,CAAC,CAAC,MAAM4hB,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,CAAC,CAAC,MAAM,KAAK,EAAgB,GAAdmZ,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAQ,EAAFgB,GAAK,OAAOxnC,GAAGA,EAAEq0C,cAAciF,aAAa,IAAIQ,GAAGrT,EAAE8S,cAAc,CAAC,MAAM+P,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,CAAC,MAAM,KAAK,EAG4G,QAAQmZ,GAAGh8B,EACnfD,GAAGm8B,GAAGn8B,SAJ4Y,KAAK,GAAGi8B,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAqB,MAAlBiB,EAAEjB,EAAEvP,OAAQkd,QAAazM,EAAE,OAAOD,EAAE4M,cAAc5M,EAAEmL,UAAUgwB,SAASl7B,GAAGA,GAClf,OAAOD,EAAEwM,WAAW,OAAOxM,EAAEwM,UAAUI,gBAAgBwuB,GAAG7xD,OAAQ,EAAFw2B,GAAK+6B,GAAG/7B,GAAG,MAAM,KAAK,GAAsF,GAAnF1mC,EAAE,OAAOE,GAAG,OAAOA,EAAEq0C,cAAqB,EAAP7N,EAAE7b,MAAQlX,IAAGg3B,EAAEh3B,KAAI3T,EAAE2iE,GAAGh8B,EAAED,GAAG/yB,GAAEg3B,GAAGg4B,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAQ,KAAFgB,EAAO,CAA0B,GAAzBiD,EAAE,OAAOjE,EAAE6N,eAAkB7N,EAAEoM,UAAUgwB,SAASn4B,KAAK3qC,GAAG,KAAY,EAAP0mC,EAAE7b,MAAQ,IAAIw2C,GAAE36B,EAAE1mC,EAAE0mC,EAAEvP,MAAM,OAAOn3B,GAAG,CAAC,IAAIixD,EAAEoQ,GAAErhE,EAAE,OAAOqhE,IAAG,CAAe,OAAVlQ,GAAJD,EAAEmQ,IAAMlqC,MAAa+5B,EAAElmB,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG,KAAK,GAAG02B,GAAG,EAAExQ,EAAEA,EAAE9c,QAAQ,MAAM,KAAK,EAAEktB,GAAGpQ,EAAEA,EAAE9c,QAAQ,IAAImV,EAAE2H,EAAEpe,UAAU,GAAG,oBAAoByW,EAAEiZ,qBAAqB,CAAC96B,EAAEwpB,EAAEhxD,EAAEgxD,EAAE9c,OAAO,IAAIzN,EAAEe,EAAE6hB,EAAEpnE,MACpfwkD,EAAEmpB,cAAcvG,EAAEtkC,MAAM0hB,EAAE4N,cAAcgV,EAAEiZ,sBAAsB,CAAC,MAAMhZ,GAAG+X,GAAE75B,EAAExnC,EAAEspD,EAAE,CAAC,CAAC,MAAM,KAAK,EAAE8X,GAAGpQ,EAAEA,EAAE9c,QAAQ,MAAM,KAAK,GAAG,GAAG,OAAO8c,EAAE3c,cAAc,CAACyuB,GAAG/R,GAAG,QAAQ,EAAE,OAAOE,GAAGA,EAAE/c,OAAO8c,EAAEmQ,GAAElQ,GAAG6R,GAAG/R,EAAE,CAACjxD,EAAEA,EAAE20C,OAAO,CAACjO,EAAE,IAAI1mC,EAAE,KAAKixD,EAAEvqB,IAAI,CAAC,GAAG,IAAIuqB,EAAEjmB,KAAK,GAAG,OAAOhrC,EAAE,CAACA,EAAEixD,EAAE,IAAItpB,EAAEspB,EAAEne,UAAUnI,EAAa,oBAAV/C,EAAED,EAAE9iD,OAA4BpE,YAAYmnD,EAAEnnD,YAAY,UAAU,OAAO,aAAamnD,EAAEq7B,QAAQ,QAASr4B,EAAEqmB,EAAEne,UAAkCjL,OAAE,KAA1BgD,EAAEomB,EAAEnB,cAAcjrE,QAAoB,OAAOgmD,GAAGA,EAAEprD,eAAe,WAAWorD,EAAEo4B,QAAQ,KAAKr4B,EAAE/lD,MAAMo+E,QACzf7xB,GAAG,UAAUvJ,GAAG,CAAC,MAAM2hB,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,CAAC,OAAO,GAAG,IAAIyH,EAAEjmB,KAAK,GAAG,OAAOhrC,EAAE,IAAIixD,EAAEne,UAAUxE,UAAU3D,EAAE,GAAGsmB,EAAEnB,aAAa,CAAC,MAAMtG,GAAG+X,GAAE76B,EAAEA,EAAE0N,OAAOoV,EAAE,OAAO,IAAI,KAAKyH,EAAEjmB,KAAK,KAAKimB,EAAEjmB,KAAK,OAAOimB,EAAE1c,eAAe0c,IAAIvqB,IAAI,OAAOuqB,EAAE95B,MAAM,CAAC85B,EAAE95B,MAAMid,OAAO6c,EAAEA,EAAEA,EAAE95B,MAAM,QAAQ,CAAC,GAAG85B,IAAIvqB,EAAE,MAAMA,EAAE,KAAK,OAAOuqB,EAAEtc,SAAS,CAAC,GAAG,OAAOsc,EAAE7c,QAAQ6c,EAAE7c,SAAS1N,EAAE,MAAMA,EAAE1mC,IAAIixD,IAAIjxD,EAAE,MAAMixD,EAAEA,EAAE7c,MAAM,CAACp0C,IAAIixD,IAAIjxD,EAAE,MAAMixD,EAAEtc,QAAQP,OAAO6c,EAAE7c,OAAO6c,EAAEA,EAAEtc,OAAO,CAAC,CAAC,MAAM,KAAK,GAAGguB,GAAGh8B,EAAED,GAAGm8B,GAAGn8B,GAAK,EAAFgB,GAAK+6B,GAAG/7B,GAAS,KAAK,IACtd,CAAC,SAASm8B,GAAGn8B,GAAG,IAAIC,EAAED,EAAE2N,MAAM,GAAK,EAAF1N,EAAI,CAAC,IAAID,EAAE,CAAC,IAAI,IAAIxmC,EAAEwmC,EAAE0N,OAAO,OAAOl0C,GAAG,CAAC,GAAG4hE,GAAG5hE,GAAG,CAAC,IAAIwnC,EAAExnC,EAAE,MAAMwmC,CAAC,CAACxmC,EAAEA,EAAEk0C,MAAM,CAAC,MAAMlvD,MAAM0lC,EAAE,KAAM,CAAC,OAAO8c,EAAEsD,KAAK,KAAK,EAAE,IAAIrD,EAAED,EAAEoL,UAAkB,GAARpL,EAAE2M,QAAWlG,GAAGxG,EAAE,IAAID,EAAE2M,QAAQ,IAAgB6tB,GAAGx7B,EAATq7B,GAAGr7B,GAAUiB,GAAG,MAAM,KAAK,EAAE,KAAK,EAAE,IAAIE,EAAEH,EAAEoL,UAAU2G,cAAsBuoB,GAAGt7B,EAATq7B,GAAGr7B,GAAUmB,GAAG,MAAM,QAAQ,MAAM3iD,MAAM0lC,EAAE,MAAO,CAAC,MAAMigB,GAAG02B,GAAE76B,EAAEA,EAAE0N,OAAOvJ,EAAE,CAACnE,EAAE2N,QAAQ,CAAC,CAAG,KAAF1N,IAASD,EAAE2N,QAAQ,KAAK,CAAC,SAAS6uB,GAAGx8B,EAAEC,EAAEzmC,GAAGmhE,GAAE36B,EAAEy8B,GAAGz8B,EAAEC,EAAEzmC,EAAE,CACvb,SAASijE,GAAGz8B,EAAEC,EAAEzmC,GAAG,IAAI,IAAIwnC,EAAE,KAAY,EAAPhB,EAAE7b,MAAQ,OAAOw2C,IAAG,CAAC,IAAI15B,EAAE05B,GAAEz5B,EAAED,EAAExQ,MAAM,GAAG,KAAKwQ,EAAEqD,KAAKtD,EAAE,CAAC,IAAIG,EAAE,OAAOF,EAAE4M,eAAe2sB,GAAG,IAAIr5B,EAAE,CAAC,IAAI+C,EAAEjD,EAAEwM,UAAUtJ,EAAE,OAAOD,GAAG,OAAOA,EAAE2J,eAAe5gC,GAAEi3B,EAAEs2B,GAAG,IAAIv2B,EAAEh3B,GAAO,GAALutD,GAAGr5B,GAAMl0B,GAAEk3B,KAAKF,EAAE,IAAI02B,GAAE15B,EAAE,OAAO05B,IAAOx2B,GAAJhD,EAAEw5B,IAAMlqC,MAAM,KAAK0Q,EAAEmD,KAAK,OAAOnD,EAAE0M,cAAc6uB,GAAGz7B,GAAG,OAAOkD,GAAGA,EAAEuJ,OAAOvM,EAAEw5B,GAAEx2B,GAAGu4B,GAAGz7B,GAAG,KAAK,OAAOC,GAAGy5B,GAAEz5B,EAAEu7B,GAAGv7B,EAAEjB,EAAEzmC,GAAG0nC,EAAEA,EAAE+M,QAAQ0sB,GAAE15B,EAAEu5B,GAAGt2B,EAAEj3B,GAAEg3B,CAAC,CAAC04B,GAAG38B,EAAM,MAAM,KAAoB,KAAfiB,EAAEm4B,eAAoB,OAAOl4B,GAAGA,EAAEwM,OAAOzM,EAAE05B,GAAEz5B,GAAGy7B,GAAG38B,EAAM,CAAC,CACvc,SAAS28B,GAAG38B,GAAG,KAAK,OAAO26B,IAAG,CAAC,IAAI16B,EAAE06B,GAAE,GAAG,KAAa,KAAR16B,EAAE0N,OAAY,CAAC,IAAIn0C,EAAEymC,EAAEwN,UAAU,IAAI,GAAG,KAAa,KAARxN,EAAE0N,OAAY,OAAO1N,EAAEqE,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAGr3B,IAAGguD,GAAG,EAAEh7B,GAAG,MAAM,KAAK,EAAE,IAAIe,EAAEf,EAAEmM,UAAU,GAAW,EAARnM,EAAE0N,QAAU1gC,GAAE,GAAG,OAAOzT,EAAEwnC,EAAEm0B,wBAAwB,CAAC,IAAIl0B,EAAEhB,EAAEX,cAAcW,EAAE9jD,KAAKqd,EAAE4vD,cAAcwK,GAAG3zB,EAAE9jD,KAAKqd,EAAE4vD,eAAepoB,EAAE82B,mBAAmB72B,EAAEznC,EAAEq0C,cAAc7M,EAAE47B,oCAAoC,CAAC,IAAI17B,EAAEjB,EAAEmsB,YAAY,OAAOlrB,GAAGqsB,GAAGttB,EAAEiB,EAAEF,GAAG,MAAM,KAAK,EAAE,IAAIG,EAAElB,EAAEmsB,YAAY,GAAG,OAAOjrB,EAAE,CAAQ,GAAP3nC,EAAE,KAAQ,OAAOymC,EAAExP,MAAM,OAAOwP,EAAExP,MAAM6T,KAAK,KAAK,EACvf,KAAK,EAAE9qC,EAAEymC,EAAExP,MAAM2b,UAAUmhB,GAAGttB,EAAEkB,EAAE3nC,EAAE,CAAC,MAAM,KAAK,EAAE,IAAI0qC,EAAEjE,EAAEmM,UAAU,GAAG,OAAO5yC,GAAW,EAARymC,EAAE0N,MAAQ,CAACn0C,EAAE0qC,EAAE,IAAIC,EAAElE,EAAEmpB,cAAc,OAAOnpB,EAAE9jD,MAAM,IAAK,SAAS,IAAK,QAAQ,IAAK,SAAS,IAAK,WAAWgoD,EAAEr+C,WAAW0T,EAAE2mD,QAAQ,MAAM,IAAK,MAAMhc,EAAEvpD,MAAM4e,EAAE5e,IAAIupD,EAAEvpD,KAAK,CAAC,MAAM,KAAK,EAAQ,KAAK,EAAQ,KAAK,GAAyJ,KAAK,GAAG,KAAK,GAAG,KAAK,GAAG,KAAK,GAAG,KAAK,GAAG,KAAK,GAAG,MAAhM,KAAK,GAAG,GAAG,OAAOqlD,EAAE4N,cAAc,CAAC,IAAI5J,EAAEhE,EAAEwN,UAAU,GAAG,OAAOxJ,EAAE,CAAC,IAAI3qC,EAAE2qC,EAAE4J,cAAc,GAAG,OAAOv0C,EAAE,CAAC,IAAIixD,EAAEjxD,EAAEw0C,WAAW,OAAOyc,GAAGjX,GAAGiX,EAAE,CAAC,CAAC,CAAC,MAC5c,QAAQ,MAAM/rE,MAAM0lC,EAAE,MAAOjX,IAAW,IAARgzB,EAAE0N,OAAWutB,GAAGj7B,EAAE,CAAC,MAAMuqB,GAAGqQ,GAAE56B,EAAEA,EAAEyN,OAAO8c,EAAE,CAAC,CAAC,GAAGvqB,IAAID,EAAE,CAAC26B,GAAE,KAAK,KAAK,CAAa,GAAG,QAAfnhE,EAAEymC,EAAEgO,SAAoB,CAACz0C,EAAEk0C,OAAOzN,EAAEyN,OAAOitB,GAAEnhE,EAAE,KAAK,CAACmhE,GAAE16B,EAAEyN,MAAM,CAAC,CAAC,SAAS4uB,GAAGt8B,GAAG,KAAK,OAAO26B,IAAG,CAAC,IAAI16B,EAAE06B,GAAE,GAAG16B,IAAID,EAAE,CAAC26B,GAAE,KAAK,KAAK,CAAC,IAAInhE,EAAEymC,EAAEgO,QAAQ,GAAG,OAAOz0C,EAAE,CAACA,EAAEk0C,OAAOzN,EAAEyN,OAAOitB,GAAEnhE,EAAE,KAAK,CAACmhE,GAAE16B,EAAEyN,MAAM,CAAC,CACvS,SAASgvB,GAAG18B,GAAG,KAAK,OAAO26B,IAAG,CAAC,IAAI16B,EAAE06B,GAAE,IAAI,OAAO16B,EAAEqE,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG,IAAI9qC,EAAEymC,EAAEyN,OAAO,IAAIutB,GAAG,EAAEh7B,EAAE,CAAC,MAAMkE,GAAG02B,GAAE56B,EAAEzmC,EAAE2qC,EAAE,CAAC,MAAM,KAAK,EAAE,IAAInD,EAAEf,EAAEmM,UAAU,GAAG,oBAAoBpL,EAAEm0B,kBAAkB,CAAC,IAAIl0B,EAAEhB,EAAEyN,OAAO,IAAI1M,EAAEm0B,mBAAmB,CAAC,MAAMhxB,GAAG02B,GAAE56B,EAAEgB,EAAEkD,EAAE,CAAC,CAAC,IAAIjD,EAAEjB,EAAEyN,OAAO,IAAIwtB,GAAGj7B,EAAE,CAAC,MAAMkE,GAAG02B,GAAE56B,EAAEiB,EAAEiD,EAAE,CAAC,MAAM,KAAK,EAAE,IAAIhD,EAAElB,EAAEyN,OAAO,IAAIwtB,GAAGj7B,EAAE,CAAC,MAAMkE,GAAG02B,GAAE56B,EAAEkB,EAAEgD,EAAE,EAAE,CAAC,MAAMA,GAAG02B,GAAE56B,EAAEA,EAAEyN,OAAOvJ,EAAE,CAAC,GAAGlE,IAAID,EAAE,CAAC26B,GAAE,KAAK,KAAK,CAAC,IAAIz2B,EAAEjE,EAAEgO,QAAQ,GAAG,OAAO/J,EAAE,CAACA,EAAEwJ,OAAOzN,EAAEyN,OAAOitB,GAAEz2B,EAAE,KAAK,CAACy2B,GAAE16B,EAAEyN,MAAM,CAAC,CAC7d,IAwBkNmvB,GAxB9MC,GAAGrmE,KAAKsmE,KAAKC,GAAG36B,EAAGmsB,uBAAuByO,GAAG56B,EAAGq0B,kBAAkBwG,GAAG76B,EAAGmR,wBAAwB0Z,GAAE,EAAEyD,GAAE,KAAKwM,GAAE,KAAKC,GAAE,EAAE5F,GAAG,EAAED,GAAGxR,GAAG,GAAGqU,GAAE,EAAEiD,GAAG,KAAK/P,GAAG,EAAEgQ,GAAG,EAAEC,GAAG,EAAEC,GAAG,KAAKC,GAAG,KAAKpB,GAAG,EAAEhC,GAAGqD,IAASC,GAAG,KAAK9H,IAAG,EAAGC,GAAG,KAAKI,GAAG,KAAK0H,IAAG,EAAGC,GAAG,KAAKC,GAAG,EAAEC,GAAG,EAAEC,GAAG,KAAKC,IAAI,EAAEC,GAAG,EAAE,SAAS3L,KAAI,OAAO,KAAO,EAAFrF,IAAK1iD,MAAK,IAAIyzD,GAAGA,GAAGA,GAAGzzD,IAAG,CAChU,SAAS4nD,GAAGpyB,GAAG,OAAG,KAAY,EAAPA,EAAE7b,MAAe,EAAK,KAAO,EAAF+oC,KAAM,IAAIkQ,GAASA,IAAGA,GAAK,OAAO5T,GAAG7V,YAAkB,IAAIuqB,KAAKA,GAAGttB,MAAMstB,IAAU,KAAPl+B,EAAEiR,IAAkBjR,EAAiBA,OAAE,KAAjBA,EAAEU,OAAO1tC,OAAmB,GAAGihD,GAAGjU,EAAE7jD,KAAc,CAAC,SAAS80E,GAAGjxB,EAAEC,EAAEzmC,EAAEwnC,GAAG,GAAG,GAAG+8B,GAAG,MAAMA,GAAG,EAAEC,GAAG,KAAKx/E,MAAM0lC,EAAE,MAAM4sB,GAAG9Q,EAAExmC,EAAEwnC,GAAM,KAAO,EAAFksB,KAAMltB,IAAI2wB,KAAE3wB,IAAI2wB,KAAI,KAAO,EAAFzD,MAAOoQ,IAAI9jE,GAAG,IAAI4gE,IAAG+D,GAAGn+B,EAAEo9B,KAAIgB,GAAGp+B,EAAEgB,GAAG,IAAIxnC,GAAG,IAAI0zD,IAAG,KAAY,EAAPjtB,EAAE9b,QAAUk2C,GAAG7vD,KAAI,IAAI48C,IAAIG,MAAK,CAC1Y,SAAS6W,GAAGp+B,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEq+B,cA3MzB,SAAYr+B,EAAEC,GAAG,IAAI,IAAIzmC,EAAEwmC,EAAEsQ,eAAetP,EAAEhB,EAAEuQ,YAAYtP,EAAEjB,EAAEs+B,gBAAgBp9B,EAAElB,EAAEqQ,aAAa,EAAEnP,GAAG,CAAC,IAAIC,EAAE,GAAGwO,GAAGzO,GAAGgD,EAAE,GAAG/C,EAAEgD,EAAElD,EAAEE,IAAO,IAAIgD,EAAM,KAAKD,EAAE1qC,IAAI,KAAK0qC,EAAElD,KAAGC,EAAEE,GAAGuP,GAAGxM,EAAEjE,IAAQkE,GAAGlE,IAAID,EAAEu+B,cAAcr6B,GAAGhD,IAAIgD,CAAC,CAAC,CA2MnLs6B,CAAGx+B,EAAEC,GAAG,IAAIe,EAAEoP,GAAGpQ,EAAEA,IAAI2wB,GAAEyM,GAAE,GAAG,GAAG,IAAIp8B,EAAE,OAAOxnC,GAAG80C,GAAG90C,GAAGwmC,EAAEq+B,aAAa,KAAKr+B,EAAEy+B,iBAAiB,OAAO,GAAGx+B,EAAEe,GAAGA,EAAEhB,EAAEy+B,mBAAmBx+B,EAAE,CAAgB,GAAf,MAAMzmC,GAAG80C,GAAG90C,GAAM,IAAIymC,EAAE,IAAID,EAAEsE,IA5IsJ,SAAYtE,GAAGonB,IAAG,EAAGE,GAAGtnB,EAAE,CA4I5K0+B,CAAGC,GAAGhc,KAAK,KAAK3iB,IAAIsnB,GAAGqX,GAAGhc,KAAK,KAAK3iB,IAAI8kB,IAAG,WAAW,KAAO,EAAFoI,KAAM3F,IAAI,IAAG/tD,EAAE,SAAS,CAAC,OAAO03C,GAAGlQ,IAAI,KAAK,EAAExnC,EAAEu1C,GAAG,MAAM,KAAK,EAAEv1C,EAAEy1C,GAAG,MAAM,KAAK,GAAwC,QAAQz1C,EAAE21C,SAApC,KAAK,UAAU31C,EAAE+1C,GAAsB/1C,EAAEolE,GAAGplE,EAAEqlE,GAAGlc,KAAK,KAAK3iB,GAAG,CAACA,EAAEy+B,iBAAiBx+B,EAAED,EAAEq+B,aAAa7kE,CAAC,CAAC,CAC7c,SAASqlE,GAAG7+B,EAAEC,GAAc,GAAXg+B,IAAI,EAAEC,GAAG,EAAK,KAAO,EAAFhR,IAAK,MAAM1uE,MAAM0lC,EAAE,MAAM,IAAI1qB,EAAEwmC,EAAEq+B,aAAa,GAAGS,MAAM9+B,EAAEq+B,eAAe7kE,EAAE,OAAO,KAAK,IAAIwnC,EAAEoP,GAAGpQ,EAAEA,IAAI2wB,GAAEyM,GAAE,GAAG,GAAG,IAAIp8B,EAAE,OAAO,KAAK,GAAG,KAAO,GAAFA,IAAO,KAAKA,EAAEhB,EAAEu+B,eAAet+B,EAAEA,EAAE8+B,GAAG/+B,EAAEgB,OAAO,CAACf,EAAEe,EAAE,IAAIC,EAAEisB,GAAEA,IAAG,EAAE,IAAIhsB,EAAE89B,KAAgD,IAAxCrO,KAAI3wB,GAAGo9B,KAAIn9B,IAAE09B,GAAG,KAAKtD,GAAG7vD,KAAI,IAAIy0D,GAAGj/B,EAAEC,UAAUi/B,KAAK,KAAK,CAAC,MAAMh7B,GAAGi7B,GAAGn/B,EAAEkE,EAAE,CAAU+mB,KAAK+R,GAAG3jD,QAAQ6nB,EAAEgsB,GAAEjsB,EAAE,OAAOk8B,GAAEl9B,EAAE,GAAG0wB,GAAE,KAAKyM,GAAE,EAAEn9B,EAAEm6B,GAAE,CAAC,GAAG,IAAIn6B,EAAE,CAAyC,GAAxC,IAAIA,IAAY,KAARgB,EAAE0P,GAAG3Q,MAAWgB,EAAEC,EAAEhB,EAAEm/B,GAAGp/B,EAAEiB,KAAQ,IAAIhB,EAAE,MAAMzmC,EAAE6jE,GAAG4B,GAAGj/B,EAAE,GAAGm+B,GAAGn+B,EAAEgB,GAAGo9B,GAAGp+B,EAAEx1B,MAAKhR,EAAE,GAAG,IAAIymC,EAAEk+B,GAAGn+B,EAAEgB,OAChf,CAAuB,GAAtBC,EAAEjB,EAAE3mB,QAAQo0B,UAAa,KAAO,GAAFzM,KAGnC,SAAYhB,GAAG,IAAI,IAAIC,EAAED,IAAI,CAAC,GAAW,MAARC,EAAE0N,MAAY,CAAC,IAAIn0C,EAAEymC,EAAEmsB,YAAY,GAAG,OAAO5yD,GAAe,QAAXA,EAAEA,EAAEs3D,QAAiB,IAAI,IAAI9vB,EAAE,EAAEA,EAAExnC,EAAEte,OAAO8lD,IAAI,CAAC,IAAIC,EAAEznC,EAAEwnC,GAAGE,EAAED,EAAEuvB,YAAYvvB,EAAEA,EAAE5mD,MAAM,IAAI,IAAI6jE,GAAGhd,IAAID,GAAG,OAAM,CAAE,CAAC,MAAME,GAAG,OAAM,CAAE,CAAC,CAAC,CAAW,GAAV3nC,EAAEymC,EAAExP,MAAwB,MAAfwP,EAAEm5B,cAAoB,OAAO5/D,EAAEA,EAAEk0C,OAAOzN,EAAEA,EAAEzmC,MAAM,CAAC,GAAGymC,IAAID,EAAE,MAAM,KAAK,OAAOC,EAAEgO,SAAS,CAAC,GAAG,OAAOhO,EAAEyN,QAAQzN,EAAEyN,SAAS1N,EAAE,OAAM,EAAGC,EAAEA,EAAEyN,MAAM,CAACzN,EAAEgO,QAAQP,OAAOzN,EAAEyN,OAAOzN,EAAEA,EAAEgO,OAAO,CAAC,CAAC,OAAM,CAAE,CAHvXoxB,CAAGp+B,KAAe,KAAVhB,EAAE8+B,GAAG/+B,EAAEgB,MAAmB,KAARE,EAAEyP,GAAG3Q,MAAWgB,EAAEE,EAAEjB,EAAEm/B,GAAGp/B,EAAEkB,KAAK,IAAIjB,GAAG,MAAMzmC,EAAE6jE,GAAG4B,GAAGj/B,EAAE,GAAGm+B,GAAGn+B,EAAEgB,GAAGo9B,GAAGp+B,EAAEx1B,MAAKhR,EAAqC,OAAnCwmC,EAAEs/B,aAAar+B,EAAEjB,EAAEu/B,cAAcv+B,EAASf,GAAG,KAAK,EAAE,KAAK,EAAE,MAAMzhD,MAAM0lC,EAAE,MAAM,KAAK,EAC8B,KAAK,EAAEs7C,GAAGx/B,EAAEy9B,GAAGE,IAAI,MAD7B,KAAK,EAAU,GAARQ,GAAGn+B,EAAEgB,IAAS,UAAFA,KAAeA,GAAiB,IAAbf,EAAEo8B,GAAG,IAAI7xD,MAAU,CAAC,GAAG,IAAI4lC,GAAGpQ,EAAE,GAAG,MAAyB,KAAnBiB,EAAEjB,EAAEsQ,gBAAqBtP,KAAKA,EAAE,CAACuxB,KAAIvyB,EAAEuQ,aAAavQ,EAAEsQ,eAAerP,EAAE,KAAK,CAACjB,EAAEy/B,cAAcjb,GAAGgb,GAAG7c,KAAK,KAAK3iB,EAAEy9B,GAAGE,IAAI19B,GAAG,KAAK,CAACu/B,GAAGx/B,EAAEy9B,GAAGE,IAAI,MAAM,KAAK,EAAU,GAARQ,GAAGn+B,EAAEgB,IAAS,QAAFA,KAC9eA,EAAE,MAAqB,IAAff,EAAED,EAAE+Q,WAAe9P,GAAG,EAAE,EAAED,GAAG,CAAC,IAAIG,EAAE,GAAGwO,GAAG3O,GAAGE,EAAE,GAAGC,GAAEA,EAAElB,EAAEkB,IAAKF,IAAIA,EAAEE,GAAGH,IAAIE,CAAC,CAAqG,GAApGF,EAAEC,EAAqG,IAA3FD,GAAG,KAAXA,EAAEx2B,KAAIw2B,GAAW,IAAI,IAAIA,EAAE,IAAI,KAAKA,EAAE,KAAK,KAAKA,EAAE,KAAK,IAAIA,EAAE,IAAI,KAAKA,EAAE,KAAK,KAAK87B,GAAG97B,EAAE,OAAOA,GAAU,CAAChB,EAAEy/B,cAAcjb,GAAGgb,GAAG7c,KAAK,KAAK3iB,EAAEy9B,GAAGE,IAAI38B,GAAG,KAAK,CAACw+B,GAAGx/B,EAAEy9B,GAAGE,IAAI,MAA+B,QAAQ,MAAMn/E,MAAM0lC,EAAE,MAAO,CAAC,CAAW,OAAVk6C,GAAGp+B,EAAEx1B,MAAYw1B,EAAEq+B,eAAe7kE,EAAEqlE,GAAGlc,KAAK,KAAK3iB,GAAG,IAAI,CACrX,SAASo/B,GAAGp/B,EAAEC,GAAG,IAAIzmC,EAAEgkE,GAA2G,OAAxGx9B,EAAE3mB,QAAQw0B,cAAciF,eAAemsB,GAAGj/B,EAAEC,GAAG0N,OAAO,KAAe,KAAV3N,EAAE++B,GAAG/+B,EAAEC,MAAWA,EAAEw9B,GAAGA,GAAGjkE,EAAE,OAAOymC,GAAG85B,GAAG95B,IAAWD,CAAC,CAAC,SAAS+5B,GAAG/5B,GAAG,OAAOy9B,GAAGA,GAAGz9B,EAAEy9B,GAAGvhF,KAAKoa,MAAMmnE,GAAGz9B,EAAE,CAE5L,SAASm+B,GAAGn+B,EAAEC,GAAuD,IAApDA,IAAIs9B,GAAGt9B,IAAIq9B,GAAGt9B,EAAEsQ,gBAAgBrQ,EAAED,EAAEuQ,cAActQ,EAAMD,EAAEA,EAAEs+B,gBAAgB,EAAEr+B,GAAG,CAAC,IAAIzmC,EAAE,GAAGm2C,GAAG1P,GAAGe,EAAE,GAAGxnC,EAAEwmC,EAAExmC,IAAI,EAAEymC,IAAIe,CAAC,CAAC,CAAC,SAAS29B,GAAG3+B,GAAG,GAAG,KAAO,EAAFktB,IAAK,MAAM1uE,MAAM0lC,EAAE,MAAM46C,KAAK,IAAI7+B,EAAEmQ,GAAGpQ,EAAE,GAAG,GAAG,KAAO,EAAFC,GAAK,OAAOm+B,GAAGp+B,EAAEx1B,MAAK,KAAK,IAAIhR,EAAEulE,GAAG/+B,EAAEC,GAAG,GAAG,IAAID,EAAEsE,KAAK,IAAI9qC,EAAE,CAAC,IAAIwnC,EAAE2P,GAAG3Q,GAAG,IAAIgB,IAAIf,EAAEe,EAAExnC,EAAE4lE,GAAGp/B,EAAEgB,GAAG,CAAC,GAAG,IAAIxnC,EAAE,MAAMA,EAAE6jE,GAAG4B,GAAGj/B,EAAE,GAAGm+B,GAAGn+B,EAAEC,GAAGm+B,GAAGp+B,EAAEx1B,MAAKhR,EAAE,GAAG,IAAIA,EAAE,MAAMhb,MAAM0lC,EAAE,MAAiF,OAA3E8b,EAAEs/B,aAAat/B,EAAE3mB,QAAQo0B,UAAUzN,EAAEu/B,cAAct/B,EAAEu/B,GAAGx/B,EAAEy9B,GAAGE,IAAIS,GAAGp+B,EAAEx1B,MAAY,IAAI,CACvd,SAASk1D,GAAG1/B,EAAEC,GAAG,IAAIzmC,EAAE0zD,GAAEA,IAAG,EAAE,IAAI,OAAOltB,EAAEC,EAAE,CAAC,QAAY,KAAJitB,GAAE1zD,KAAU6gE,GAAG7vD,KAAI,IAAI48C,IAAIG,KAAK,CAAC,CAAC,SAASoY,GAAG3/B,GAAG,OAAO69B,IAAI,IAAIA,GAAGv5B,KAAK,KAAO,EAAF4oB,KAAM4R,KAAK,IAAI7+B,EAAEitB,GAAEA,IAAG,EAAE,IAAI1zD,EAAE0jE,GAAGvpB,WAAW3S,EAAEiQ,GAAE,IAAI,GAAGisB,GAAGvpB,WAAW,KAAK1C,GAAE,EAAEjR,EAAE,OAAOA,GAAG,CAAC,QAAQiR,GAAEjQ,EAAEk8B,GAAGvpB,WAAWn6C,EAAM,KAAO,GAAX0zD,GAAEjtB,KAAasnB,IAAI,CAAC,CAAC,SAAS+S,KAAK9C,GAAGD,GAAGl+C,QAAQ2sC,GAAEuR,GAAG,CAChT,SAAS0H,GAAGj/B,EAAEC,GAAGD,EAAEs/B,aAAa,KAAKt/B,EAAEu/B,cAAc,EAAE,IAAI/lE,EAAEwmC,EAAEy/B,cAAiD,IAAlC,IAAIjmE,IAAIwmC,EAAEy/B,eAAe,EAAE/a,GAAGlrD,IAAO,OAAO2jE,GAAE,IAAI3jE,EAAE2jE,GAAEzvB,OAAO,OAAOl0C,GAAG,CAAC,IAAIwnC,EAAExnC,EAAQ,OAAN4uD,GAAGpnB,GAAUA,EAAEsD,KAAK,KAAK,EAA6B,QAA3BtD,EAAEA,EAAE7kD,KAAKwqE,yBAA4B,IAAS3lB,GAAG4lB,KAAK,MAAM,KAAK,EAAEkH,KAAK9H,GAAEI,IAAIJ,GAAEG,IAAGkI,KAAK,MAAM,KAAK,EAAEL,GAAGhtB,GAAG,MAAM,KAAK,EAAE8sB,KAAK,MAAM,KAAK,GAAc,KAAK,GAAG9H,GAAEiI,IAAG,MAAM,KAAK,GAAG/C,GAAGlqB,EAAE7kD,KAAKuoD,UAAU,MAAM,KAAK,GAAG,KAAK,GAAG41B,KAAK9gE,EAAEA,EAAEk0C,MAAM,CAAqE,GAApEijB,GAAE3wB,EAAEm9B,GAAEn9B,EAAEiqB,GAAGjqB,EAAE3mB,QAAQ,MAAM+jD,GAAE5F,GAAGv3B,EAAEm6B,GAAE,EAAEiD,GAAG,KAAKE,GAAGD,GAAGhQ,GAAG,EAAEmQ,GAAGD,GAAG,KAAQ,OAAO3R,GAAG,CAAC,IAAI5rB,EAC1f,EAAEA,EAAE4rB,GAAG3wE,OAAO+kD,IAAI,GAA2B,QAAhBe,GAARxnC,EAAEqyD,GAAG5rB,IAAO+rB,aAAqB,CAACxyD,EAAEwyD,YAAY,KAAK,IAAI/qB,EAAED,EAAEllC,KAAKolC,EAAE1nC,EAAEizD,QAAQ,GAAG,OAAOvrB,EAAE,CAAC,IAAIC,EAAED,EAAEplC,KAAKolC,EAAEplC,KAAKmlC,EAAED,EAAEllC,KAAKqlC,CAAC,CAAC3nC,EAAEizD,QAAQzrB,CAAC,CAAC6qB,GAAG,IAAI,CAAC,OAAO7rB,CAAC,CAC3K,SAASm/B,GAAGn/B,EAAEC,GAAG,OAAE,CAAC,IAAIzmC,EAAE2jE,GAAE,IAAuB,GAAnBlS,KAAKsD,GAAGl1C,QAAQk2C,GAAMT,GAAG,CAAC,IAAI,IAAI9tB,EAAE2tB,GAAE9gB,cAAc,OAAO7M,GAAG,CAAC,IAAIC,EAAED,EAAExkC,MAAM,OAAOykC,IAAIA,EAAEwrB,QAAQ,MAAMzrB,EAAEA,EAAEllC,IAAI,CAACgzD,IAAG,CAAE,CAA4C,GAA3CJ,GAAG,EAAEG,GAAED,GAAED,GAAE,KAAKI,IAAG,EAAGC,GAAG,EAAEiO,GAAG5jD,QAAQ,KAAQ,OAAO7f,GAAG,OAAOA,EAAEk0C,OAAO,CAAC0sB,GAAE,EAAEiD,GAAGp9B,EAAEk9B,GAAE,KAAK,KAAK,CAACn9B,EAAE,CAAC,IAAIkB,EAAElB,EAAEmB,EAAE3nC,EAAEk0C,OAAOxJ,EAAE1qC,EAAE2qC,EAAElE,EAAqB,GAAnBA,EAAEm9B,GAAEl5B,EAAEyJ,OAAO,MAAS,OAAOxJ,GAAG,kBAAkBA,GAAG,oBAAoBA,EAAE8gB,KAAK,CAAC,IAAIhhB,EAAEE,EAAE7qC,EAAE4qC,EAAEqmB,EAAEjxD,EAAEgrC,IAAI,GAAG,KAAY,EAAPhrC,EAAE6qB,QAAU,IAAIomC,GAAG,KAAKA,GAAG,KAAKA,GAAG,CAAC,IAAIC,EAAElxD,EAAEm0C,UAAU+c,GAAGlxD,EAAE8yD,YAAY5B,EAAE4B,YAAY9yD,EAAEu0C,cAAc2c,EAAE3c,cACxev0C,EAAEkyD,MAAMhB,EAAEgB,QAAQlyD,EAAE8yD,YAAY,KAAK9yD,EAAEu0C,cAAc,KAAK,CAAC,IAAI4c,EAAE8L,GAAGp1B,GAAG,GAAG,OAAOspB,EAAE,CAACA,EAAE9c,QAAQ,IAAI6oB,GAAG/L,EAAEtpB,EAAE+C,EAAEhD,EAAEjB,GAAU,EAAPwqB,EAAEtmC,MAAQiyC,GAAGl1B,EAAE+C,EAAEhE,GAAOkE,EAAEF,EAAE,IAAI4e,GAAZ5iB,EAAEwqB,GAAc2B,YAAY,GAAG,OAAOvJ,EAAE,CAAC,IAAIC,EAAE,IAAI1iB,IAAI0iB,EAAEtiB,IAAI2D,GAAGlE,EAAEmsB,YAAYtJ,CAAC,MAAMD,EAAEriB,IAAI2D,GAAG,MAAMnE,CAAC,CAAM,GAAG,KAAO,EAAFC,GAAK,CAACm2B,GAAGl1B,EAAE+C,EAAEhE,GAAG+4B,KAAK,MAAMh5B,CAAC,CAACmE,EAAE3lD,MAAM0lC,EAAE,KAAM,MAAM,GAAGrY,IAAU,EAAPq4B,EAAE/f,KAAO,CAAC,IAAI4+B,EAAEwT,GAAGp1B,GAAG,GAAG,OAAO4hB,EAAE,CAAC,KAAa,MAARA,EAAEpV,SAAeoV,EAAEpV,OAAO,KAAK6oB,GAAGzT,EAAE5hB,EAAE+C,EAAEhD,EAAEjB,GAAGspB,GAAG6L,GAAGjxB,EAAED,IAAI,MAAMlE,CAAC,CAAC,CAACkB,EAAEiD,EAAEixB,GAAGjxB,EAAED,GAAG,IAAIk2B,KAAIA,GAAE,GAAG,OAAOoD,GAAGA,GAAG,CAACt8B,GAAGs8B,GAAGthF,KAAKglD,GAAGA,EAAEC,EAAE,EAAE,CAAC,OAAOD,EAAEoD,KAAK,KAAK,EAAEpD,EAAEyM,OAAO,MACpf1N,IAAIA,EAAEiB,EAAEsqB,OAAOvrB,EAAkBmtB,GAAGlsB,EAAb00B,GAAG10B,EAAEiD,EAAElE,IAAW,MAAMD,EAAE,KAAK,EAAEkE,EAAEC,EAAE,IAAI+e,EAAEhiB,EAAE/kD,KAAK8mE,EAAE/hB,EAAEkL,UAAU,GAAG,KAAa,IAARlL,EAAEyM,SAAa,oBAAoBuV,EAAE8S,0BAA0B,OAAO/S,GAAG,oBAAoBA,EAAEgT,oBAAoB,OAAOC,KAAKA,GAAG7T,IAAIY,KAAK,CAAC/hB,EAAEyM,OAAO,MAAM1N,IAAIA,EAAEiB,EAAEsqB,OAAOvrB,EAAkBmtB,GAAGlsB,EAAb60B,GAAG70B,EAAEgD,EAAEjE,IAAW,MAAMD,CAAC,EAAEkB,EAAEA,EAAEwM,MAAM,OAAO,OAAOxM,EAAE,CAAC0+B,GAAGpmE,EAAE,CAAC,MAAMiqD,GAAIxjB,EAAEwjB,EAAG0Z,KAAI3jE,GAAG,OAAOA,IAAI2jE,GAAE3jE,EAAEA,EAAEk0C,QAAQ,QAAQ,CAAC,KAAK,CAAS,CAAC,SAASsxB,KAAK,IAAIh/B,EAAEg9B,GAAG3jD,QAAsB,OAAd2jD,GAAG3jD,QAAQk2C,GAAU,OAAOvvB,EAAEuvB,GAAGvvB,CAAC,CACrd,SAASg5B,KAAQ,IAAIoB,IAAG,IAAIA,IAAG,IAAIA,KAAEA,GAAE,GAAE,OAAOzJ,IAAG,KAAQ,UAAHrD,KAAe,KAAQ,UAAHgQ,KAAea,GAAGxN,GAAEyM,GAAE,CAAC,SAAS2B,GAAG/+B,EAAEC,GAAG,IAAIzmC,EAAE0zD,GAAEA,IAAG,EAAE,IAAIlsB,EAAEg+B,KAAqC,IAA7BrO,KAAI3wB,GAAGo9B,KAAIn9B,IAAE09B,GAAG,KAAKsB,GAAGj/B,EAAEC,UAAU4/B,KAAK,KAAK,CAAC,MAAM5+B,GAAGk+B,GAAGn/B,EAAEiB,EAAE,CAAgC,GAAtBgqB,KAAKiC,GAAE1zD,EAAEwjE,GAAG3jD,QAAQ2nB,EAAK,OAAOm8B,GAAE,MAAM3+E,MAAM0lC,EAAE,MAAiB,OAAXysC,GAAE,KAAKyM,GAAE,EAAShD,EAAC,CAAC,SAASyF,KAAK,KAAK,OAAO1C,IAAG2C,GAAG3C,GAAE,CAAC,SAAS+B,KAAK,KAAK,OAAO/B,KAAI3uB,MAAMsxB,GAAG3C,GAAE,CAAC,SAAS2C,GAAG9/B,GAAG,IAAIC,EAAE48B,GAAG78B,EAAEyN,UAAUzN,EAAEw3B,IAAIx3B,EAAEopB,cAAcppB,EAAE4oB,aAAa,OAAO3oB,EAAE2/B,GAAG5/B,GAAGm9B,GAAEl9B,EAAEg9B,GAAG5jD,QAAQ,IAAI,CAC1d,SAASumD,GAAG5/B,GAAG,IAAIC,EAAED,EAAE,EAAE,CAAC,IAAIxmC,EAAEymC,EAAEwN,UAAqB,GAAXzN,EAAEC,EAAEyN,OAAU,KAAa,MAARzN,EAAE0N,QAAc,GAAgB,QAAbn0C,EAAEsgE,GAAGtgE,EAAEymC,EAAEu3B,KAAkB,YAAJ2F,GAAE3jE,OAAc,CAAW,GAAG,QAAbA,EAAE+gE,GAAG/gE,EAAEymC,IAAmC,OAAnBzmC,EAAEm0C,OAAO,WAAMwvB,GAAE3jE,GAAS,GAAG,OAAOwmC,EAAmE,OAAXo6B,GAAE,OAAE+C,GAAE,MAA5Dn9B,EAAE2N,OAAO,MAAM3N,EAAEo5B,aAAa,EAAEp5B,EAAE0oB,UAAU,IAA4B,CAAa,GAAG,QAAfzoB,EAAEA,EAAEgO,SAAyB,YAAJkvB,GAAEl9B,GAASk9B,GAAEl9B,EAAED,CAAC,OAAO,OAAOC,GAAG,IAAIm6B,KAAIA,GAAE,EAAE,CAAC,SAASoF,GAAGx/B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEiQ,GAAEhQ,EAAEi8B,GAAGvpB,WAAW,IAAIupB,GAAGvpB,WAAW,KAAK1C,GAAE,EAC3Y,SAAYjR,EAAEC,EAAEzmC,EAAEwnC,GAAG,GAAG89B,WAAW,OAAOjB,IAAI,GAAG,KAAO,EAAF3Q,IAAK,MAAM1uE,MAAM0lC,EAAE,MAAM1qB,EAAEwmC,EAAEs/B,aAAa,IAAIr+B,EAAEjB,EAAEu/B,cAAc,GAAG,OAAO/lE,EAAE,OAAO,KAA2C,GAAtCwmC,EAAEs/B,aAAa,KAAKt/B,EAAEu/B,cAAc,EAAK/lE,IAAIwmC,EAAE3mB,QAAQ,MAAM76B,MAAM0lC,EAAE,MAAM8b,EAAEq+B,aAAa,KAAKr+B,EAAEy+B,iBAAiB,EAAE,IAAIv9B,EAAE1nC,EAAEgyD,MAAMhyD,EAAE6xD,WAA8J,GAzNtT,SAAYrrB,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEqQ,cAAcpQ,EAAED,EAAEqQ,aAAapQ,EAAED,EAAEsQ,eAAe,EAAEtQ,EAAEuQ,YAAY,EAAEvQ,EAAEu+B,cAAct+B,EAAED,EAAE+/B,kBAAkB9/B,EAAED,EAAEwQ,gBAAgBvQ,EAAEA,EAAED,EAAEyQ,cAAc,IAAIzP,EAAEhB,EAAE+Q,WAAW,IAAI/Q,EAAEA,EAAEs+B,gBAAgB,EAAE9kE,GAAG,CAAC,IAAIynC,EAAE,GAAG0O,GAAGn2C,GAAG0nC,EAAE,GAAGD,EAAEhB,EAAEgB,GAAG,EAAED,EAAEC,IAAI,EAAEjB,EAAEiB,IAAI,EAAEznC,IAAI0nC,CAAC,CAAC,CAyN5G8+B,CAAGhgC,EAAEkB,GAAGlB,IAAI2wB,KAAIwM,GAAExM,GAAE,KAAKyM,GAAE,GAAG,KAAoB,KAAf5jE,EAAE4/D,eAAoB,KAAa,KAAR5/D,EAAEm0C,QAAaiwB,KAAKA,IAAG,EAAGgB,GAAGzvB,IAAG,WAAgB,OAAL2vB,KAAY,IAAI,KAAI59B,EAAE,KAAa,MAAR1nC,EAAEm0C,OAAgB,KAAoB,MAAfn0C,EAAE4/D,eAAqBl4B,EAAE,CAACA,EAAEg8B,GAAGvpB,WAAWupB,GAAGvpB,WAAW,KAChf,IAAIxS,EAAE8P,GAAEA,GAAE,EAAE,IAAI/M,EAAEgpB,GAAEA,IAAG,EAAE+P,GAAG5jD,QAAQ,KA1CpC,SAAY2mB,EAAEC,GAAgB,GAAbmkB,GAAG3Q,GAAamL,GAAV5e,EAAEye,MAAc,CAAC,GAAG,mBAAmBze,EAAE,IAAIxmC,EAAE,CAAC9d,MAAMskD,EAAEif,eAAez/D,IAAIwgD,EAAEkf,mBAAmBlf,EAAE,CAA8C,IAAIgB,GAAjDxnC,GAAGA,EAAEwmC,EAAEuG,gBAAgB/sC,EAAE2lD,aAAaze,QAAe0e,cAAc5lD,EAAE4lD,eAAe,GAAGpe,GAAG,IAAIA,EAAEqe,WAAW,CAAC7lD,EAAEwnC,EAAEse,WAAW,IAAIre,EAAED,EAAEue,aAAare,EAAEF,EAAEwe,UAAUxe,EAAEA,EAAEye,YAAY,IAAIjmD,EAAEmuC,SAASzG,EAAEyG,QAAQ,CAAC,MAAMwb,GAAG3pD,EAAE,KAAK,MAAMwmC,CAAC,CAAC,IAAImB,EAAE,EAAE+C,GAAG,EAAEC,GAAG,EAAEF,EAAE,EAAE3qC,EAAE,EAAEixD,EAAEvqB,EAAEwqB,EAAE,KAAKvqB,EAAE,OAAO,CAAC,IAAI,IAAIwqB,EAAKF,IAAI/wD,GAAG,IAAIynC,GAAG,IAAIspB,EAAE5iB,WAAWzD,EAAE/C,EAAEF,GAAGspB,IAAIrpB,GAAG,IAAIF,GAAG,IAAIupB,EAAE5iB,WAAWxD,EAAEhD,EAAEH,GAAG,IAAIupB,EAAE5iB,WAAWxG,GACnfopB,EAAE3iB,UAAU1sD,QAAW,QAAQuvE,EAAEF,EAAEljB,aAAkBmjB,EAAED,EAAEA,EAAEE,EAAE,OAAO,CAAC,GAAGF,IAAIvqB,EAAE,MAAMC,EAA8C,GAA5CuqB,IAAIhxD,KAAKyqC,IAAIhD,IAAIiD,EAAE/C,GAAGqpB,IAAItpB,KAAK5nC,IAAI0nC,IAAImD,EAAEhD,GAAM,QAAQspB,EAAEF,EAAEjM,aAAa,MAAUkM,GAAJD,EAAEC,GAAMjuB,UAAU,CAACguB,EAAEE,CAAC,CAACjxD,GAAG,IAAI0qC,IAAI,IAAIC,EAAE,KAAK,CAACzoD,MAAMwoD,EAAE1kD,IAAI2kD,EAAE,MAAM3qC,EAAE,IAAI,CAACA,EAAEA,GAAG,CAAC9d,MAAM,EAAE8D,IAAI,EAAE,MAAMga,EAAE,KAA+C,IAA1C6qD,GAAG,CAACvF,YAAY9e,EAAE+e,eAAevlD,GAAGi6C,IAAG,EAAOknB,GAAE16B,EAAE,OAAO06B,IAAG,GAAO36B,GAAJC,EAAE06B,IAAMlqC,MAAM,KAAoB,KAAfwP,EAAEm5B,eAAoB,OAAOp5B,EAAEA,EAAE0N,OAAOzN,EAAE06B,GAAE36B,OAAO,KAAK,OAAO26B,IAAG,CAAC16B,EAAE06B,GAAE,IAAI,IAAI9X,EAAE5iB,EAAEwN,UAAU,GAAG,KAAa,KAARxN,EAAE0N,OAAY,OAAO1N,EAAEqE,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GACvK,KAAK,EAAE,KAAK,EAAE,KAAK,EAAE,KAAK,GAAG,MAA3W,KAAK,EAAE,GAAG,OAAOue,EAAE,CAAC,IAAIC,EAAED,EAAEuG,cAAcrG,EAAEF,EAAEhV,cAAcmV,EAAE/iB,EAAEmM,UAAU8W,EAAEF,EAAEgS,wBAAwB/0B,EAAEX,cAAcW,EAAE9jD,KAAK2mE,EAAE8Q,GAAG3zB,EAAE9jD,KAAK2mE,GAAGC,GAAGC,EAAE4Z,oCAAoC1Z,CAAC,CAAC,MAAM,KAAK,EAAE,IAAID,EAAEhjB,EAAEmM,UAAU2G,cAAc,IAAIkQ,EAAEtb,SAASsb,EAAEtoD,YAAY,GAAG,IAAIsoD,EAAEtb,UAAUsb,EAAEjE,iBAAiBiE,EAAE3b,YAAY2b,EAAEjE,iBAAiB,MAAyC,QAAQ,MAAMxgE,MAAM0lC,EAAE,MAAO,CAAC,MAAMi/B,GAAG0X,GAAE56B,EAAEA,EAAEyN,OAAOyV,EAAE,CAAa,GAAG,QAAfnjB,EAAEC,EAAEgO,SAAoB,CAACjO,EAAE0N,OAAOzN,EAAEyN,OAAOitB,GAAE36B,EAAE,KAAK,CAAC26B,GAAE16B,EAAEyN,MAAM,CAACmV,EAAEkY,GAAGA,IAAG,CAAW,CAwCldkF,CAAGjgC,EAAExmC,GAAG0iE,GAAG1iE,EAAEwmC,GAAG6e,GAAGwF,IAAI5Q,KAAK2Q,GAAGC,GAAGD,GAAG,KAAKpkB,EAAE3mB,QAAQ7f,EAAEgjE,GAAGhjE,EAAEwmC,EAAEiB,GAAGyN,KAAKwe,GAAEhpB,EAAE+M,GAAE9P,EAAE+7B,GAAGvpB,WAAWzS,CAAC,MAAMlB,EAAE3mB,QAAQ7f,EAAsF,GAApFokE,KAAKA,IAAG,EAAGC,GAAG79B,EAAE89B,GAAG78B,GAAGC,EAAElB,EAAEqQ,aAAa,IAAInP,IAAIg1B,GAAG,MAhOmJ,SAAYl2B,GAAG,GAAG0P,IAAI,oBAAoBA,GAAGwwB,kBAAkB,IAAIxwB,GAAGwwB,kBAAkBzwB,GAAGzP,OAAE,EAAO,OAAuB,IAAhBA,EAAE3mB,QAAQs0B,OAAW,CAAC,MAAM1N,GAAG,CAAC,CAgOxRkgC,CAAG3mE,EAAE4yC,WAAagyB,GAAGp+B,EAAEx1B,MAAQ,OAAOy1B,EAAE,IAAIe,EAAEhB,EAAEogC,mBAAmB5mE,EAAE,EAAEA,EAAEymC,EAAE/kD,OAAOse,IAAIynC,EAAEhB,EAAEzmC,GAAGwnC,EAAEC,EAAE5mD,MAAM,CAAC87E,eAAel1B,EAAE/nB,MAAMo8C,OAAOr0B,EAAEq0B,SAAS,GAAGO,GAAG,MAAMA,IAAG,EAAG71B,EAAE81B,GAAGA,GAAG,KAAK91B,EAAE,KAAQ,EAAH89B,KAAO,IAAI99B,EAAEsE,KAAKw6B,KAAK59B,EAAElB,EAAEqQ,aAAa,KAAO,EAAFnP,GAAKlB,IAAIg+B,GAAGD,MAAMA,GAAG,EAAEC,GAAGh+B,GAAG+9B,GAAG,EAAExW,IAAgB,CAFxF8Y,CAAGrgC,EAAEC,EAAEzmC,EAAEwnC,EAAE,CAAC,QAAQk8B,GAAGvpB,WAAW1S,EAAEgQ,GAAEjQ,CAAC,CAAC,OAAO,IAAI,CAGhc,SAAS89B,KAAK,GAAG,OAAOjB,GAAG,CAAC,IAAI79B,EAAEkR,GAAG4sB,IAAI79B,EAAEi9B,GAAGvpB,WAAWn6C,EAAEy3C,GAAE,IAAmC,GAA/BisB,GAAGvpB,WAAW,KAAK1C,GAAE,GAAGjR,EAAE,GAAGA,EAAK,OAAO69B,GAAG,IAAI78B,GAAE,MAAO,CAAmB,GAAlBhB,EAAE69B,GAAGA,GAAG,KAAKC,GAAG,EAAK,KAAO,EAAF5Q,IAAK,MAAM1uE,MAAM0lC,EAAE,MAAM,IAAI+c,EAAEisB,GAAO,IAALA,IAAG,EAAMyN,GAAE36B,EAAE3mB,QAAQ,OAAOshD,IAAG,CAAC,IAAIz5B,EAAEy5B,GAAEx5B,EAAED,EAAEzQ,MAAM,GAAG,KAAa,GAARkqC,GAAEhtB,OAAU,CAAC,IAAIzJ,EAAEhD,EAAEwnB,UAAU,GAAG,OAAOxkB,EAAE,CAAC,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAEhpD,OAAOipD,IAAI,CAAC,IAAIF,EAAEC,EAAEC,GAAG,IAAIw2B,GAAE12B,EAAE,OAAO02B,IAAG,CAAC,IAAIrhE,EAAEqhE,GAAE,OAAOrhE,EAAEgrC,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG02B,GAAG,EAAE1hE,EAAE4nC,GAAG,IAAIqpB,EAAEjxD,EAAEm3B,MAAM,GAAG,OAAO85B,EAAEA,EAAE7c,OAAOp0C,EAAEqhE,GAAEpQ,OAAO,KAAK,OAAOoQ,IAAG,CAAK,IAAInQ,GAARlxD,EAAEqhE,IAAU1sB,QAAQwc,EAAEnxD,EAAEo0C,OAAa,GAANytB,GAAG7hE,GAAMA,IACnf2qC,EAAE,CAAC02B,GAAE,KAAK,KAAK,CAAC,GAAG,OAAOnQ,EAAE,CAACA,EAAE9c,OAAO+c,EAAEkQ,GAAEnQ,EAAE,KAAK,CAACmQ,GAAElQ,CAAC,CAAC,CAAC,CAAC,IAAI5H,EAAE3hB,EAAEuM,UAAU,GAAG,OAAOoV,EAAE,CAAC,IAAIC,EAAED,EAAEpyB,MAAM,GAAG,OAAOqyB,EAAE,CAACD,EAAEpyB,MAAM,KAAK,EAAE,CAAC,IAAIsyB,EAAED,EAAE7U,QAAQ6U,EAAE7U,QAAQ,KAAK6U,EAAEC,CAAC,OAAO,OAAOD,EAAE,CAAC,CAAC6X,GAAEz5B,CAAC,CAAC,CAAC,GAAG,KAAoB,KAAfA,EAAEk4B,eAAoB,OAAOj4B,EAAEA,EAAEuM,OAAOxM,EAAEy5B,GAAEx5B,OAAOlB,EAAE,KAAK,OAAO06B,IAAG,CAAK,GAAG,KAAa,MAApBz5B,EAAEy5B,IAAYhtB,OAAY,OAAOzM,EAAEoD,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG02B,GAAG,EAAE95B,EAAEA,EAAEwM,QAAQ,IAAIsV,EAAE9hB,EAAE+M,QAAQ,GAAG,OAAO+U,EAAE,CAACA,EAAEtV,OAAOxM,EAAEwM,OAAOitB,GAAE3X,EAAE,MAAM/iB,CAAC,CAAC06B,GAAEz5B,EAAEwM,MAAM,CAAC,CAAC,IAAIwV,EAAEljB,EAAE3mB,QAAQ,IAAIshD,GAAEzX,EAAE,OAAOyX,IAAG,CAAK,IAAI1X,GAAR9hB,EAAEw5B,IAAUlqC,MAAM,GAAG,KAAoB,KAAf0Q,EAAEi4B,eAAoB,OAClfnW,EAAEA,EAAEvV,OAAOvM,EAAEw5B,GAAE1X,OAAOhjB,EAAE,IAAIkB,EAAE+hB,EAAE,OAAOyX,IAAG,CAAK,GAAG,KAAa,MAApBz2B,EAAEy2B,IAAYhtB,OAAY,IAAI,OAAOzJ,EAAEI,KAAK,KAAK,EAAE,KAAK,GAAG,KAAK,GAAG22B,GAAG,EAAE/2B,GAAG,CAAC,MAAMuf,GAAIoX,GAAE32B,EAAEA,EAAEwJ,OAAO+V,EAAG,CAAC,GAAGvf,IAAI/C,EAAE,CAACw5B,GAAE,KAAK,MAAM16B,CAAC,CAAC,IAAIkjB,EAAEjf,EAAE+J,QAAQ,GAAG,OAAOkV,EAAE,CAACA,EAAEzV,OAAOxJ,EAAEwJ,OAAOitB,GAAExX,EAAE,MAAMljB,CAAC,CAAC06B,GAAEz2B,EAAEwJ,MAAM,CAAC,CAAU,GAATwf,GAAEjsB,EAAEsmB,KAAQ7X,IAAI,oBAAoBA,GAAG4wB,sBAAsB,IAAI5wB,GAAG4wB,sBAAsB7wB,GAAGzP,EAAE,CAAC,MAAMyjB,GAAI,CAACziB,GAAE,CAAE,CAAC,OAAOA,CAAC,CAAC,QAAQiQ,GAAEz3C,EAAE0jE,GAAGvpB,WAAW1T,CAAC,CAAC,CAAC,OAAM,CAAE,CAAC,SAASsgC,GAAGvgC,EAAEC,EAAEzmC,GAAyBwmC,EAAEitB,GAAGjtB,EAAjBC,EAAE21B,GAAG51B,EAAfC,EAAEm1B,GAAG57D,EAAEymC,GAAY,GAAY,GAAGA,EAAEsyB,KAAI,OAAOvyB,IAAI8Q,GAAG9Q,EAAE,EAAEC,GAAGm+B,GAAGp+B,EAAEC,GAAG,CACze,SAAS46B,GAAE76B,EAAEC,EAAEzmC,GAAG,GAAG,IAAIwmC,EAAEsE,IAAIi8B,GAAGvgC,EAAEA,EAAExmC,QAAQ,KAAK,OAAOymC,GAAG,CAAC,GAAG,IAAIA,EAAEqE,IAAI,CAACi8B,GAAGtgC,EAAED,EAAExmC,GAAG,KAAK,CAAM,GAAG,IAAIymC,EAAEqE,IAAI,CAAC,IAAItD,EAAEf,EAAEmM,UAAU,GAAG,oBAAoBnM,EAAE9jD,KAAK65E,0BAA0B,oBAAoBh1B,EAAEi1B,oBAAoB,OAAOC,KAAKA,GAAG7T,IAAIrhB,IAAI,CAAuBf,EAAEgtB,GAAGhtB,EAAjBD,EAAE+1B,GAAG91B,EAAfD,EAAEo1B,GAAG57D,EAAEwmC,GAAY,GAAY,GAAGA,EAAEuyB,KAAI,OAAOtyB,IAAI6Q,GAAG7Q,EAAE,EAAED,GAAGo+B,GAAGn+B,EAAED,IAAI,KAAK,CAAC,CAACC,EAAEA,EAAEyN,MAAM,CAAC,CACnV,SAAS4oB,GAAGt2B,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEhB,EAAEq2B,UAAU,OAAOr1B,GAAGA,EAAEmR,OAAOlS,GAAGA,EAAEsyB,KAAIvyB,EAAEuQ,aAAavQ,EAAEsQ,eAAe92C,EAAEm3D,KAAI3wB,IAAIo9B,GAAE5jE,KAAKA,IAAI,IAAI4gE,IAAG,IAAIA,KAAM,UAAFgD,MAAeA,IAAG,IAAI5yD,KAAI6xD,GAAG4C,GAAGj/B,EAAE,GAAGu9B,IAAI/jE,GAAG4kE,GAAGp+B,EAAEC,EAAE,CAAC,SAASugC,GAAGxgC,EAAEC,GAAG,IAAIA,IAAI,KAAY,EAAPD,EAAE7b,MAAQ8b,EAAE,GAAGA,EAAEiQ,GAAU,KAAQ,WAAfA,KAAK,MAAuBA,GAAG,WAAW,IAAI12C,EAAE+4D,KAAc,QAAVvyB,EAAEisB,GAAGjsB,EAAEC,MAAc6Q,GAAG9Q,EAAEC,EAAEzmC,GAAG4kE,GAAGp+B,EAAExmC,GAAG,CAAC,SAASy/D,GAAGj5B,GAAG,IAAIC,EAAED,EAAE6N,cAAcr0C,EAAE,EAAE,OAAOymC,IAAIzmC,EAAEymC,EAAE8oB,WAAWyX,GAAGxgC,EAAExmC,EAAE,CACjZ,SAASwiE,GAAGh8B,EAAEC,GAAG,IAAIzmC,EAAE,EAAE,OAAOwmC,EAAEsE,KAAK,KAAK,GAAG,IAAItD,EAAEhB,EAAEoM,UAAcnL,EAAEjB,EAAE6N,cAAc,OAAO5M,IAAIznC,EAAEynC,EAAE8nB,WAAW,MAAM,KAAK,GAAG/nB,EAAEhB,EAAEoM,UAAU,MAAM,QAAQ,MAAM5tD,MAAM0lC,EAAE,MAAO,OAAO8c,GAAGA,EAAEmR,OAAOlS,GAAGugC,GAAGxgC,EAAExmC,EAAE,CAQqK,SAASolE,GAAG5+B,EAAEC,GAAG,OAAOmO,GAAGpO,EAAEC,EAAE,CACjZ,SAASwgC,GAAGzgC,EAAEC,EAAEzmC,EAAEwnC,GAAG9qC,KAAKouC,IAAItE,EAAE9pC,KAAKvc,IAAI6f,EAAEtD,KAAK+3C,QAAQ/3C,KAAKu6B,MAAMv6B,KAAKw3C,OAAOx3C,KAAKk2C,UAAUl2C,KAAK/Z,KAAK+Z,KAAKopC,YAAY,KAAKppC,KAAKna,MAAM,EAAEma,KAAKwzD,IAAI,KAAKxzD,KAAK0yD,aAAa3oB,EAAE/pC,KAAKo1D,aAAap1D,KAAK23C,cAAc33C,KAAKk2D,YAAYl2D,KAAKkzD,cAAc,KAAKlzD,KAAKiuB,KAAK6c,EAAE9qC,KAAKkjE,aAAaljE,KAAKy3C,MAAM,EAAEz3C,KAAKwyD,UAAU,KAAKxyD,KAAKm1D,WAAWn1D,KAAKs1D,MAAM,EAAEt1D,KAAKu3C,UAAU,IAAI,CAAC,SAASgb,GAAGzoB,EAAEC,EAAEzmC,EAAEwnC,GAAG,OAAO,IAAIy/B,GAAGzgC,EAAEC,EAAEzmC,EAAEwnC,EAAE,CAAC,SAAS+1B,GAAG/2B,GAAiB,UAAdA,EAAEA,EAAElnD,aAAuBknD,EAAE0gC,iBAAiB,CAEpd,SAASzW,GAAGjqB,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEyN,UACuB,OADb,OAAOj0C,IAAGA,EAAEivD,GAAGzoB,EAAEsE,IAAIrE,EAAED,EAAErmD,IAAIqmD,EAAE7b,OAAQmb,YAAYU,EAAEV,YAAY9lC,EAAErd,KAAK6jD,EAAE7jD,KAAKqd,EAAE4yC,UAAUpM,EAAEoM,UAAU5yC,EAAEi0C,UAAUzN,EAAEA,EAAEyN,UAAUj0C,IAAIA,EAAEovD,aAAa3oB,EAAEzmC,EAAErd,KAAK6jD,EAAE7jD,KAAKqd,EAAEm0C,MAAM,EAAEn0C,EAAE4/D,aAAa,EAAE5/D,EAAEkvD,UAAU,MAAMlvD,EAAEm0C,MAAc,SAAR3N,EAAE2N,MAAen0C,EAAE6xD,WAAWrrB,EAAEqrB,WAAW7xD,EAAEgyD,MAAMxrB,EAAEwrB,MAAMhyD,EAAEi3B,MAAMuP,EAAEvP,MAAMj3B,EAAE4vD,cAAcppB,EAAEopB,cAAc5vD,EAAEq0C,cAAc7N,EAAE6N,cAAcr0C,EAAE4yD,YAAYpsB,EAAEosB,YAAYnsB,EAAED,EAAEsrB,aAAa9xD,EAAE8xD,aAAa,OAAOrrB,EAAE,KAAK,CAACurB,MAAMvrB,EAAEurB,MAAMD,aAAatrB,EAAEsrB,cAC/e/xD,EAAEy0C,QAAQjO,EAAEiO,QAAQz0C,EAAEzd,MAAMikD,EAAEjkD,MAAMyd,EAAEkwD,IAAI1pB,EAAE0pB,IAAWlwD,CAAC,CACxD,SAAS2wD,GAAGnqB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,GAAG,IAAIC,EAAE,EAAM,GAAJH,EAAEhB,EAAK,oBAAoBA,EAAE+2B,GAAG/2B,KAAKmB,EAAE,QAAQ,GAAG,kBAAkBnB,EAAEmB,EAAE,OAAOnB,EAAE,OAAOA,GAAG,KAAK2C,EAAG,OAAO2nB,GAAG9wD,EAAEld,SAAS2kD,EAAEC,EAAEjB,GAAG,KAAK2C,EAAGzB,EAAE,EAAEF,GAAG,EAAE,MAAM,KAAK4B,EAAG,OAAO7C,EAAEyoB,GAAG,GAAGjvD,EAAEymC,EAAI,EAAFgB,IAAO3B,YAAYuD,EAAG7C,EAAEwrB,MAAMtqB,EAAElB,EAAE,KAAKiD,EAAG,OAAOjD,EAAEyoB,GAAG,GAAGjvD,EAAEymC,EAAEgB,IAAK3B,YAAY2D,EAAGjD,EAAEwrB,MAAMtqB,EAAElB,EAAE,KAAKkD,EAAG,OAAOlD,EAAEyoB,GAAG,GAAGjvD,EAAEymC,EAAEgB,IAAK3B,YAAY4D,EAAGlD,EAAEwrB,MAAMtqB,EAAElB,EAAE,KAAKqD,EAAG,OAAOs1B,GAAGn/D,EAAEynC,EAAEC,EAAEjB,GAAG,QAAQ,GAAG,kBAAkBD,GAAG,OAAOA,EAAE,OAAOA,EAAEyE,UAAU,KAAK3B,EAAG3B,EAAE,GAAG,MAAMnB,EAAE,KAAK+C,EAAG5B,EAAE,EAAE,MAAMnB,EAAE,KAAKgD,EAAG7B,EAAE,GACpf,MAAMnB,EAAE,KAAKmD,EAAGhC,EAAE,GAAG,MAAMnB,EAAE,KAAKoD,EAAGjC,EAAE,GAAGH,EAAE,KAAK,MAAMhB,EAAE,MAAMxhD,MAAM0lC,EAAE,IAAI,MAAM8b,EAAEA,SAASA,EAAE,KAAuD,OAAjDC,EAAEwoB,GAAGtnB,EAAE3nC,EAAEymC,EAAEgB,IAAK3B,YAAYU,EAAEC,EAAE9jD,KAAK6kD,EAAEf,EAAEurB,MAAMtqB,EAASjB,CAAC,CAAC,SAASqqB,GAAGtqB,EAAEC,EAAEzmC,EAAEwnC,GAA2B,OAAxBhB,EAAEyoB,GAAG,EAAEzoB,EAAEgB,EAAEf,IAAKurB,MAAMhyD,EAASwmC,CAAC,CAAC,SAAS24B,GAAG34B,EAAEC,EAAEzmC,EAAEwnC,GAAuE,OAApEhB,EAAEyoB,GAAG,GAAGzoB,EAAEgB,EAAEf,IAAKX,YAAY+D,EAAGrD,EAAEwrB,MAAMhyD,EAAEwmC,EAAEoM,UAAU,CAACgwB,UAAS,GAAWp8B,CAAC,CAAC,SAASkqB,GAAGlqB,EAAEC,EAAEzmC,GAA8B,OAA3BwmC,EAAEyoB,GAAG,EAAEzoB,EAAE,KAAKC,IAAKurB,MAAMhyD,EAASwmC,CAAC,CAC5W,SAASqqB,GAAGrqB,EAAEC,EAAEzmC,GAA8J,OAA3JymC,EAAEwoB,GAAG,EAAE,OAAOzoB,EAAE1jD,SAAS0jD,EAAE1jD,SAAS,GAAG0jD,EAAErmD,IAAIsmD,IAAKurB,MAAMhyD,EAAEymC,EAAEmM,UAAU,CAAC2G,cAAc/S,EAAE+S,cAAc4tB,gBAAgB,KAAKvW,eAAepqB,EAAEoqB,gBAAuBnqB,CAAC,CACtL,SAAS2gC,GAAG5gC,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG/qC,KAAKouC,IAAIrE,EAAE/pC,KAAK68C,cAAc/S,EAAE9pC,KAAKopE,aAAappE,KAAKmgE,UAAUngE,KAAKmjB,QAAQnjB,KAAKyqE,gBAAgB,KAAKzqE,KAAKupE,eAAe,EAAEvpE,KAAKmoE,aAAanoE,KAAK+hE,eAAe/hE,KAAKy1D,QAAQ,KAAKz1D,KAAKuoE,iBAAiB,EAAEvoE,KAAK66C,WAAWF,GAAG,GAAG36C,KAAKooE,gBAAgBztB,IAAI,GAAG36C,KAAKs6C,eAAet6C,KAAKqpE,cAAcrpE,KAAK6pE,iBAAiB7pE,KAAKqoE,aAAaroE,KAAKq6C,YAAYr6C,KAAKo6C,eAAep6C,KAAKm6C,aAAa,EAAEn6C,KAAKu6C,cAAcI,GAAG,GAAG36C,KAAKy9D,iBAAiB3yB,EAAE9qC,KAAKkqE,mBAAmBn/B,EAAE/qC,KAAK2qE,gCAC/e,IAAI,CAAC,SAASC,GAAG9gC,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,EAAE+C,EAAEC,GAAgN,OAA7MnE,EAAE,IAAI4gC,GAAG5gC,EAAEC,EAAEzmC,EAAE0qC,EAAEC,GAAG,IAAIlE,GAAGA,EAAE,GAAE,IAAKiB,IAAIjB,GAAG,IAAIA,EAAE,EAAEiB,EAAEunB,GAAG,EAAE,KAAK,KAAKxoB,GAAGD,EAAE3mB,QAAQ6nB,EAAEA,EAAEkL,UAAUpM,EAAEkB,EAAE2M,cAAc,CAACn0B,QAAQsnB,EAAE8R,aAAat5C,EAAEunE,MAAM,KAAKzJ,YAAY,KAAK0J,0BAA0B,MAAM7U,GAAGjrB,GAAUlB,CAAC,CACzP,SAASihC,GAAGjhC,GAAG,IAAIA,EAAE,OAAOkmB,GAAuBlmB,EAAE,CAAC,GAAGwN,GAA1BxN,EAAEA,EAAEi0B,mBAA8Bj0B,GAAG,IAAIA,EAAEsE,IAAI,MAAM9lD,MAAM0lC,EAAE,MAAM,IAAI+b,EAAED,EAAE,EAAE,CAAC,OAAOC,EAAEqE,KAAK,KAAK,EAAErE,EAAEA,EAAEmM,UAAUuf,QAAQ,MAAM3rB,EAAE,KAAK,EAAE,GAAG0mB,GAAGzmB,EAAE9jD,MAAM,CAAC8jD,EAAEA,EAAEmM,UAAU6a,0CAA0C,MAAMjnB,CAAC,EAAEC,EAAEA,EAAEyN,MAAM,OAAO,OAAOzN,GAAG,MAAMzhD,MAAM0lC,EAAE,KAAM,CAAC,GAAG,IAAI8b,EAAEsE,IAAI,CAAC,IAAI9qC,EAAEwmC,EAAE7jD,KAAK,GAAGuqE,GAAGltD,GAAG,OAAOstD,GAAG9mB,EAAExmC,EAAEymC,EAAE,CAAC,OAAOA,CAAC,CACpW,SAAS5lC,GAAG2lC,EAAEC,EAAEzmC,EAAEwnC,EAAEC,EAAEC,EAAEC,EAAE+C,EAAEC,GAAwK,OAArKnE,EAAE8gC,GAAGtnE,EAAEwnC,GAAE,EAAGhB,EAAEiB,EAAEC,EAAEC,EAAE+C,EAAEC,IAAKwnB,QAAQsV,GAAG,MAAMznE,EAAEwmC,EAAE3mB,SAAsB6nB,EAAE0rB,GAAhB5rB,EAAEuxB,KAAItxB,EAAEmxB,GAAG54D,KAAewzD,cAAS,IAAS/sB,GAAG,OAAOA,EAAEA,EAAE,KAAKgtB,GAAGzzD,EAAE0nC,EAAED,GAAGjB,EAAE3mB,QAAQmyC,MAAMvqB,EAAE6P,GAAG9Q,EAAEiB,EAAED,GAAGo9B,GAAGp+B,EAAEgB,GAAUhB,CAAC,CAAC,SAASkhC,GAAGlhC,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAIC,EAAEhB,EAAE5mB,QAAQ6nB,EAAEqxB,KAAIpxB,EAAEixB,GAAGnxB,GAAsL,OAAnLznC,EAAEynE,GAAGznE,GAAG,OAAOymC,EAAE0rB,QAAQ1rB,EAAE0rB,QAAQnyD,EAAEymC,EAAEg4B,eAAez+D,GAAEymC,EAAE2sB,GAAG1rB,EAAEC,IAAK4rB,QAAQ,CAACrzC,QAAQsmB,GAAuB,QAApBgB,OAAE,IAASA,EAAE,KAAKA,KAAaf,EAAE+sB,SAAShsB,GAAe,QAAZhB,EAAEitB,GAAGhsB,EAAEhB,EAAEkB,MAAc8vB,GAAGjxB,EAAEiB,EAAEE,EAAED,GAAGisB,GAAGntB,EAAEiB,EAAEE,IAAWA,CAAC,CAC3b,SAASggC,GAAGnhC,GAAe,OAAZA,EAAEA,EAAE3mB,SAAcoX,OAAyBuP,EAAEvP,MAAM6T,IAAoDtE,EAAEvP,MAAM2b,WAAhF,IAA0F,CAAC,SAASg1B,GAAGphC,EAAEC,GAAqB,GAAG,QAArBD,EAAEA,EAAE6N,gBAA2B,OAAO7N,EAAE8N,WAAW,CAAC,IAAIt0C,EAAEwmC,EAAE+oB,UAAU/oB,EAAE+oB,UAAU,IAAIvvD,GAAGA,EAAEymC,EAAEzmC,EAAEymC,CAAC,CAAC,CAAC,SAASohC,GAAGrhC,EAAEC,GAAGmhC,GAAGphC,EAAEC,IAAID,EAAEA,EAAEyN,YAAY2zB,GAAGphC,EAAEC,EAAE,CAnB7S48B,GAAG,SAAS78B,EAAEC,EAAEzmC,GAAG,GAAG,OAAOwmC,EAAE,GAAGA,EAAEopB,gBAAgBnpB,EAAE2oB,cAAcxC,GAAG/sC,QAAQoyC,IAAG,MAAO,CAAC,GAAG,KAAKzrB,EAAEwrB,MAAMhyD,IAAI,KAAa,IAARymC,EAAE0N,OAAW,OAAO8d,IAAG,EAzE1I,SAAYzrB,EAAEC,EAAEzmC,GAAG,OAAOymC,EAAEqE,KAAK,KAAK,EAAE0zB,GAAG/3B,GAAGqpB,KAAK,MAAM,KAAK,EAAEyE,GAAG9tB,GAAG,MAAM,KAAK,EAAEymB,GAAGzmB,EAAE9jD,OAAO6qE,GAAG/mB,GAAG,MAAM,KAAK,EAAE4tB,GAAG5tB,EAAEA,EAAEmM,UAAU2G,eAAe,MAAM,KAAK,GAAG,IAAI/R,EAAEf,EAAE9jD,KAAKuoD,SAASzD,EAAEhB,EAAEmpB,cAAc/uE,MAAM4rE,GAAE4E,GAAG7pB,EAAEmqB,eAAenqB,EAAEmqB,cAAclqB,EAAE,MAAM,KAAK,GAAqB,GAAG,QAArBD,EAAEf,EAAE4N,eAA2B,OAAG,OAAO7M,EAAE8M,YAAkBmY,GAAEgI,GAAY,EAAVA,GAAE50C,SAAW4mB,EAAE0N,OAAO,IAAI,MAAQ,KAAKn0C,EAAEymC,EAAExP,MAAM46B,YAAmBoN,GAAGz4B,EAAEC,EAAEzmC,IAAGysD,GAAEgI,GAAY,EAAVA,GAAE50C,SAA8B,QAAnB2mB,EAAE62B,GAAG72B,EAAEC,EAAEzmC,IAAmBwmC,EAAEiO,QAAQ,MAAKgY,GAAEgI,GAAY,EAAVA,GAAE50C,SAAW,MAAM,KAAK,GAC7d,GADge2nB,EAAE,KAAKxnC,EACrfymC,EAAEorB,YAAe,KAAa,IAARrrB,EAAE2N,OAAW,CAAC,GAAG3M,EAAE,OAAO44B,GAAG55B,EAAEC,EAAEzmC,GAAGymC,EAAE0N,OAAO,GAAG,CAA6F,GAA1E,QAAlB1M,EAAEhB,EAAE4N,iBAAyB5M,EAAEu4B,UAAU,KAAKv4B,EAAEy4B,KAAK,KAAKz4B,EAAE4vB,WAAW,MAAM5K,GAAEgI,GAAEA,GAAE50C,SAAY2nB,EAAE,MAAW,OAAO,KAAK,KAAK,GAAG,KAAK,GAAG,OAAOf,EAAEurB,MAAM,EAAE2L,GAAGn3B,EAAEC,EAAEzmC,GAAG,OAAOq9D,GAAG72B,EAAEC,EAAEzmC,EAAE,CAwE7G8nE,CAAGthC,EAAEC,EAAEzmC,GAAGiyD,GAAG,KAAa,OAARzrB,EAAE2N,MAAmB,MAAM8d,IAAG,EAAG5/C,IAAG,KAAa,QAARo0B,EAAE0N,QAAgBua,GAAGjoB,EAAE0nB,GAAG1nB,EAAElkD,OAAiB,OAAVkkD,EAAEurB,MAAM,EAASvrB,EAAEqE,KAAK,KAAK,EAAE,IAAItD,EAAEf,EAAE9jD,KAAKw7E,GAAG33B,EAAEC,GAAGD,EAAEC,EAAE2oB,aAAa,IAAI3nB,EAAEqlB,GAAGrmB,EAAEkmB,GAAE9sC,SAASmE,GAAGyiB,EAAEzmC,GAAGynC,EAAEkuB,GAAG,KAAKlvB,EAAEe,EAAEhB,EAAEiB,EAAEznC,GAAG,IAAI0nC,EAAEsuB,KACvI,OAD4IvvB,EAAE0N,OAAO,EAAE,kBAAkB1M,GAAG,OAAOA,GAAG,oBAAoBA,EAAEsD,aAAQ,IAAStD,EAAEwD,UAAUxE,EAAEqE,IAAI,EAAErE,EAAE4N,cAAc,KAAK5N,EAAEmsB,YAC1e,KAAK1F,GAAG1lB,IAAIE,GAAE,EAAG8lB,GAAG/mB,IAAIiB,GAAE,EAAGjB,EAAE4N,cAAc,OAAO5M,EAAE1iB,YAAO,IAAS0iB,EAAE1iB,MAAM0iB,EAAE1iB,MAAM,KAAK4tC,GAAGlsB,GAAGgB,EAAEyzB,QAAQX,GAAG9zB,EAAEmM,UAAUnL,EAAEA,EAAEgzB,gBAAgBh0B,EAAE60B,GAAG70B,EAAEe,EAAEhB,EAAExmC,GAAGymC,EAAE83B,GAAG,KAAK93B,EAAEe,GAAE,EAAGE,EAAE1nC,KAAKymC,EAAEqE,IAAI,EAAEz4B,IAAGq1B,GAAGinB,GAAGloB,GAAG02B,GAAG,KAAK12B,EAAEgB,EAAEznC,GAAGymC,EAAEA,EAAExP,OAAcwP,EAAE,KAAK,GAAGe,EAAEf,EAAEX,YAAYU,EAAE,CAAqF,OAApF23B,GAAG33B,EAAEC,GAAGD,EAAEC,EAAE2oB,aAAuB5nB,GAAVC,EAAED,EAAE4D,OAAU5D,EAAE2D,UAAU1E,EAAE9jD,KAAK6kD,EAAEC,EAAEhB,EAAEqE,IAQtU,SAAYtE,GAAG,GAAG,oBAAoBA,EAAE,OAAO+2B,GAAG/2B,GAAG,EAAE,EAAE,QAAG,IAASA,GAAG,OAAOA,EAAE,CAAc,IAAbA,EAAEA,EAAEyE,YAAgBzB,EAAG,OAAO,GAAG,GAAGhD,IAAImD,EAAG,OAAO,EAAE,CAAC,OAAO,CAAC,CAR2Lo+B,CAAGvgC,GAAGhB,EAAE4zB,GAAG5yB,EAAEhB,GAAUiB,GAAG,KAAK,EAAEhB,EAAEi3B,GAAG,KAAKj3B,EAAEe,EAAEhB,EAAExmC,GAAG,MAAMwmC,EAAE,KAAK,EAAEC,EAAEy3B,GAAG,KAAKz3B,EAAEe,EAAEhB,EAAExmC,GAAG,MAAMwmC,EAAE,KAAK,GAAGC,EAAE22B,GAAG,KAAK32B,EAAEe,EAAEhB,EAAExmC,GAAG,MAAMwmC,EAAE,KAAK,GAAGC,EAAE62B,GAAG,KAAK72B,EAAEe,EAAE4yB,GAAG5yB,EAAE7kD,KAAK6jD,GAAGxmC,GAAG,MAAMwmC,EAAE,MAAMxhD,MAAM0lC,EAAE,IACvgB8c,EAAE,IAAK,CAAC,OAAOf,EAAE,KAAK,EAAE,OAAOe,EAAEf,EAAE9jD,KAAK8kD,EAAEhB,EAAE2oB,aAA2CsO,GAAGl3B,EAAEC,EAAEe,EAArCC,EAAEhB,EAAEX,cAAc0B,EAAEC,EAAE2yB,GAAG5yB,EAAEC,GAAcznC,GAAG,KAAK,EAAE,OAAOwnC,EAAEf,EAAE9jD,KAAK8kD,EAAEhB,EAAE2oB,aAA2C8O,GAAG13B,EAAEC,EAAEe,EAArCC,EAAEhB,EAAEX,cAAc0B,EAAEC,EAAE2yB,GAAG5yB,EAAEC,GAAcznC,GAAG,KAAK,EAAEwmC,EAAE,CAAO,GAANg4B,GAAG/3B,GAAM,OAAOD,EAAE,MAAMxhD,MAAM0lC,EAAE,MAAM8c,EAAEf,EAAE2oB,aAA+B3nB,GAAlBC,EAAEjB,EAAE4N,eAAkBn0B,QAAQizC,GAAG3sB,EAAEC,GAAGotB,GAAGptB,EAAEe,EAAE,KAAKxnC,GAAG,IAAI2nC,EAAElB,EAAE4N,cAA0B,GAAZ7M,EAAEG,EAAEznB,QAAWwnB,EAAE4R,aAAY,CAAC,GAAG5R,EAAE,CAACxnB,QAAQsnB,EAAE8R,cAAa,EAAGiuB,MAAM5/B,EAAE4/B,MAAMC,0BAA0B7/B,EAAE6/B,0BAA0B1J,YAAYn2B,EAAEm2B,aAAar3B,EAAEmsB,YAAYC,UAChfnrB,EAAEjB,EAAE4N,cAAc3M,EAAU,IAARjB,EAAE0N,MAAU,CAAuB1N,EAAEi4B,GAAGl4B,EAAEC,EAAEe,EAAExnC,EAAjCynC,EAAEm0B,GAAG52E,MAAM0lC,EAAE,MAAM+b,IAAmB,MAAMD,CAAC,CAAM,GAAGgB,IAAIC,EAAE,CAAuBhB,EAAEi4B,GAAGl4B,EAAEC,EAAEe,EAAExnC,EAAjCynC,EAAEm0B,GAAG52E,MAAM0lC,EAAE,MAAM+b,IAAmB,MAAMD,CAAC,CAAM,IAAIsoB,GAAGjD,GAAGplB,EAAEmM,UAAU2G,cAAc1L,YAAYghB,GAAGpoB,EAAEp0B,IAAE,EAAG08C,GAAG,KAAK/uD,EAAEoxD,GAAG3qB,EAAE,KAAKe,EAAExnC,GAAGymC,EAAExP,MAAMj3B,EAAEA,GAAGA,EAAEm0C,OAAe,EAATn0C,EAAEm0C,MAAS,KAAKn0C,EAAEA,EAAEy0C,OAAQ,KAAI,CAAM,GAALqb,KAAQtoB,IAAIC,EAAE,CAAChB,EAAE42B,GAAG72B,EAAEC,EAAEzmC,GAAG,MAAMwmC,CAAC,CAAC22B,GAAG32B,EAAEC,EAAEe,EAAExnC,EAAE,CAACymC,EAAEA,EAAExP,KAAK,CAAC,OAAOwP,EAAE,KAAK,EAAE,OAAO8tB,GAAG9tB,GAAG,OAAOD,GAAGipB,GAAGhpB,GAAGe,EAAEf,EAAE9jD,KAAK8kD,EAAEhB,EAAE2oB,aAAa1nB,EAAE,OAAOlB,EAAEA,EAAEopB,cAAc,KAAKjoB,EAAEF,EAAE3kD,SAASgoE,GAAGtjB,EAAEC,GAAGE,EAAE,KAAK,OAAOD,GAAGojB,GAAGtjB,EAAEE,KAAKjB,EAAE0N,OAAO,IACnf8pB,GAAGz3B,EAAEC,GAAG02B,GAAG32B,EAAEC,EAAEkB,EAAE3nC,GAAGymC,EAAExP,MAAM,KAAK,EAAE,OAAO,OAAOuP,GAAGipB,GAAGhpB,GAAG,KAAK,KAAK,GAAG,OAAOw4B,GAAGz4B,EAAEC,EAAEzmC,GAAG,KAAK,EAAE,OAAOq0D,GAAG5tB,EAAEA,EAAEmM,UAAU2G,eAAe/R,EAAEf,EAAE2oB,aAAa,OAAO5oB,EAAEC,EAAExP,MAAMk6B,GAAG1qB,EAAE,KAAKe,EAAExnC,GAAGm9D,GAAG32B,EAAEC,EAAEe,EAAExnC,GAAGymC,EAAExP,MAAM,KAAK,GAAG,OAAOuQ,EAAEf,EAAE9jD,KAAK8kD,EAAEhB,EAAE2oB,aAA2CgO,GAAG52B,EAAEC,EAAEe,EAArCC,EAAEhB,EAAEX,cAAc0B,EAAEC,EAAE2yB,GAAG5yB,EAAEC,GAAcznC,GAAG,KAAK,EAAE,OAAOm9D,GAAG32B,EAAEC,EAAEA,EAAE2oB,aAAapvD,GAAGymC,EAAExP,MAAM,KAAK,EAAmD,KAAK,GAAG,OAAOkmC,GAAG32B,EAAEC,EAAEA,EAAE2oB,aAAatsE,SAASkd,GAAGymC,EAAExP,MAAM,KAAK,GAAGuP,EAAE,CACxZ,GADyZgB,EAAEf,EAAE9jD,KAAKuoD,SAASzD,EAAEhB,EAAE2oB,aAAa1nB,EAAEjB,EAAEmpB,cAClfjoB,EAAEF,EAAE5mD,MAAM4rE,GAAE4E,GAAG7pB,EAAEmqB,eAAenqB,EAAEmqB,cAAchqB,EAAK,OAAOD,EAAE,GAAGgd,GAAGhd,EAAE7mD,MAAM8mD,IAAI,GAAGD,EAAE5kD,WAAW2kD,EAAE3kD,WAAW8pE,GAAG/sC,QAAQ,CAAC4mB,EAAE42B,GAAG72B,EAAEC,EAAEzmC,GAAG,MAAMwmC,CAAC,OAAO,IAAc,QAAVkB,EAAEjB,EAAExP,SAAiByQ,EAAEwM,OAAOzN,GAAG,OAAOiB,GAAG,CAAC,IAAIgD,EAAEhD,EAAEoqB,aAAa,GAAG,OAAOpnB,EAAE,CAAC/C,EAAED,EAAEzQ,MAAM,IAAI,IAAI0T,EAAED,EAAEqnB,aAAa,OAAOpnB,GAAG,CAAC,GAAGA,EAAEwnB,UAAU3qB,EAAE,CAAC,GAAG,IAAIE,EAAEoD,IAAI,EAACH,EAAEyoB,IAAI,EAAEpzD,GAAGA,IAAK8qC,IAAI,EAAE,IAAIL,EAAE/C,EAAEkrB,YAAY,GAAG,OAAOnoB,EAAE,CAAY,IAAI3qC,GAAf2qC,EAAEA,EAAEuoB,QAAeC,QAAQ,OAAOnzD,EAAE6qC,EAAEroC,KAAKqoC,GAAGA,EAAEroC,KAAKxC,EAAEwC,KAAKxC,EAAEwC,KAAKqoC,GAAGF,EAAEwoB,QAAQtoB,CAAC,CAAC,CAACjD,EAAEsqB,OAAOhyD,EAAgB,QAAd2qC,EAAEjD,EAAEuM,aAAqBtJ,EAAEqnB,OAAOhyD,GAAG4xD,GAAGlqB,EAAEwM,OAClfl0C,EAAEymC,GAAGiE,EAAEsnB,OAAOhyD,EAAE,KAAK,CAAC2qC,EAAEA,EAAEroC,IAAI,CAAC,MAAM,GAAG,KAAKolC,EAAEoD,IAAInD,EAAED,EAAE/kD,OAAO8jD,EAAE9jD,KAAK,KAAK+kD,EAAEzQ,WAAW,GAAG,KAAKyQ,EAAEoD,IAAI,CAAY,GAAG,QAAdnD,EAAED,EAAEwM,QAAmB,MAAMlvD,MAAM0lC,EAAE,MAAMid,EAAEqqB,OAAOhyD,EAAgB,QAAd0qC,EAAE/C,EAAEsM,aAAqBvJ,EAAEsnB,OAAOhyD,GAAG4xD,GAAGjqB,EAAE3nC,EAAEymC,GAAGkB,EAAED,EAAE+M,OAAO,MAAM9M,EAAED,EAAEzQ,MAAM,GAAG,OAAO0Q,EAAEA,EAAEuM,OAAOxM,OAAO,IAAIC,EAAED,EAAE,OAAOC,GAAG,CAAC,GAAGA,IAAIlB,EAAE,CAACkB,EAAE,KAAK,KAAK,CAAa,GAAG,QAAfD,EAAEC,EAAE8M,SAAoB,CAAC/M,EAAEwM,OAAOvM,EAAEuM,OAAOvM,EAAED,EAAE,KAAK,CAACC,EAAEA,EAAEuM,MAAM,CAACxM,EAAEC,CAAC,CAACw1B,GAAG32B,EAAEC,EAAEgB,EAAE3kD,SAASkd,GAAGymC,EAAEA,EAAExP,KAAK,CAAC,OAAOwP,EAAE,KAAK,EAAE,OAAOgB,EAAEhB,EAAE9jD,KAAK6kD,EAAEf,EAAE2oB,aAAatsE,SAASkhC,GAAGyiB,EAAEzmC,GAAWwnC,EAAEA,EAAVC,EAAEyqB,GAAGzqB,IAAUhB,EAAE0N,OAAO,EAAEgpB,GAAG32B,EAAEC,EAAEe,EAAExnC,GACpfymC,EAAExP,MAAM,KAAK,GAAG,OAAgBwQ,EAAE2yB,GAAX5yB,EAAEf,EAAE9jD,KAAY8jD,EAAE2oB,cAA6BkO,GAAG92B,EAAEC,EAAEe,EAAtBC,EAAE2yB,GAAG5yB,EAAE7kD,KAAK8kD,GAAcznC,GAAG,KAAK,GAAG,OAAOy9D,GAAGj3B,EAAEC,EAAEA,EAAE9jD,KAAK8jD,EAAE2oB,aAAapvD,GAAG,KAAK,GAAG,OAAOwnC,EAAEf,EAAE9jD,KAAK8kD,EAAEhB,EAAE2oB,aAAa3nB,EAAEhB,EAAEX,cAAc0B,EAAEC,EAAE2yB,GAAG5yB,EAAEC,GAAG02B,GAAG33B,EAAEC,GAAGA,EAAEqE,IAAI,EAAEoiB,GAAG1lB,IAAIhB,GAAE,EAAGgnB,GAAG/mB,IAAID,GAAE,EAAGxiB,GAAGyiB,EAAEzmC,GAAGg7D,GAAGv0B,EAAEe,EAAEC,GAAG6zB,GAAG70B,EAAEe,EAAEC,EAAEznC,GAAGu+D,GAAG,KAAK93B,EAAEe,GAAE,EAAGhB,EAAExmC,GAAG,KAAK,GAAG,OAAOogE,GAAG55B,EAAEC,EAAEzmC,GAAG,KAAK,GAAG,OAAO29D,GAAGn3B,EAAEC,EAAEzmC,GAAG,MAAMhb,MAAM0lC,EAAE,IAAI+b,EAAEqE,KAAM,EAYxC,IAAIk9B,GAAG,oBAAoBC,YAAYA,YAAY,SAASzhC,GAAGy1B,QAAQ18D,MAAMinC,EAAE,EAAE,SAAS0hC,GAAG1hC,GAAG9pC,KAAKyrE,cAAc3hC,CAAC,CACjI,SAAS4hC,GAAG5hC,GAAG9pC,KAAKyrE,cAAc3hC,CAAC,CAC5J,SAAS6hC,GAAG7hC,GAAG,SAASA,GAAG,IAAIA,EAAE2H,UAAU,IAAI3H,EAAE2H,UAAU,KAAK3H,EAAE2H,SAAS,CAAC,SAASm6B,GAAG9hC,GAAG,SAASA,GAAG,IAAIA,EAAE2H,UAAU,IAAI3H,EAAE2H,UAAU,KAAK3H,EAAE2H,WAAW,IAAI3H,EAAE2H,UAAU,iCAAiC3H,EAAE4H,WAAW,CAAC,SAASm6B,KAAK,CAExa,SAASC,GAAGhiC,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,IAAIC,EAAE1nC,EAAE+hE,oBAAoB,GAAGr6B,EAAE,CAAC,IAAIC,EAAED,EAAE,GAAG,oBAAoBD,EAAE,CAAC,IAAIiD,EAAEjD,EAAEA,EAAE,WAAW,IAAIjB,EAAEmhC,GAAGhgC,GAAG+C,EAAE1qD,KAAKwmD,EAAE,CAAC,CAACkhC,GAAGjhC,EAAEkB,EAAEnB,EAAEiB,EAAE,MAAME,EADxJ,SAAYnB,EAAEC,EAAEzmC,EAAEwnC,EAAEC,GAAG,GAAGA,EAAE,CAAC,GAAG,oBAAoBD,EAAE,CAAC,IAAIE,EAAEF,EAAEA,EAAE,WAAW,IAAIhB,EAAEmhC,GAAGhgC,GAAGD,EAAE1nD,KAAKwmD,EAAE,CAAC,CAAC,IAAImB,EAAE9mC,GAAG4lC,EAAEe,EAAEhB,EAAE,EAAE,MAAK,EAAG,EAAG,GAAG+hC,IAAmF,OAA/E/hC,EAAEu7B,oBAAoBp6B,EAAEnB,EAAEqjB,IAAIliB,EAAE9nB,QAAQqpC,GAAG,IAAI1iB,EAAE2H,SAAS3H,EAAEzD,WAAWyD,GAAG2/B,KAAYx+B,CAAC,CAAC,KAAKF,EAAEjB,EAAE0H,WAAW1H,EAAEsH,YAAYrG,GAAG,GAAG,oBAAoBD,EAAE,CAAC,IAAIkD,EAAElD,EAAEA,EAAE,WAAW,IAAIhB,EAAEmhC,GAAGh9B,GAAGD,EAAE1qD,KAAKwmD,EAAE,CAAC,CAAC,IAAImE,EAAE28B,GAAG9gC,EAAE,GAAE,EAAG,KAAK,GAAK,EAAG,EAAG,GAAG+hC,IAA0G,OAAtG/hC,EAAEu7B,oBAAoBp3B,EAAEnE,EAAEqjB,IAAIlf,EAAE9qB,QAAQqpC,GAAG,IAAI1iB,EAAE2H,SAAS3H,EAAEzD,WAAWyD,GAAG2/B,IAAG,WAAWuB,GAAGjhC,EAAEkE,EAAE3qC,EAAEwnC,EAAE,IAAUmD,CAAC,CACpU89B,CAAGzoE,EAAEymC,EAAED,EAAEiB,EAAED,GAAG,OAAOmgC,GAAGhgC,EAAE,CAHpLygC,GAAG9oF,UAAUyrD,OAAOm9B,GAAG5oF,UAAUyrD,OAAO,SAASvE,GAAG,IAAIC,EAAE/pC,KAAKyrE,cAAc,GAAG,OAAO1hC,EAAE,MAAMzhD,MAAM0lC,EAAE,MAAMg9C,GAAGlhC,EAAEC,EAAE,KAAK,KAAK,EAAE2hC,GAAG9oF,UAAUopF,QAAQR,GAAG5oF,UAAUopF,QAAQ,WAAW,IAAIliC,EAAE9pC,KAAKyrE,cAAc,GAAG,OAAO3hC,EAAE,CAAC9pC,KAAKyrE,cAAc,KAAK,IAAI1hC,EAAED,EAAE+S,cAAc4sB,IAAG,WAAWuB,GAAG,KAAKlhC,EAAE,KAAK,KAAK,IAAGC,EAAEojB,IAAI,IAAI,CAAC,EACzTue,GAAG9oF,UAAUqpF,2BAA2B,SAASniC,GAAG,GAAGA,EAAE,CAAC,IAAIC,EAAEqR,KAAKtR,EAAE,CAACuS,UAAU,KAAKv4D,OAAOgmD,EAAE6S,SAAS5S,GAAG,IAAI,IAAIzmC,EAAE,EAAEA,EAAEw4C,GAAG92D,QAAQ,IAAI+kD,GAAGA,EAAE+R,GAAGx4C,GAAGq5C,SAASr5C,KAAKw4C,GAAG1xB,OAAO9mB,EAAE,EAAEwmC,GAAG,IAAIxmC,GAAGm5C,GAAG3S,EAAE,CAAC,EAEXmR,GAAG,SAASnR,GAAG,OAAOA,EAAEsE,KAAK,KAAK,EAAE,IAAIrE,EAAED,EAAEoM,UAAU,GAAGnM,EAAE5mB,QAAQw0B,cAAciF,aAAa,CAAC,IAAIt5C,EAAE22C,GAAGlQ,EAAEoQ,cAAc,IAAI72C,IAAIw3C,GAAG/Q,EAAI,EAAFzmC,GAAK4kE,GAAGn+B,EAAEz1B,MAAK,KAAO,EAAF0iD,MAAOmN,GAAG7vD,KAAI,IAAI+8C,MAAM,CAAC,MAAM,KAAK,GAAGoY,IAAG,WAAW,IAAI1/B,EAAEgsB,GAAGjsB,EAAE,GAAG,GAAG,OAAOC,EAAE,CAAC,IAAIzmC,EAAE+4D,KAAItB,GAAGhxB,EAAED,EAAE,EAAExmC,EAAE,CAAC,IAAG6nE,GAAGrhC,EAAE,GAAG,EAC/boR,GAAG,SAASpR,GAAG,GAAG,KAAKA,EAAEsE,IAAI,CAAC,IAAIrE,EAAEgsB,GAAGjsB,EAAE,WAAW,GAAG,OAAOC,EAAagxB,GAAGhxB,EAAED,EAAE,UAAXuyB,MAAwB8O,GAAGrhC,EAAE,UAAU,CAAC,EAAEqR,GAAG,SAASrR,GAAG,GAAG,KAAKA,EAAEsE,IAAI,CAAC,IAAIrE,EAAEmyB,GAAGpyB,GAAGxmC,EAAEyyD,GAAGjsB,EAAEC,GAAG,GAAG,OAAOzmC,EAAay3D,GAAGz3D,EAAEwmC,EAAEC,EAAXsyB,MAAgB8O,GAAGrhC,EAAEC,EAAE,CAAC,EAAEqR,GAAG,WAAW,OAAOL,EAAC,EAAEM,GAAG,SAASvR,EAAEC,GAAG,IAAIzmC,EAAEy3C,GAAE,IAAI,OAAOA,GAAEjR,EAAEC,GAAG,CAAC,QAAQgR,GAAEz3C,CAAC,CAAC,EAClSuyC,GAAG,SAAS/L,EAAEC,EAAEzmC,GAAG,OAAOymC,GAAG,IAAK,QAAyB,GAAjBmG,EAAGpG,EAAExmC,GAAGymC,EAAEzmC,EAAEtf,KAAQ,UAAUsf,EAAErd,MAAM,MAAM8jD,EAAE,CAAC,IAAIzmC,EAAEwmC,EAAExmC,EAAE+iC,YAAY/iC,EAAEA,EAAE+iC,WAAsF,IAA3E/iC,EAAEA,EAAE4oE,iBAAiB,cAAcC,KAAKC,UAAU,GAAGriC,GAAG,mBAAuBA,EAAE,EAAEA,EAAEzmC,EAAEte,OAAO+kD,IAAI,CAAC,IAAIe,EAAExnC,EAAEymC,GAAG,GAAGe,IAAIhB,GAAGgB,EAAE15C,OAAO04C,EAAE14C,KAAK,CAAC,IAAI25C,EAAEoL,GAAGrL,GAAG,IAAIC,EAAE,MAAMziD,MAAM0lC,EAAE,KAAKqhB,EAAGvE,GAAGoF,EAAGpF,EAAEC,EAAE,CAAC,CAAC,CAAC,MAAM,IAAK,WAAW6F,GAAG9G,EAAExmC,GAAG,MAAM,IAAK,SAAmB,OAAVymC,EAAEzmC,EAAEnf,QAAeosD,GAAGzG,IAAIxmC,EAAE1P,SAASm2C,GAAE,GAAI,EAAEuM,GAAGkzB,GAAGjzB,GAAGkzB,GACpa,IAAI4C,GAAG,CAACC,uBAAsB,EAAGC,OAAO,CAACt2B,GAAGgR,GAAG9Q,GAAGC,GAAGC,GAAGmzB,KAAKgD,GAAG,CAACC,wBAAwB/vB,GAAGgwB,WAAW,EAAEhuE,QAAQ,SAASiuE,oBAAoB,aAC1IC,GAAG,CAACF,WAAWF,GAAGE,WAAWhuE,QAAQ8tE,GAAG9tE,QAAQiuE,oBAAoBH,GAAGG,oBAAoBE,eAAeL,GAAGK,eAAeC,kBAAkB,KAAKC,4BAA4B,KAAKC,4BAA4B,KAAKC,cAAc,KAAKC,wBAAwB,KAAKC,wBAAwB,KAAKC,gBAAgB,KAAKC,mBAAmB,KAAKC,eAAe,KAAKC,qBAAqBphC,EAAGmsB,uBAAuBkV,wBAAwB,SAAS1jC,GAAW,OAAO,QAAfA,EAAEgO,GAAGhO,IAAmB,KAAKA,EAAEoM,SAAS,EAAEu2B,wBAAwBD,GAAGC,yBARjN,WAAc,OAAO,IAAI,EASpUgB,4BAA4B,KAAKC,gBAAgB,KAAKC,aAAa,KAAKC,kBAAkB,KAAKC,gBAAgB,KAAKC,kBAAkB,mCAAmC,GAAG,qBAAqBC,+BAA+B,CAAC,IAAIC,GAAGD,+BAA+B,IAAIC,GAAGC,YAAYD,GAAGE,cAAc,IAAI30B,GAAGy0B,GAAGG,OAAOvB,IAAIpzB,GAAGw0B,EAAE,CAAC,MAAMlkC,IAAG,CAAC,CAACtlD,EAAQ4nD,mDAAmDigC,GAC/Y7nF,EAAQ4pF,aAAa,SAAStkC,EAAEC,GAAG,IAAIzmC,EAAE,EAAExe,UAAUE,aAAQ,IAASF,UAAU,GAAGA,UAAU,GAAG,KAAK,IAAI6mF,GAAG5hC,GAAG,MAAMzhD,MAAM0lC,EAAE,MAAM,OAbuH,SAAY8b,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAE,EAAEhmD,UAAUE,aAAQ,IAASF,UAAU,GAAGA,UAAU,GAAG,KAAK,MAAM,CAACypD,SAAS/B,EAAG/oD,IAAI,MAAMqnD,EAAE,KAAK,GAAGA,EAAE1kD,SAAS0jD,EAAE+S,cAAc9S,EAAEmqB,eAAe5wD,EAAE,CAa1R+qE,CAAGvkC,EAAEC,EAAE,KAAKzmC,EAAE,EAAE9e,EAAQ8pF,WAAW,SAASxkC,EAAEC,GAAG,IAAI4hC,GAAG7hC,GAAG,MAAMxhD,MAAM0lC,EAAE,MAAM,IAAI1qB,GAAE,EAAGwnC,EAAE,GAAGC,EAAEugC,GAA4P,OAAzP,OAAOvhC,QAAG,IAASA,KAAI,IAAKA,EAAEwkC,sBAAsBjrE,GAAE,QAAI,IAASymC,EAAE0zB,mBAAmB3yB,EAAEf,EAAE0zB,uBAAkB,IAAS1zB,EAAEmgC,qBAAqBn/B,EAAEhB,EAAEmgC,qBAAqBngC,EAAE6gC,GAAG9gC,EAAE,GAAE,EAAG,KAAK,EAAKxmC,EAAE,EAAGwnC,EAAEC,GAAGjB,EAAEqjB,IAAIpjB,EAAE5mB,QAAQqpC,GAAG,IAAI1iB,EAAE2H,SAAS3H,EAAEzD,WAAWyD,GAAU,IAAI0hC,GAAGzhC,EAAE,EACrfvlD,EAAQgqF,YAAY,SAAS1kC,GAAG,GAAG,MAAMA,EAAE,OAAO,KAAK,GAAG,IAAIA,EAAE2H,SAAS,OAAO3H,EAAE,IAAIC,EAAED,EAAEi0B,gBAAgB,QAAG,IAASh0B,EAAE,CAAC,GAAG,oBAAoBD,EAAEuE,OAAO,MAAM/lD,MAAM0lC,EAAE,MAAiC,MAA3B8b,EAAEnnD,OAAOslC,KAAK6hB,GAAG9hD,KAAK,KAAWM,MAAM0lC,EAAE,IAAI8b,GAAI,CAAqC,OAA5BA,EAAE,QAAVA,EAAEgO,GAAG/N,IAAc,KAAKD,EAAEoM,SAAkB,EAAE1xD,EAAQiqF,UAAU,SAAS3kC,GAAG,OAAO2/B,GAAG3/B,EAAE,EAAEtlD,EAAQkqF,QAAQ,SAAS5kC,EAAEC,EAAEzmC,GAAG,IAAIsoE,GAAG7hC,GAAG,MAAMzhD,MAAM0lC,EAAE,MAAM,OAAO89C,GAAG,KAAKhiC,EAAEC,GAAE,EAAGzmC,EAAE,EAC/Y9e,EAAQmqF,YAAY,SAAS7kC,EAAEC,EAAEzmC,GAAG,IAAIqoE,GAAG7hC,GAAG,MAAMxhD,MAAM0lC,EAAE,MAAM,IAAI8c,EAAE,MAAMxnC,GAAGA,EAAEsrE,iBAAiB,KAAK7jC,GAAE,EAAGC,EAAE,GAAGC,EAAEqgC,GAAyO,GAAtO,OAAOhoE,QAAG,IAASA,KAAI,IAAKA,EAAEirE,sBAAsBxjC,GAAE,QAAI,IAASznC,EAAEm6D,mBAAmBzyB,EAAE1nC,EAAEm6D,uBAAkB,IAASn6D,EAAE4mE,qBAAqBj/B,EAAE3nC,EAAE4mE,qBAAqBngC,EAAE5lC,GAAG4lC,EAAE,KAAKD,EAAE,EAAE,MAAMxmC,EAAEA,EAAE,KAAKynC,EAAE,EAAGC,EAAEC,GAAGnB,EAAEqjB,IAAIpjB,EAAE5mB,QAAQqpC,GAAG1iB,GAAMgB,EAAE,IAAIhB,EAAE,EAAEA,EAAEgB,EAAE9lD,OAAO8kD,IAA2BiB,GAAhBA,GAAPznC,EAAEwnC,EAAEhB,IAAO+kC,aAAgBvrE,EAAEwrE,SAAS,MAAM/kC,EAAE4gC,gCAAgC5gC,EAAE4gC,gCAAgC,CAACrnE,EAAEynC,GAAGhB,EAAE4gC,gCAAgC3kF,KAAKsd,EACvhBynC,GAAG,OAAO,IAAI2gC,GAAG3hC,EAAE,EAAEvlD,EAAQ6pD,OAAO,SAASvE,EAAEC,EAAEzmC,GAAG,IAAIsoE,GAAG7hC,GAAG,MAAMzhD,MAAM0lC,EAAE,MAAM,OAAO89C,GAAG,KAAKhiC,EAAEC,GAAE,EAAGzmC,EAAE,EAAE9e,EAAQuqF,uBAAuB,SAASjlC,GAAG,IAAI8hC,GAAG9hC,GAAG,MAAMxhD,MAAM0lC,EAAE,KAAK,QAAO8b,EAAEu7B,sBAAqBoE,IAAG,WAAWqC,GAAG,KAAK,KAAKhiC,GAAE,GAAG,WAAWA,EAAEu7B,oBAAoB,KAAKv7B,EAAEqjB,IAAI,IAAI,GAAE,KAAG,EAAM,EAAE3oE,EAAQwqF,wBAAwBxF,GAC/UhlF,EAAQyqF,oCAAoC,SAASnlC,EAAEC,EAAEzmC,EAAEwnC,GAAG,IAAI8gC,GAAGtoE,GAAG,MAAMhb,MAAM0lC,EAAE,MAAM,GAAG,MAAM8b,QAAG,IAASA,EAAEi0B,gBAAgB,MAAMz1E,MAAM0lC,EAAE,KAAK,OAAO89C,GAAGhiC,EAAEC,EAAEzmC,GAAE,EAAGwnC,EAAE,EAAEtmD,EAAQka,QAAQ,+DC/T7L,IAAI0E,EAAI9c,EAAQ,MAEd9B,EAAQ8pF,WAAalrE,EAAEkrE,WACvB9pF,EAAQmqF,YAAcvrE,EAAEurE,0CCH1B,SAASO,IAEP,GAC4C,qBAAnCnB,gCAC4C,oBAA5CA,+BAA+BmB,SAcxC,IAEEnB,+BAA+BmB,SAASA,EAC1C,CAAE,MAAOnsE,GAGPw8D,QAAQ18D,MAAME,EAChB,CACF,CAKEmsE,GACA3qF,EAAOC,QAAU,EAAjBD,iCCzBW,IAA4bwoE,EAAxbhjB,EAAEuC,OAAOC,IAAI,iBAAiBjpC,EAAEgpC,OAAOC,IAAI,gBAAgBzB,EAAEwB,OAAOC,IAAI,kBAAkBxB,EAAEuB,OAAOC,IAAI,qBAAqBvB,EAAEsB,OAAOC,IAAI,kBAAkBtB,EAAEqB,OAAOC,IAAI,kBAAkByB,EAAE1B,OAAOC,IAAI,iBAAiB0B,EAAE3B,OAAOC,IAAI,wBAAwBwB,EAAEzB,OAAOC,IAAI,qBAAqBnpC,EAAEkpC,OAAOC,IAAI,kBAAkBogB,EAAErgB,OAAOC,IAAI,uBAAuBve,EAAEse,OAAOC,IAAI,cAAc8nB,EAAE/nB,OAAOC,IAAI,cAAcqgB,EAAEtgB,OAAOC,IAAI,mBACtb,SAAS1B,EAAEf,GAAG,GAAG,kBAAkBA,GAAG,OAAOA,EAAE,CAAC,IAAIwqB,EAAExqB,EAAEyE,SAAS,OAAO+lB,GAAG,KAAKvqB,EAAE,OAAOD,EAAEA,EAAE7jD,MAAQ,KAAK6kD,EAAE,KAAKE,EAAE,KAAKD,EAAE,KAAK3nC,EAAE,KAAKupD,EAAE,OAAO7iB,EAAE,QAAQ,OAAOA,EAAEA,GAAGA,EAAEyE,UAAY,KAAKN,EAAE,KAAKD,EAAE,KAAKD,EAAE,KAAKsmB,EAAE,KAAKrmC,EAAE,KAAKid,EAAE,OAAOnB,EAAE,QAAQ,OAAOwqB,GAAG,KAAKhxD,EAAE,OAAOgxD,EAAE,CAAC,CADkMvH,EAAEzgB,OAAOC,IAAI,0BAC9M/nD,EAAQ2qF,gBAAgBnhC,EAAExpD,EAAQ4qF,gBAAgBnkC,EAAEzmD,EAAQ6qF,QAAQtlC,EAAEvlD,EAAQ8qF,WAAWvhC,EAAEvpD,EAAQ+qF,SAASzkC,EAAEtmD,EAAQgrF,KAAKnb,EAAE7vE,EAAQirF,KAAKzhD,EAAExpC,EAAQkrF,OAAOpsE,EAAE9e,EAAQmrF,SAAS3kC,EAAExmD,EAAQorF,WAAW7kC,EAAEvmD,EAAQqrF,SAASzsE,EAChe5e,EAAQsrF,aAAanjB,EAAEnoE,EAAQurF,YAAY,WAAW,OAAM,CAAE,EAAEvrF,EAAQwrF,iBAAiB,WAAW,OAAM,CAAE,EAAExrF,EAAQyrF,kBAAkB,SAASnmC,GAAG,OAAOe,EAAEf,KAAKkE,CAAC,EAAExpD,EAAQ0rF,kBAAkB,SAASpmC,GAAG,OAAOe,EAAEf,KAAKmB,CAAC,EAAEzmD,EAAQ2rF,UAAU,SAASrmC,GAAG,MAAM,kBAAkBA,GAAG,OAAOA,GAAGA,EAAEyE,WAAWxE,CAAC,EAAEvlD,EAAQ4rF,aAAa,SAAStmC,GAAG,OAAOe,EAAEf,KAAKiE,CAAC,EAAEvpD,EAAQ6rF,WAAW,SAASvmC,GAAG,OAAOe,EAAEf,KAAKgB,CAAC,EAAEtmD,EAAQ8rF,OAAO,SAASxmC,GAAG,OAAOe,EAAEf,KAAKuqB,CAAC,EAAE7vE,EAAQ+rF,OAAO,SAASzmC,GAAG,OAAOe,EAAEf,KAAK9b,CAAC,EACvexpC,EAAQgsF,SAAS,SAAS1mC,GAAG,OAAOe,EAAEf,KAAKxmC,CAAC,EAAE9e,EAAQisF,WAAW,SAAS3mC,GAAG,OAAOe,EAAEf,KAAKkB,CAAC,EAAExmD,EAAQksF,aAAa,SAAS5mC,GAAG,OAAOe,EAAEf,KAAKiB,CAAC,EAAEvmD,EAAQmsF,WAAW,SAAS7mC,GAAG,OAAOe,EAAEf,KAAK1mC,CAAC,EAAE5e,EAAQosF,eAAe,SAAS9mC,GAAG,OAAOe,EAAEf,KAAK6iB,CAAC,EAClPnoE,EAAQqsF,mBAAmB,SAAS/mC,GAAG,MAAM,kBAAkBA,GAAG,oBAAoBA,GAAGA,IAAIgB,GAAGhB,IAAIkB,GAAGlB,IAAIiB,GAAGjB,IAAI1mC,GAAG0mC,IAAI6iB,GAAG7iB,IAAI8iB,GAAG,kBAAkB9iB,GAAG,OAAOA,IAAIA,EAAEyE,WAAW8lB,GAAGvqB,EAAEyE,WAAWvgB,GAAG8b,EAAEyE,WAAWtD,GAAGnB,EAAEyE,WAAWP,GAAGlE,EAAEyE,WAAWR,GAAGjE,EAAEyE,WAAWwe,QAAG,IAASjjB,EAAEgnC,YAAkB,EAAEtsF,EAAQusF,OAAOlmC,+BCV/StmD,EAAOC,QAAU,EAAjBD,mCCMW,IAAIymD,EAAE1kD,EAAQ,MAAS2nD,EAAE3B,OAAOC,IAAI,iBAAiBwB,EAAEzB,OAAOC,IAAI,kBAAkBnpC,EAAEzgB,OAAOC,UAAUC,eAAe8pE,EAAE3hB,EAAEoB,mDAAmDo0B,kBAAkBxyC,EAAE,CAACvqC,KAAI,EAAG+vE,KAAI,EAAGwd,QAAO,EAAGC,UAAS,GAChP,SAAS5c,EAAE/wD,EAAEwmC,EAAEmB,GAAG,IAAIlB,EAAEe,EAAE,CAAC,EAAEC,EAAE,KAAKiD,EAAE,KAAiF,IAAIjE,UAAhF,IAASkB,IAAIF,EAAE,GAAGE,QAAG,IAASnB,EAAErmD,MAAMsnD,EAAE,GAAGjB,EAAErmD,UAAK,IAASqmD,EAAE0pB,MAAMxlB,EAAElE,EAAE0pB,KAAc1pB,EAAE1mC,EAAE9f,KAAKwmD,EAAEC,KAAK/b,EAAEnrC,eAAeknD,KAAKe,EAAEf,GAAGD,EAAEC,IAAI,GAAGzmC,GAAGA,EAAEq6D,aAAa,IAAI5zB,KAAKD,EAAExmC,EAAEq6D,kBAAe,IAAS7yB,EAAEf,KAAKe,EAAEf,GAAGD,EAAEC,IAAI,MAAM,CAACwE,SAASN,EAAEhoD,KAAKqd,EAAE7f,IAAIsnD,EAAEyoB,IAAIxlB,EAAEzoD,MAAMulD,EAAE2oB,OAAO9G,EAAExpC,QAAQ,CAAC3+B,EAAQ+qF,SAASxhC,EAAEvpD,EAAQ0sF,IAAI7c,EAAE7vE,EAAQ2sF,KAAK9c,6BCD7V,IAAItmB,EAAEzB,OAAOC,IAAI,iBAAiBogB,EAAErgB,OAAOC,IAAI,gBAAgBve,EAAEse,OAAOC,IAAI,kBAAkB8nB,EAAE/nB,OAAOC,IAAI,qBAAqB+nB,EAAEhoB,OAAOC,IAAI,kBAAkBqgB,EAAEtgB,OAAOC,IAAI,kBAAkBwgB,EAAEzgB,OAAOC,IAAI,iBAAiB1B,EAAEyB,OAAOC,IAAI,qBAAqBygB,EAAE1gB,OAAOC,IAAI,kBAAkBugB,EAAExgB,OAAOC,IAAI,cAAcgoB,EAAEjoB,OAAOC,IAAI,cAAcf,EAAEc,OAAOe,SACzW,IAAI/4B,EAAE,CAACwpD,UAAU,WAAW,OAAM,CAAE,EAAEI,mBAAmB,WAAW,EAAED,oBAAoB,WAAW,EAAED,gBAAgB,WAAW,GAAGjjB,EAAEp4D,OAAOqhC,OAAOioC,EAAE,CAAC,EAAE,SAAS6D,EAAEhmB,EAAEC,EAAEgB,GAAG/qC,KAAKza,MAAMukD,EAAE9pC,KAAKy1D,QAAQ1rB,EAAE/pC,KAAK2zD,KAAK1H,EAAEjsD,KAAKw+D,QAAQzzB,GAAGz2B,CAAC,CACwI,SAAS24C,IAAI,CAAyB,SAAS8C,EAAEjmB,EAAEC,EAAEgB,GAAG/qC,KAAKza,MAAMukD,EAAE9pC,KAAKy1D,QAAQ1rB,EAAE/pC,KAAK2zD,KAAK1H,EAAEjsD,KAAKw+D,QAAQzzB,GAAGz2B,CAAC,CADxPw7C,EAAEltE,UAAU4nF,iBAAiB,CAAC,EACpQ1a,EAAEltE,UAAUwuF,SAAS,SAAStnC,EAAEC,GAAG,GAAG,kBAAkBD,GAAG,oBAAoBA,GAAG,MAAMA,EAAE,MAAMxhD,MAAM,yHAAyH0X,KAAKw+D,QAAQR,gBAAgBh+D,KAAK8pC,EAAEC,EAAE,WAAW,EAAE+lB,EAAEltE,UAAUyuF,YAAY,SAASvnC,GAAG9pC,KAAKw+D,QAAQN,mBAAmBl+D,KAAK8pC,EAAE,cAAc,EAAgBmjB,EAAErqE,UAAUktE,EAAEltE,UAAsF,IAAIqtE,EAAEF,EAAEntE,UAAU,IAAIqqE,EACrfgD,EAAErsE,YAAYmsE,EAAEhV,EAAEkV,EAAEH,EAAEltE,WAAWqtE,EAAEoO,sBAAqB,EAAG,IAAI1oD,EAAEtyB,MAAMF,QAAQ0pE,EAAElqE,OAAOC,UAAUC,eAAem0E,EAAE,CAAC7zC,QAAQ,MAAM40C,EAAE,CAACt0E,KAAI,EAAG+vE,KAAI,EAAGwd,QAAO,EAAGC,UAAS,GACtK,SAASxY,EAAE3uB,EAAEC,EAAEgB,GAAG,IAAID,EAAExnC,EAAE,CAAC,EAAE2qC,EAAE,KAAKD,EAAE,KAAK,GAAG,MAAMjE,EAAE,IAAIe,UAAK,IAASf,EAAEypB,MAAMxlB,EAAEjE,EAAEypB,UAAK,IAASzpB,EAAEtmD,MAAMwqD,EAAE,GAAGlE,EAAEtmD,KAAKsmD,EAAE8iB,EAAEvpE,KAAKymD,EAAEe,KAAKitB,EAAEl1E,eAAeioD,KAAKxnC,EAAEwnC,GAAGf,EAAEe,IAAI,IAAIG,EAAEnmD,UAAUE,OAAO,EAAE,GAAG,IAAIimD,EAAE3nC,EAAEld,SAAS2kD,OAAO,GAAG,EAAEE,EAAE,CAAC,IAAI,IAAID,EAAE3nD,MAAM4nD,GAAG7nC,EAAE,EAAEA,EAAE6nC,EAAE7nC,IAAI4nC,EAAE5nC,GAAGte,UAAUse,EAAE,GAAGE,EAAEld,SAAS4kD,CAAC,CAAC,GAAGlB,GAAGA,EAAE6zB,aAAa,IAAI7yB,KAAKG,EAAEnB,EAAE6zB,kBAAe,IAASr6D,EAAEwnC,KAAKxnC,EAAEwnC,GAAGG,EAAEH,IAAI,MAAM,CAACyD,SAASR,EAAE9nD,KAAK6jD,EAAErmD,IAAIwqD,EAAEulB,IAAIxlB,EAAEzoD,MAAM+d,EAAEmwD,OAAOuD,EAAE7zC,QAAQ,CAChV,SAASw1C,EAAE7uB,GAAG,MAAM,kBAAkBA,GAAG,OAAOA,GAAGA,EAAEyE,WAAWR,CAAC,CAAoG,IAAI53B,EAAE,OAAO,SAASskD,EAAE3wB,EAAEC,GAAG,MAAM,kBAAkBD,GAAG,OAAOA,GAAG,MAAMA,EAAErmD,IAA7K,SAAgBqmD,GAAG,IAAIC,EAAE,CAAC,IAAI,KAAK,IAAI,MAAM,MAAM,IAAID,EAAE3/C,QAAQ,SAAQ,SAAS2/C,GAAG,OAAOC,EAAED,EAAE,GAAE,CAA+EwnC,CAAO,GAAGxnC,EAAErmD,KAAKsmD,EAAEhnD,SAAS,GAAG,CAC/W,SAASs5E,EAAEvyB,EAAEC,EAAEgB,EAAED,EAAExnC,GAAG,IAAI2qC,SAASnE,EAAK,cAAcmE,GAAG,YAAYA,IAAEnE,EAAE,MAAK,IAAIkE,GAAE,EAAG,GAAG,OAAOlE,EAAEkE,GAAE,OAAQ,OAAOC,GAAG,IAAK,SAAS,IAAK,SAASD,GAAE,EAAG,MAAM,IAAK,SAAS,OAAOlE,EAAEyE,UAAU,KAAKR,EAAE,KAAK4e,EAAE3e,GAAE,GAAI,GAAGA,EAAE,OAAW1qC,EAAEA,EAAN0qC,EAAElE,GAASA,EAAE,KAAKgB,EAAE,IAAI2vB,EAAEzsB,EAAE,GAAGlD,EAAEn1B,EAAErS,IAAIynC,EAAE,GAAG,MAAMjB,IAAIiB,EAAEjB,EAAE3/C,QAAQgsB,EAAE,OAAO,KAAKkmD,EAAE/4D,EAAEymC,EAAEgB,EAAE,IAAG,SAASjB,GAAG,OAAOA,CAAC,KAAI,MAAMxmC,IAAIq1D,EAAEr1D,KAAKA,EADnW,SAAWwmC,EAAEC,GAAG,MAAM,CAACwE,SAASR,EAAE9nD,KAAK6jD,EAAE7jD,KAAKxC,IAAIsmD,EAAEypB,IAAI1pB,EAAE0pB,IAAIjuE,MAAMukD,EAAEvkD,MAAMkuE,OAAO3pB,EAAE2pB,OAAO,CACyQiF,CAAEp1D,EAAEynC,IAAIznC,EAAE7f,KAAKuqD,GAAGA,EAAEvqD,MAAM6f,EAAE7f,IAAI,IAAI,GAAG6f,EAAE7f,KAAK0G,QAAQgsB,EAAE,OAAO,KAAK2zB,IAAIC,EAAE/jD,KAAKsd,IAAI,EAAyB,GAAvB0qC,EAAE,EAAElD,EAAE,KAAKA,EAAE,IAAIA,EAAE,IAAOn1B,EAAEm0B,GAAG,IAAI,IAAImB,EAAE,EAAEA,EAAEnB,EAAE9kD,OAAOimD,IAAI,CAC/e,IAAID,EAAEF,EAAE2vB,EADwexsB,EACrfnE,EAAEmB,GAAeA,GAAG+C,GAAGquB,EAAEpuB,EAAElE,EAAEgB,EAAEC,EAAE1nC,EAAE,MAAM,GAAG0nC,EAPsU,SAAWlB,GAAG,OAAG,OAAOA,GAAG,kBAAkBA,EAAS,KAAsC,oBAAjCA,EAAE0B,GAAG1B,EAAE0B,IAAI1B,EAAE,eAA0CA,EAAE,IAAI,CAO5bvwB,CAAEuwB,GAAG,oBAAoBkB,EAAE,IAAIlB,EAAEkB,EAAE1nD,KAAKwmD,GAAGmB,EAAE,IAAIgD,EAAEnE,EAAElkC,QAAQ4uD,MAA6BxmB,GAAGquB,EAA1BpuB,EAAEA,EAAE9pD,MAA0B4lD,EAAEgB,EAAtBC,EAAEF,EAAE2vB,EAAExsB,EAAEhD,KAAkB3nC,QAAQ,GAAG,WAAW2qC,EAAE,MAAMlE,EAAExhD,OAAOuhD,GAAGxhD,MAAM,mDAAmD,oBAAoByhD,EAAE,qBAAqBpnD,OAAOslC,KAAK6hB,GAAG9hD,KAAK,MAAM,IAAI+hD,GAAG,6EAA6E,OAAOiE,CAAC,CACzZ,SAAS13B,EAAEwzB,EAAEC,EAAEgB,GAAG,GAAG,MAAMjB,EAAE,OAAOA,EAAE,IAAIgB,EAAE,GAAGxnC,EAAE,EAAmD,OAAjD+4D,EAAEvyB,EAAEgB,EAAE,GAAG,IAAG,SAAShB,GAAG,OAAOC,EAAEzmD,KAAKynD,EAAEjB,EAAExmC,IAAI,IAAUwnC,CAAC,CAAC,SAASo5B,EAAEp6B,GAAG,IAAI,IAAIA,EAAEynC,QAAQ,CAAC,IAAIxnC,EAAED,EAAE0nC,SAAQznC,EAAEA,KAAMglB,MAAK,SAAShlB,GAAM,IAAID,EAAEynC,UAAU,IAAIznC,EAAEynC,UAAQznC,EAAEynC,QAAQ,EAAEznC,EAAE0nC,QAAQznC,EAAC,IAAE,SAASA,GAAM,IAAID,EAAEynC,UAAU,IAAIznC,EAAEynC,UAAQznC,EAAEynC,QAAQ,EAAEznC,EAAE0nC,QAAQznC,EAAC,KAAI,IAAID,EAAEynC,UAAUznC,EAAEynC,QAAQ,EAAEznC,EAAE0nC,QAAQznC,EAAE,CAAC,GAAG,IAAID,EAAEynC,QAAQ,OAAOznC,EAAE0nC,QAAQ7gF,QAAQ,MAAMm5C,EAAE0nC,OAAQ,CAC5Z,IAAIz6D,EAAE,CAACoM,QAAQ,MAAMshD,EAAE,CAAChnB,WAAW,MAAMknB,EAAE,CAACrM,uBAAuBvhD,EAAEumC,wBAAwBmnB,EAAEjE,kBAAkBxJ,GAAG,SAASuO,IAAI,MAAMj9E,MAAM,2DAA4D,CACzM9D,EAAQitF,SAAS,CAACC,IAAIp7D,EAAE6R,QAAQ,SAAS2hB,EAAEC,EAAEgB,GAAGz0B,EAAEwzB,GAAE,WAAWC,EAAE3pC,MAAMJ,KAAKlb,UAAU,GAAEimD,EAAE,EAAE4mC,MAAM,SAAS7nC,GAAG,IAAIC,EAAE,EAAuB,OAArBzzB,EAAEwzB,GAAE,WAAWC,GAAG,IAAUA,CAAC,EAAE6nC,QAAQ,SAAS9nC,GAAG,OAAOxzB,EAAEwzB,GAAE,SAASA,GAAG,OAAOA,CAAC,KAAI,EAAE,EAAE+nC,KAAK,SAAS/nC,GAAG,IAAI6uB,EAAE7uB,GAAG,MAAMxhD,MAAM,yEAAyE,OAAOwhD,CAAC,GAAGtlD,EAAQstF,UAAUhiB,EAAEtrE,EAAQ+qF,SAASvhD,EAAExpC,EAAQmrF,SAASrb,EAAE9vE,EAAQutF,cAAchiB,EAAEvrE,EAAQorF,WAAWvb,EAAE7vE,EAAQqrF,SAAS7iB,EAClcxoE,EAAQ4nD,mDAAmDu4B,EAAEngF,EAAQwtF,IAAIzM,EACzE/gF,EAAQytF,aAAa,SAASnoC,EAAEC,EAAEgB,GAAG,GAAG,OAAOjB,QAAG,IAASA,EAAE,MAAMxhD,MAAM,iFAAiFwhD,EAAE,KAAK,IAAIgB,EAAEiQ,EAAE,CAAC,EAAEjR,EAAEvkD,OAAO+d,EAAEwmC,EAAErmD,IAAIwqD,EAAEnE,EAAE0pB,IAAIxlB,EAAElE,EAAE2pB,OAAO,GAAG,MAAM1pB,EAAE,CAAoE,QAAnE,IAASA,EAAEypB,MAAMvlB,EAAElE,EAAEypB,IAAIxlB,EAAEgpB,EAAE7zC,cAAS,IAAS4mB,EAAEtmD,MAAM6f,EAAE,GAAGymC,EAAEtmD,KAAQqmD,EAAE7jD,MAAM6jD,EAAE7jD,KAAK03E,aAAa,IAAI1yB,EAAEnB,EAAE7jD,KAAK03E,aAAa,IAAI3yB,KAAKjB,EAAE8iB,EAAEvpE,KAAKymD,EAAEiB,KAAK+sB,EAAEl1E,eAAemoD,KAAKF,EAAEE,QAAG,IAASjB,EAAEiB,SAAI,IAASC,EAAEA,EAAED,GAAGjB,EAAEiB,GAAG,CAAC,IAAIA,EAAElmD,UAAUE,OAAO,EAAE,GAAG,IAAIgmD,EAAEF,EAAE1kD,SAAS2kD,OAAO,GAAG,EAAEC,EAAE,CAACC,EAAE5nD,MAAM2nD,GACrf,IAAI,IAAI5nC,EAAE,EAAEA,EAAE4nC,EAAE5nC,IAAI6nC,EAAE7nC,GAAGte,UAAUse,EAAE,GAAG0nC,EAAE1kD,SAAS6kD,CAAC,CAAC,MAAM,CAACsD,SAASR,EAAE9nD,KAAK6jD,EAAE7jD,KAAKxC,IAAI6f,EAAEkwD,IAAIvlB,EAAE1oD,MAAMulD,EAAE2oB,OAAOzlB,EAAE,EAAExpD,EAAQ0tF,cAAc,SAASpoC,GAAqK,OAAlKA,EAAE,CAACyE,SAASwe,EAAEkI,cAAcnrB,EAAEqoC,eAAeroC,EAAEsoC,aAAa,EAAEC,SAAS,KAAKC,SAAS,KAAKC,cAAc,KAAKC,YAAY,OAAQH,SAAS,CAAC9jC,SAASqe,EAAEpe,SAAS1E,GAAUA,EAAEwoC,SAASxoC,CAAC,EAAEtlD,EAAQ+f,cAAck0D,EAAEj0E,EAAQiuF,cAAc,SAAS3oC,GAAG,IAAIC,EAAE0uB,EAAEhM,KAAK,KAAK3iB,GAAY,OAATC,EAAE9jD,KAAK6jD,EAASC,CAAC,EAAEvlD,EAAQkuF,UAAU,WAAW,MAAM,CAACvvD,QAAQ,KAAK,EAC9d3+B,EAAQmuF,WAAW,SAAS7oC,GAAG,MAAM,CAACyE,SAAS1D,EAAEwD,OAAOvE,EAAE,EAAEtlD,EAAQouF,eAAeja,EAAEn0E,EAAQquF,KAAK,SAAS/oC,GAAG,MAAM,CAACyE,SAASgmB,EAAE9lB,SAAS,CAAC8iC,SAAS,EAAEC,QAAQ1nC,GAAG4E,MAAMw1B,EAAE,EAAE1/E,EAAQsuF,KAAK,SAAShpC,EAAEC,GAAG,MAAM,CAACwE,SAASue,EAAE7mE,KAAK6jD,EAAEg3B,aAAQ,IAAS/2B,EAAE,KAAKA,EAAE,EAAEvlD,EAAQuuF,gBAAgB,SAASjpC,GAAG,IAAIC,EAAE06B,EAAEhnB,WAAWgnB,EAAEhnB,WAAW,CAAC,EAAE,IAAI3T,GAAG,CAAC,QAAQ26B,EAAEhnB,WAAW1T,CAAC,CAAC,EAAEvlD,EAAQwuF,aAAazN,EAAE/gF,EAAQg4E,YAAY,SAAS1yB,EAAEC,GAAG,OAAOhzB,EAAEoM,QAAQq5C,YAAY1yB,EAAEC,EAAE,EAAEvlD,EAAQi4E,WAAW,SAAS3yB,GAAG,OAAO/yB,EAAEoM,QAAQs5C,WAAW3yB,EAAE,EAC3ftlD,EAAQ04E,cAAc,WAAW,EAAE14E,EAAQ24E,iBAAiB,SAASrzB,GAAG,OAAO/yB,EAAEoM,QAAQg6C,iBAAiBrzB,EAAE,EAAEtlD,EAAQk4E,UAAU,SAAS5yB,EAAEC,GAAG,OAAOhzB,EAAEoM,QAAQu5C,UAAU5yB,EAAEC,EAAE,EAAEvlD,EAAQ+4E,MAAM,WAAW,OAAOxmD,EAAEoM,QAAQo6C,OAAO,EAAE/4E,EAAQm4E,oBAAoB,SAAS7yB,EAAEC,EAAEgB,GAAG,OAAOh0B,EAAEoM,QAAQw5C,oBAAoB7yB,EAAEC,EAAEgB,EAAE,EAAEvmD,EAAQo4E,mBAAmB,SAAS9yB,EAAEC,GAAG,OAAOhzB,EAAEoM,QAAQy5C,mBAAmB9yB,EAAEC,EAAE,EAAEvlD,EAAQq4E,gBAAgB,SAAS/yB,EAAEC,GAAG,OAAOhzB,EAAEoM,QAAQ05C,gBAAgB/yB,EAAEC,EAAE,EACzdvlD,EAAQs4E,QAAQ,SAAShzB,EAAEC,GAAG,OAAOhzB,EAAEoM,QAAQ25C,QAAQhzB,EAAEC,EAAE,EAAEvlD,EAAQu4E,WAAW,SAASjzB,EAAEC,EAAEgB,GAAG,OAAOh0B,EAAEoM,QAAQ45C,WAAWjzB,EAAEC,EAAEgB,EAAE,EAAEvmD,EAAQw4E,OAAO,SAASlzB,GAAG,OAAO/yB,EAAEoM,QAAQ65C,OAAOlzB,EAAE,EAAEtlD,EAAQy4E,SAAS,SAASnzB,GAAG,OAAO/yB,EAAEoM,QAAQ85C,SAASnzB,EAAE,EAAEtlD,EAAQ84E,qBAAqB,SAASxzB,EAAEC,EAAEgB,GAAG,OAAOh0B,EAAEoM,QAAQm6C,qBAAqBxzB,EAAEC,EAAEgB,EAAE,EAAEvmD,EAAQ44E,cAAc,WAAW,OAAOrmD,EAAEoM,QAAQi6C,eAAe,EAAE54E,EAAQka,QAAQ,sCCtBlana,EAAOC,QAAU,EAAjBD,kCCAAA,EAAOC,QAAU,EAAjBD,kCCGF,IAAI0uF,EACoB,kBAAfC,WACHA,WACgB,kBAATC,KACPA,KACkB,kBAAX3oC,OACPA,OACkB,kBAAX4oC,EAAAA,EACPA,EAAAA,EACA,CAAC,EAEHC,EA8MJ,WACE,IAAI3zE,EAAU,UAAWuzE,EAErB9vD,EAAUzjB,EAAUuzE,EAAIK,WAAQ1rF,EAEpC,OAEA,WAEM8X,EACFuzE,EAAIK,MAAQnwD,SAEL8vD,EAAIK,MAGb5zE,OAAU9X,EACVu7B,OAAUv7B,CACZ,CACF,CAhOckI,GAEdmjF,EAAIK,MAAQ,CAACC,QAAQ,EAAMC,6BAA6B,GAIxD,IAAIxlC,EAAI1nD,EAAQ,MACZmtF,EAASntF,EAAQ,MACjBgtF,EAAQhtF,EAAQ,MAChBotF,EAASptF,EAAQ,MACjBqtF,EAAMrtF,EAAQ,MACdstF,EAAQttF,EAAQ,MAChButF,EAAKvtF,EAAQ,MAEjB+sF,IAEA,IAAIjsF,EAAM,CAAC,EAAEvE,eAGb,SAASixF,IAAa,CAEtBA,EAAUlxF,UAAY0wF,EAGtB,IAAIS,EAAU,IAAID,EAqBlB,SAASE,EAASC,GAChB,GAAuB,oBAAZA,IAA2BA,EAAQjrF,YAC5C,MAAM,IAAIV,MAAM,2CAA6C2rF,EAAU,UAI1BrsF,IAA3CmsF,EAAQG,UAAUD,EAAQjrF,cAC5BirF,EAAQF,EAEZ,CA3BAxvF,EAAOC,QAAUuvF,EAGjBA,EAAQI,UAmDR,SAAmBhwF,EAAOH,GACxB,IACIiwF,EADAG,EAAMd,EAAMa,UAGhB,GAAqB,kBAAVhwF,EACT,MAAM,IAAImE,MAAM,uCAAyCnE,EAAQ,KAInE,GAAgC,WAA5B4vF,EAAQM,KAAKpuF,KAAKjC,GACpBiwF,EAAUjwF,EACVA,EAAO,SACF,CACL,GAAoB,kBAATA,EACT,MAAM,IAAIsE,MAAM,sCAAwCtE,EAAO,KAGjE,IAAIoD,EAAI9D,KAAKywF,EAAQG,UAAWlwF,GAG9B,MAAM,IAAIsE,MAAM,sBAAwBtE,EAAO,uBAF/CiwF,EAAUF,EAAQG,UAAUlwF,EAIhC,CAEA,OAAOowF,EAAI9wF,KAAK0c,KAAM7b,EAAO8vF,EAASjwF,EACxC,EA3EA+vF,EAAQC,SAAWA,EACnBD,EAAQO,MAwBR,SAAetwF,EAAMswF,GACnB,IAEI7wF,EACAwP,EACAjO,EACAa,EALAquF,EAAYH,EAAQG,UACpBxC,EAAM1tF,EAMNswF,KACF5C,EAAM,CAAC,GACH1tF,GAAQswF,GAGd,IAAK7wF,KAAOiuF,EAMV,IAHA1sF,GADAiO,EAAuB,kBADvBA,EAAOy+E,EAAIjuF,IACuB,CAACwP,GAAQA,GAC7BjO,OACda,GAAS,IAEAA,EAAQb,GACfkvF,EAAUjhF,EAAKpN,IAAUquF,EAAUzwF,EAGzC,EA9CAswF,EAAQQ,WA2ER,SAAoBvhF,GAClB,GAAwB,kBAAbA,EACT,MAAM,IAAI1K,MAAM,0CAA4C0K,EAAW,KAGzE,OAAO5L,EAAI9D,KAAKywF,EAAQG,UAAWlhF,EACrC,EAhFA+gF,EAAQS,cAkFR,WACE,IAEIxhF,EAFAkhF,EAAYH,EAAQG,UACpBjhF,EAAO,GAGX,IAAKD,KAAYkhF,EAEb9sF,EAAI9D,KAAK4wF,EAAWlhF,IACW,kBAAxBkhF,EAAUlhF,IAEjBC,EAAKjN,KAAKgN,GAId,OAAOC,CACT,EA9FA+gF,EAASN,GACTM,EAASL,GACTK,EAASJ,GACTI,EAASH,GAETE,EAAQM,KAAKI,OAsJb,SAAgBC,GACd,OAAOA,CACT,EAvJAX,EAAQY,MAAMvI,UA0Fd,SAAmBjoF,EAAO6O,EAAUgzB,GAClC,IAAI4uD,EAEJ,GAAqB,kBAAVzwF,EACT,MAAO,CAAC8B,KAAM,OAAQ9B,MAAOA,GAG/B,GAAiC,UAA7B4vF,EAAQM,KAAKpuF,KAAK9B,GACpB,OA0BJ,SAAsB2C,EAAQkM,GAC5B,IAGI7O,EAHA4C,EAAS,GACT/B,EAAS8B,EAAO9B,OAChBa,GAAS,EAGb,OAASA,EAAQb,GAGD,MAFdb,EAAQ2C,EAAOjB,KAEe,OAAV1B,QAA4ByD,IAAVzD,GACpC4C,EAAOf,KAAK7B,GAIhB0B,GAAS,EACTb,EAAS+B,EAAO/B,OAEhB,OAASa,EAAQb,GACfb,EAAQ4C,EAAOlB,GACfkB,EAAOlB,GAASkuF,EAAQY,MAAMvI,UAAUjoF,EAAO6O,EAAUjM,GAG3D,OAAOA,CACT,CAjDW8tF,CAAa1wF,EAAO6O,GAG7B4hF,EAAM,CACJ3uF,KAAM9B,EAAM8B,KACZyB,QAASqsF,EAAQY,MAAMvI,UAAUjoF,EAAMuD,QAASsL,EAAUgzB,GAC1DooB,IAAK,OACL0mC,QAAS,CAAC,QAAS3wF,EAAM8B,MACzBuI,WAAY,CAAC,EACbwE,SAAUA,EACVgzB,OAAQA,GAGN7hC,EAAMmwF,QACRM,EAAIE,QAAUF,EAAIE,QAAQ5sF,OAAO/D,EAAMmwF,QAKzC,OAFAP,EAAQgB,MAAMC,IAAI,OAAQJ,GAEnB5mC,EACL4mC,EAAIxmC,IAAM,IAAMwmC,EAAIE,QAAQ9sF,KAAK,KAmCrC,SAAoB2vB,GAClB,IAAIl0B,EAEJ,IAAKA,KAAOk0B,EACVA,EAAMl0B,GAAOgwF,EAAO97D,EAAMl0B,IAG5B,OAAOk0B,CACT,CA1CInpB,CAAWomF,EAAIpmF,YACfomF,EAAIltF,QAER,+BCpLA,IAAIutF,EAAY3uF,EAAQ,KAExB/B,EAAOC,QAAUywF,EAEjBA,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,KAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,KAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,MAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,OAC3B2uF,EAAUjB,SAAS1tF,EAAQ,8BCjR3B,SAAS4uF,EAAK5B,GACZA,EAAMY,UAAUgB,KAAO,CACrB3xE,QAAS,SACTylC,OAAQ,kCACR,kBAAmB,CACjB1vC,QAAS,qCACT67E,YAAY,EACZb,MAAO,UAMT,cAAe,CACbh7E,QAAS,aACT67E,YAAY,EACZb,MAAO,WAETc,QAAS,CACP97E,QACE,spOACF67E,YAAY,GAGd3sF,OAAQ,UAIR6sF,SAAU,CACR/7E,QAAS,6CACT67E,YAAY,GAEd,kBAAmB,CACjB77E,QAAS,gBACT67E,YAAY,EAEZb,MAAO,WAET,iBAAkB,CAChB,CAEEh7E,QAAS,8BACT67E,YAAY,EACZb,MAAO,eAET,CAEEh7E,QAAS,QACTg7E,MAAO,gBAGXgB,YAAa,UAEjB,CAxDA/wF,EAAOC,QAAU0wF,EACjBA,EAAKlsF,YAAc,OACnBksF,EAAKK,QAAU,0BCCf,SAASC,EAAKlC,IACX,SAAWA,GACV,IAAImC,EACF,qFACFnC,EAAMY,UAAUsB,KAAO,CACrBjyE,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,WAGjBlvB,MAAO,CACL9sD,QAAS,iDACTg7E,MAAO,UAETsB,SAAU,CACRt8E,QACE,mEACFg7E,MAAO,UAETuB,WAAY,CACVv8E,QAAS,6BACT67E,YAAY,EACZb,MAAO,YAET70E,WAAY,CACVnG,QAAS,iDACT67E,YAAY,EACZb,MAAO,UACPqB,OAAQ,CACNL,YAAa,QAGjB,YAAa,CACXh8E,QAASw8E,OACP,kBAAoBL,EAAY,KAAOA,EAAY,eACnD,KAEFN,YAAY,EACZb,MAAO,CAAC,OAAQ,YAChBqB,OAAQ,CACNL,YAAa,QAGjBS,KAAM,CACJz8E,QAAS,uCACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,QAGjBD,SAAU,UACVC,YAAa,WAEhB,CAvDA,CAuDEhC,EACL,CA5DA/uF,EAAOC,QAAUgxF,EACjBA,EAAKxsF,YAAc,OACnBwsF,EAAKD,QAAU,0BCCf,SAASS,EAAa1C,GACpBA,EAAMY,UAAU8B,aAAe1C,EAAMY,UAAUzvF,OAAO,aAAc,CAClE2wF,QACE,uUACFC,SAAU,8DAEZ/B,EAAMY,UAAU8B,aAAa,cAAc1B,MAAQ,kBAC5ChB,EAAMY,UAAU8B,aAAwB,iBACxC1C,EAAMY,UAAU8B,aAAa,oBAChC1C,EAAMY,UAAUR,QAClBJ,EAAMY,UAAU34C,aAAa,eAAgB,SAAU,CACrDzwC,IAAK,CACHwO,QACE,4EACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUR,SAIhC,CAtBAnvF,EAAOC,QAAUwxF,EACjBA,EAAahtF,YAAc,eAC3BgtF,EAAaT,QAAU,0BCCvB,SAASU,EAAI3C,GACXA,EAAMY,UAAU+B,IAAM,CACpB1yE,QAAS,OACTylC,OAAQ,uBACRxgD,OAAQ,CACN,CACE8Q,QACE,2FAEJ,CACEA,QAAS,6DAGb,YAAa,SACb87E,QACE,6cACFxsF,QAAS,sBACTysF,SAAU,qCACVC,YAAa,gBACbl5E,KAAM,MACN85E,SAAU,oBAEd,CAzBA3xF,EAAOC,QAAUyxF,EACjBA,EAAIjtF,YAAc,MAClBitF,EAAIV,QAAU,0BCCd,SAASY,EAAK7C,IACX,SAAWA,GACVA,EAAMY,UAAUiC,KAAO,CACrB5yE,QAAS,4BACTylC,OAAQ,CACN1vC,QAAS,sCACTo8E,QAAQ,GAEVJ,YAAa,wBACb,aAAc,CACZh8E,QAAS,yBACT67E,YAAY,GAEdiB,SAAU,CACR98E,QAAS,iCACT67E,YAAY,GAEdE,SAAU,CACR/7E,QAAS,oDACT67E,YAAY,GAEdC,QACE,gWAEL,CAvBA,CAuBE9B,EACL,CA5BA/uF,EAAOC,QAAU2xF,EACjBA,EAAKntF,YAAc,OACnBmtF,EAAKZ,QAAU,0BCCf,SAAS7K,EAAG4I,GAEVA,EAAMY,UAAUxJ,GAAK,CACnBnnE,QAAS,0BACTylC,OAAQ,CACN1vC,QAAS,kDACTo8E,QAAQ,GAEVU,SAAU,CACR98E,QACE,wEACF67E,YAAY,GAEdC,QAAS,CAEP,mSACA,mjBAEF5sF,OACE,gFACFI,QAAS,sBACTstF,SAAU,+DACV,aACE,4rCACFb,SAAU,kEACVC,YAAa,iBAEjB,CA9BA/wF,EAAOC,QAAUkmF,EACjBA,EAAG1hF,YAAc,KACjB0hF,EAAG6K,QAAU,0BCCb,SAASc,EAAO/C,GACdA,EAAMY,UAAUmC,OAAS,CACvB9yE,QAAS,gCACTylC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEV,kBAAmB,CACjBp8E,QAAS,2BACTo8E,QAAQ,EACRpB,MAAO,QACPqB,OAAQ,CACNvvB,MAAO,CACL9sD,QAAS,yCACT67E,YAAY,EACZb,MAAO,eAEThD,OACE,sEACFgE,YAAa,WAGjBpmF,OAAQ,CACNoK,QAAS,0DACTo8E,QAAQ,EACRC,OAAQ,CACNjuF,QAAS,CAEP4R,QAAS,oBACT67E,YAAY,GAEdG,YAAa,SAGjBgB,QAAS,CACPh9E,QACE,0EACF67E,YAAY,EACZQ,OAAQ,CACNS,SAAU,yBACVd,YAAa,UAGjBiB,WAAY,CACVj9E,QAAS,iBACTg7E,MAAO,WAETxhF,MAAO,CACLwG,QAAS,aACTg7E,MAAO,eAETc,QACE,gHACF31E,WAAY,CACV,CACEnG,QAAS,qBACTg7E,MAAO,CAAC,OAAQ,eAElB,CACEh7E,QAAS,qBACTg7E,MAAO,CAAC,QAAS,cAGrBkC,SAAU,mBACVnB,SAAU,wBACVC,YAAa,WAEfhC,EAAMY,UAAUuC,GAAKnD,EAAMY,UAAUmC,MACvC,CAvEA9xF,EAAOC,QAAU6xF,EACjBA,EAAOrtF,YAAc,SACrBqtF,EAAOd,QAAU,CAAC,6BCClB,SAASmB,EAAWpD,GAClBA,EAAMY,UAAUwC,WAAa,CAC3BnzE,QAAS,MACT,mBAAoB,CAClBjK,QACE,urOACF67E,YAAY,EACZb,MAAO,YAET,kBAAmB,CACjBh7E,QACE,sNACFq8E,OAAQ,CACN,kBAAmB,CACjBr8E,QAAS,WACTq8E,OAAQ,CACNL,YAAa,SAEfhB,MAAO,OAET,4BAA6B,CAC3Bh7E,QAAS,SACTq8E,OAAQ,CACNL,YAAa,IACbtsC,OAAQ,CACN1vC,QAAS,YACTq8E,OAAQ,CACNO,SAAU,gCAIhB5B,MAAO,cAETgB,YAAa,KAEfhB,MAAO,OAET,kBAAmB,CACjBh7E,QAAS,mBACTg7E,MAAO,WAETtrC,OAAQ,CACN1vC,QAAS,YACTq8E,OAAQ,CACNO,SAAU,+BAGdA,SAAU,6BACVS,MAAO,kBAEX,CArDApyF,EAAOC,QAAUkyF,EACjBA,EAAW1tF,YAAc,aACzB0tF,EAAWnB,QAAU,gCCHrB,IAAIqB,EAAetwF,EAAQ,MAI3B,SAASuwF,EAAKvD,GACZA,EAAMU,SAAS4C,GACd,SAAWtD,GACV,IAAIwD,EACF,y2BACE/wF,EACF,mIAAmI4c,OAAOxY,QACxI,cACA,WACE,OAAO2sF,EAASn0E,MAClB,IAGJ,SAASo0E,EAAgBz9E,GACvB,OAAOw8E,OACLx8E,EAAQnP,QAAQ,iBAAiB,WAC/B,OAAOpE,CACT,IACA,IAEJ,CACA,IAAIixF,EAAkB,CACpB5B,QAAS0B,EACTxB,YAAa,oBAEfhC,EAAMY,UAAU2C,KAAO,CACrBtzE,QAAS+vE,EAAMY,UAAUN,MAAMrwE,QAC/BylC,OAAQsqC,EAAMY,UAAUN,MAAM5qC,OAC9BiuC,IAAK,CACH39E,QAAS,yCACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,eACPqB,OAAQrC,EAAMY,UAAU+C,KAE1BV,WAAY,CACVj9E,QAAS,SACTg7E,MAAO,eAET,aAAc,CACZ,CACEh7E,QAASy9E,EACP,mGACGp0E,QAELwyE,YAAY,EACZQ,OAAQqB,GAEV,CAEE19E,QAASy9E,EACP,uCAAuCp0E,QAEzCwyE,YAAY,EACZQ,OAAQqB,GAEV,CAEE19E,QAASy9E,EAAgB,qCAAqCp0E,QAC9DgzE,OAAQqB,IAGZE,QAAS,CACP59E,QAAS,uBACT67E,YAAY,EACZb,MAAO,cAETc,QAAS0B,EACTV,SAAU,wBACVxtF,QAAS,sBACTJ,OAAQ,mCACR6sF,SACE,kEACFC,YAAa,gBAEhB,CAzEA,CAyEEhC,EACL,CA/EA/uF,EAAOC,QAAUqyF,EACjBA,EAAK7tF,YAAc,OACnB6tF,EAAKtB,QAAU,yBCCf,SAAS4B,EAAI7D,GACXA,EAAMY,UAAUiD,IAAM,CACpB5zE,QAAS,uBACTylC,OAAQ,CACN1vC,QAAS,qBACTo8E,QAAQ,GAEVltF,OACE,wHACF4uF,UAAW,yBACX,kBAAmB,CACjB99E,QAAS,gBACTg7E,MAAO,YAETkC,SAAU,8BACVJ,SAAU,sTACV,mBAAoB,CAClB98E,QAAS,4CACTg7E,MAAO,YAET,kBAAmB,CACjBh7E,QAAS,iDACTg7E,MAAO,YAET+C,WAAY,CACV/9E,QAAS,SACTg7E,MAAO,WAETgB,YAAa,wBACbgC,IAAK,CACHh+E,QAAS,4CACTg7E,MAAO,WAGb,CArCA/vF,EAAOC,QAAU2yF,EACjBA,EAAInuF,YAAc,MAClBmuF,EAAI5B,QAAU,0BCCd,SAASgC,EAAYjE,GACnBA,EAAMY,UAAUqD,YAAc,CAC5Bh0E,QAAS,CAEP,0DACA,OACA,OAEFylC,OAAQ,wBACRxgD,OAAQ,4CACR6sF,SAAU,CACR,2CACA,+YAEFD,QACE,uiBACF,aACE,ihBACFE,YAAa,mCAEjB,CAvBA/wF,EAAOC,QAAU+yF,EACjBA,EAAYvuF,YAAc,cAC1BuuF,EAAYhC,QAAU,0BCCtB,SAASiC,EAAIlE,GACXA,EAAMY,UAAUsD,IAAM,CACpBj0E,QAAS,0BACTrc,SAAU,CACRoS,QACE,uEACF67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,mCACTo8E,QAAQ,GAEV+B,WAAY,CACVn+E,QAAS,sCACTo8E,QAAQ,GAEVQ,SAAU,SACVd,QAAS,CACP,CACE97E,QAAS,iCACT67E,YAAY,GAEd,wOACA,CACE77E,QAAS,yCACT67E,YAAY,GAEd,CACE77E,QAAS,mCACT67E,YAAY,GAEd,CACE77E,QAAS,wBAGb88E,SAAU,uBACVxtF,QAAS,sBACTw9D,MAAO,CACL9sD,QAAS,OACTg7E,MAAO,YAET9rF,OAAQ,CACN,aACA,iBACA,yDAEF6sF,SAAU,wCACVC,YAAa,oBAEjB,CArDA/wF,EAAOC,QAAUgzF,EACjBA,EAAIxuF,YAAc,MAClBwuF,EAAIjC,QAAU,gCCHd,IAAImC,EAAepxF,EAAQ,MAI3B,SAASqxF,EAAQrE,GACfA,EAAMU,SAAS0D,GACfpE,EAAMY,UAAUyD,QAAUrE,EAAMY,UAAUzvF,OAAO,MAAO,CACtD2wF,QACE,yNACFoB,SACE,6NACFoB,QACE,myGAEJtE,EAAMY,UAAU2D,IAAMvE,EAAMY,UAAUyD,OACxC,CAdApzF,EAAOC,QAAUmzF,EACjBA,EAAQ3uF,YAAc,UACtB2uF,EAAQpC,QAAU,CAAC,6BCCnB,SAASuC,EAAKxE,GACZA,EAAMY,UAAU4D,KAAO,CACrBv0E,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,mCACTo8E,QAAQ,GAEVN,QAAS,sCACT5sF,OAAQ,oBACR8sF,YAAa,QAEjB,CAdA/wF,EAAOC,QAAUszF,EACjBA,EAAK9uF,YAAc,OACnB8uF,EAAKvC,QAAU,0BCCf,SAASwC,EAASzE,IACf,SAAWA,GACV,IAAI9kF,EAAa,CACf8K,QACE,mGACF67E,YAAY,EACZQ,OAAQ,CACNqC,OAAQ,CACN1+E,QAAS,+BACTq8E,OAAQ,CACNL,YAAa,gBAGjB2C,YAAa,CACX3+E,QAAS,oBACTq8E,OAAQ,CACNL,YAAa,UAGjBtsC,OAAQ,oBACRktC,SAAU,WACVZ,YAAa,YACbD,SAAU,IAEV,aAAc,gBAGd0C,EAAYzE,EAAMY,UAAU6D,SAAW,CACzC,gBAAiB,CACfz+E,QAAS,oDACTg7E,MAAO,WAET4D,MAAO,CACL5+E,QAAS,0DACTq8E,OAAQ,CACNwC,WAAY,CACV7+E,QACE,iHACFg7E,MAAO,cAETgB,YAAa,CACXh8E,QAAS,kBACT67E,YAAY,KAIlB,oBAAqB,CACnB77E,QAAS,qDACTq8E,OAAQ,CACNL,YAAa,cAIjB,gBAAiB,CACfh8E,QAAS,2DACTq8E,OAAQ,CACNL,YAAa,4BAIjB,cAAe,CACbh8E,QACE,oEACFq8E,OAAQ,CACNL,YAAa,wCAIjB,mBAAoB,CAClBh8E,QACE,iEACF67E,YAAY,EACZb,MAAO,eAET,aAAc,CACZh7E,QAAS,0CACT67E,YAAY,EACZb,MAAO,UAET,iBAAkB,CAChBh7E,QAAS,6DACT67E,YAAY,GAEd5xE,QAAS,WACTpI,MAAO,CACL7B,QACE,iFACFg7E,MAAO,YACPqB,OAAQ,CACNL,YAAa,qCAGjB,kBAAmB,CACjBh8E,QAAS,iDACTg7E,MAAO,OAET9lF,WAAYA,EACZwmD,GAAI,CACF17C,QAAS,WACTg7E,MAAO,eAET,aAAc,CACZh7E,QAAS,WACTg7E,MAAO,eAET8D,WAAY,CACV9+E,QAAS,4CACTg7E,MAAO,WAET+D,QAAS,CACP,CACE/+E,QAAS,mBACT67E,YAAY,EACZb,MAAO,UAET,CACEh7E,QAAS,QACTg7E,MAAO,WAGXgE,MAAO,CACLh/E,QACE,yFACFq8E,OAAQ,CACNS,SAAU,kBACVd,YAAa,OACb9mF,WAAY,CACV8K,QAAS,0DACTq8E,OAAQnnF,EAAWmnF,UAIzB4C,OAAQ,CAYNj/E,QACE,wiBACF67E,YAAY,EACZQ,OAAQ,CACNnnF,WAAYA,EACZ+3D,IAAK,CACHjtD,QAAS,kCACTq8E,OAAQ,CACNL,YAAa,oCAGjB,gBAAiB,CACfh8E,QAAS,WACTq8E,OAAQ,CACNO,SAAU,CACR58E,QAAS,oBACT67E,YAAY,GAEdE,SAAU,uBACVC,YAAa,gBAGjBkD,OAAQ,CACNl/E,QAAS,oBACTq8E,OAAQ,CACNL,YAAa,8BAGjBmD,KAAM,CACJn/E,QAAS,gBACTq8E,OAAQ,CACNL,YAAa,kBAGjBA,YACE,mFAGNhwC,YAAa,CACXhsC,QAAS,iBACTg7E,MAAO,WAETjwE,OAAQ,oBACR,oBAAqB,CACnB/K,QAAS,YACT67E,YAAY,EACZb,MAAO,gBAGX,SAASoE,EAAiBzwD,GAGxB,IADA,IAAI0wD,EAAI,CAAC,EACA5zF,EAAI,EAAGgpD,GAFhB9lB,EAAOA,EAAK5mB,MAAM,MAEOrc,OAAQD,EAAIgpD,EAAGhpD,IACtC4zF,EAAE1wD,EAAKljC,IAAMgzF,EAAS9vD,EAAKljC,IAE7B,OAAO4zF,CACT,CACAnqF,EAAWmnF,OAAoB,YAAEA,OAAOiD,KAAOF,EAC7C,mCAEFX,EAAS,qBAAqBpC,OAAOiD,KAAOF,EAAiB,SAC7DX,EAAS,iBAAiBpC,OAAOiD,KAAOF,EAAiB,WACzDX,EAAgB,MAAEpC,OAAOiD,KAAOF,EAC9B,oOAEFX,EAAS,eAAepC,OAAOiD,KAAOF,EACpC,kKAEFX,EAAgB,MAAEpC,OAAOiD,KAAOF,EAC9B,mCAEFpF,EAAMyB,MAAMzqC,IAAI,QAAQ,SAAUsqC,GACf,WAAbA,EAAI3uF,OACN2uF,EAAIpmF,WAAkB,MAAIomF,EAAIltF,QAAQvD,MAAMgG,QAAQ,QAAS,KAEjE,IACAmpF,EAAMY,UAAU2E,KAAOvF,EAAMY,UAAU6D,QACxC,CA5NA,CA4NEzE,EACL,CAjOA/uF,EAAOC,QAAUuzF,EACjBA,EAAS/uF,YAAc,WACvB+uF,EAASxC,QAAU,CAAC,+BCCpB,SAASuD,EAAQxF,GACfA,EAAMY,UAAU4E,QAAU,CACxBv1E,QAAS,MACTw1E,UAAW,CACTz/E,QAAS,aACTg7E,MAAO,YAETtrC,OAAQ,oCACR,UAAW,CACT1vC,QACE,0cACFg7E,MAAO,WAET,aAAc,CACZh7E,QAAS,sBACTg7E,MAAO,UAET,gBAAiB,CACfh7E,QAAS,aACTg7E,MAAO,UAET,iBAAkB,CAChBh7E,QAAS,YACTg7E,MAAO,UAETN,SAAU,CACR16E,QAAS,aACTg7E,MAAO,YAETgB,YAAa,SAEjB,CAlCA/wF,EAAOC,QAAUs0F,EACjBA,EAAQ9vF,YAAc,UACtB8vF,EAAQvD,QAAU,0BCClB,SAASyD,EAAS1F,GAChBA,EAAMY,UAAU8E,SAAW,CACzBz1E,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,oCACTo8E,QAAQ,GAEVc,SAAU,+DACVuC,UAAW,CACTz/E,QAAS,aACTg7E,MAAO,YAET,aAAc,CACZh7E,QAAS,2BACTg7E,MAAO,YAET,UAAW,CACTh7E,QACE,8jCACFg7E,MAAO,WAET,aAAc,CACZh7E,QAAS,sBACTg7E,MAAO,UAET,gBAAiB,CACfh7E,QAAS,aACTg7E,MAAO,UAET,iBAAkB,CAChBh7E,QAAS,YACTg7E,MAAO,UAETN,SAAU,CACR16E,QAAS,qBACTg7E,MAAO,YAETe,SAAU,wCACVC,YAAa,SAEjB,CA9CA/wF,EAAOC,QAAUw0F,EACjBA,EAAShwF,YAAc,WACvBgwF,EAASzD,QAAU,gCCHnB,IAAI0D,EAAkB3yF,EAAQ,MAI9B,SAAS4yF,EAAO5F,GACdA,EAAMU,SAASiF,GACf3F,EAAMY,UAAUgF,OAAS5F,EAAMY,UAAUzvF,OAAO,SAAU,CACxD,iBAAkB,CAChB6U,QAAS,aACTg7E,MAAO,MACPqB,OAAQ,CACN,iBAAkB,CAChBr8E,QACE,4HACFg7E,MAAO,OAETsE,KAAMtF,EAAMY,UAAUR,OAAOtlC,IAAIunC,SAGrCoD,UAAW,CACTz/E,QAAS,SACTg7E,MAAO,MACPqB,OAAQ,CACNoD,UAAW,CACTz/E,QAAS,wBACTg7E,MAAO,OAETsE,KAAMtF,EAAMY,UAAUiF,WAI5B7F,EAAMY,UAAUgF,OAAO9qC,IAAI90C,QACzB,mGACFg6E,EAAMY,UAAU34C,aACd,SACA,cACA,CACEw9C,UAAWzF,EAAMY,UAAUgF,OAAkB,WAE/C5F,EAAMY,UAAUgF,OAAO9qC,IAAIunC,OAAO,eAEpCrC,EAAMY,UAAU34C,aAAa,SAAU,UAAW,CAChD,cAAe,CACbjiC,QAAS,mBACTg7E,MAAO,CAAC,MAAO,cAGnBhB,EAAMY,UAAU34C,aACd,SACA+3C,EAAMY,UAAUkF,WAAa,SAAW,MACxC,CACE,aAAc,CACZ9/E,QACE,kEACF67E,YAAY,EACZb,MAAO,CAAC,MAAO,UACfqB,OAAQrC,EAAMY,UAAUiF,QAAU,CAAC,IAI3C,CA3DA50F,EAAOC,QAAU00F,EACjBA,EAAOlwF,YAAc,SACrBkwF,EAAO3D,QAAU,0BCCjB,SAAS8D,EAAW/F,GAElBA,EAAMY,UAAUmF,WAAa,CAC3B91E,QAAS,CACP,CACEjK,QAAS,YACT67E,YAAY,GAEd,CACE77E,QACE,uEACF67E,YAAY,EACZO,QAAQ,IAGZtnC,IAAK,CAEH90C,QAAS,mCACT67E,YAAY,GAEdnsC,OAAQ,qBACRktC,SAAU,QACV1tF,OAAQ,8DACR6sF,SACE,uGACFzsF,QAAS,qBACT1D,SACE,4jEACFsxF,SACE,kvDACFoB,QACE,ovBACF3uC,OACE,iuCACFqwC,UACE,+bACFlE,QACE,unEACFgB,SAAU,yCACVd,YAAa,cAEjB,CA5CA/wF,EAAOC,QAAU60F,EACjBA,EAAWrwF,YAAc,aACzBqwF,EAAW9D,QAAU,yBCCrB,SAASgE,EAAOjG,GACdA,EAAMY,UAAUqF,OAAS,CACvBh2E,QAAS,CACP,MACA,CAEEjK,QACE,sEACF67E,YAAY,IAGhB5uB,IAAK,CACHjtD,QAAS,mDACT67E,YAAY,GAEdnsC,OAAQ,CACN1vC,QAAS,kCACTo8E,QAAQ,EACRC,OAAQ,CACNO,SAAU,iBAGd6C,UAAW,CACTz/E,QAAS,oBACT67E,YAAY,EACZb,MAAO,WAET8B,SAAU,cAEVF,SAAU,UACVd,QACE,yOACF5sF,OAAQ,kDACRI,QAAS,sBACTysF,SAAU,+CACVC,YAAa,cAEjB,CAxCA/wF,EAAOC,QAAU+0F,EACjBA,EAAOvwF,YAAc,SACrBuwF,EAAOhE,QAAU,0BCCjB,SAASiE,EAASlG,IAEf,SAAWA,GAMV,SAASnwE,EAAG7J,EAASmgF,EAAchiC,GACjC,OAAOq+B,OANT,SAAiBx8E,EAASmgF,GACxB,OAAOngF,EAAQnP,QAAQ,cAAc,SAAUiZ,EAAGvd,GAChD,OAAO4zF,GAAc5zF,EACvB,GACF,CAEgBsE,CAAQmP,EAASmgF,GAAehiC,GAAS,GACzD,CACA,IAAIxsD,EAAQ,iCAAiC0X,OA0DzC+2E,EAAe,CAzDH,CAEd,iFACG/2E,OACH,wDAAwDA,OACxD,qNACGA,OACH,sBAAsBA,OACtB,gIACGA,OACH,6BAA6BA,OAC7B,0HACGA,OACH,+LACGA,OACH,wFACGA,OACH,mIACGA,OACH,8CAA8CA,OAC9C,2CAA2CA,OAC3C,yDAAyDA,QACzD3a,KAAK,KACU,CAEf,qBAAqB2a,OACrB,eAAeA,OACf,yDAAyDA,OACzD,mCAAmCA,OACnC,sLACGA,OACH,kFACGA,QACH3a,KAAK,KACO,CAEZ,wIACG2a,OACH,uRACGA,OACH,kEAAkEA,OAClE,8NACGA,OACH,uFACGA,OACH,uMACGA,OACH,oKACGA,OACH,mRACGA,OACH,kIACGA,OACH,cAAcA,OACd,2NACGA,QACH3a,KAAK,MAC6CA,KAAK,KACzDsrF,EAAMY,UAAUsF,SAAW,CACzBj2E,QAAS,CACP,CAGEjK,QACE,uFACF67E,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS,gBACT67E,YAAY,EACZO,QAAQ,IAIZiE,SAAU,CACRrgF,QAAS6J,EAAG,0BAA0BR,OAAQ,CAAC1X,GAAQ,KACvD0qF,OAAQ,CACNP,QAAS,SAIb,iBAAkB,CAChB97E,QAAS,4BACT67E,YAAY,EACZQ,OAAQ,CACN,gBAAiB,CACfr8E,QAAS,OACTg7E,MAAO,eAETgB,YAAa,OAGjBtsC,OAAQ,CACN,CAEE1vC,QAAS,iBACTo8E,QAAQ,GAEV,CAEEp8E,QAAS,sCACTo8E,QAAQ,EACRC,OAAQ,CACNa,SAAU,CAERl9E,QACE,yGAMV48E,SAAU,gBACVttF,QAAS,6BACTwsF,QACE,sEACFoB,SAAU,8DAEV,mBAAoB,CAClBl9E,QAAS6J,EAAG,gBAAgBR,OAAQ,CAAC+2E,GAAe,KACpDpF,MAAO,YAET,YAAa,CACXh7E,QAAS6J,EAAG,uBAAuBR,OAAQ,CAAC1X,GAAQ,KACpDqpF,MAAO,WAGT8B,SAAU,CACR98E,QAAS,wCACT67E,YAAY,GAGd,oBAAqB,CACnB77E,QAAS,6BACT67E,YAAY,EACZb,MAAO,eAET9rF,OACE,2EACF6sF,SAAU,kCACVC,YAAa,iBAEfhC,EAAMY,UAAU0F,IAAMtG,EAAMY,UAAUsF,QACvC,CAjKA,CAiKElG,EACL,CAvKA/uF,EAAOC,QAAUg1F,EACjBA,EAASxwF,YAAc,WACvBwwF,EAASjE,QAAU,CAAC,8BCCpB,SAASsE,EAAQvG,GAGfA,EAAMY,UAAU,YAAc,CAC5B3wE,QAAS,CACPjK,QAAS,0BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,iCACT67E,YAAY,EACZO,QAAQ,GAEVa,WAAY,CACVj9E,QAAS,4BACTo8E,QAAQ,EACRpB,MAAO,YAET,sBAAuB,CACrBh7E,QAAS,uBACTo8E,QAAQ,EACRpB,MAAO,YAETmD,WAAY,CACVn+E,QAAS,cACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QAAS,uDACT67E,YAAY,EACZO,QAAQ,GAEVN,QACE,qNACFgB,SAAU,wBACV5tF,OAAQ,CACN,CACE8Q,QACE,kIACF67E,YAAY,GAEd,0BAEFE,SAAU,IACVC,YAAa,qBAEfhC,EAAMY,UAAU4F,KAAOxG,EAAMY,UAAU,WACzC,CAlDA3vF,EAAOC,QAAUq1F,EACjBA,EAAQ7wF,YAAc,UACtB6wF,EAAQtE,QAAU,0BCClB,SAASwE,EAAKzG,IACX,SAAWA,GAKV,IAAI0G,EACF,0oCACEC,EAAsB,CACxB3gF,QAAS,4BACT67E,YAAY,EACZb,MAAO,cAEPqB,OAAQ,MAENuE,EAAe,CACjBH,KAAME,EACNE,YAAa,CACX7gF,QAASw8E,OAAO,MAAQkE,GACxB1F,MAAO,YAET4B,SAAU,CAER,CACE58E,QAAS,sBACTo8E,QAAQ,EACRC,OAAQ,CAENO,SAAU,CACR,CACE58E,QAAS,uBACT67E,YAAY,GAEd,WAEF3sF,OACE,8DAEF6sF,SACE,2DAEFC,YAAa,oBAGjB,CACEh8E,QAAS,qCACTo8E,QAAQ,EACRC,OAAQ,CACNO,SAAU,oBAGd,CACE58E,QAAS,cACTo8E,QAAQ,EACRC,OAAQ,CACNN,SAAU,mCACVC,YAAa,SACb6E,YAAa,CACX7gF,QAASw8E,OAAO,QAAUkE,GAC1B7E,YAAY,EACZb,MAAO,cAIb,sBAGFjwE,OACE,wFAEJivE,EAAMY,UAAU6F,KAAO,CACrBK,QAAS,CACP9gF,QAAS,aACTg7E,MAAO,aAET/wE,QAAS,CACPjK,QAAS,kBACT67E,YAAY,GAEd,gBAAiB,CAKf,CAEE77E,QAAS,kDACT67E,YAAY,EACZb,MAAO,YAET,CAEEh7E,QAAS,8BACTg7E,MAAO,aAIX,gBAAiB,CACfh7E,QAAS,sCACTg7E,MAAO,WACPa,YAAY,GAId,cAAe,CACb77E,QAAS,gCACTq8E,OAAQ,CACNwE,YAAa,CACX7gF,QAASw8E,OAAO,uBAAyBkE,GACzC7E,YAAY,EACZb,MAAO,aAGXA,MAAO,WACPa,YAAY,GAEdnsC,OAAQ,CAEN,CACE1vC,QAAS,mDACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQuE,GAGV,CACE5gF,QAAS,2DACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNoE,KAAME,IAGV,CAEE3gF,QACE,0EACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQuE,GAEV,CAEE5gF,QAAS,oBACT67E,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS,2BACTo8E,QAAQ,EACRC,OAAQ,CACNtxE,OAAQ61E,EAAa71E,UAI3B81E,YAAa,CACX7gF,QAASw8E,OAAO,OAASkE,GACzB1F,MAAO,YAET4B,SAAUgE,EAAahE,SACvBE,SAAU,CACR98E,QACE,2jDACF67E,YAAY,GAEdC,QAAS,CACP97E,QACE,gHACF67E,YAAY,GAGdyC,QAAS,CACPt+E,QACE,6SACF67E,YAAY,EAEZb,MAAO,cAET1rF,QAAS,CACP0Q,QAAS,iDACT67E,YAAY,GAEd,kBAAmB,CACjB77E,QAAS,UACTg7E,MAAO,aAETe,SAAU,CAER/7E,QACE,8EACFq8E,OAAQ,CACN,kBAAmB,CACjBr8E,QAAS,MACTg7E,MAAO,eAIbgB,YAAa,iCACb9sF,OAAQ,CACN8Q,QAAS,qCACT67E,YAAY,IAGhB8E,EAAoBtE,OAASrC,EAAMY,UAAU6F,KAmB7C,IAjBA,IAAIM,EAAa,CACf,UACA,gBACA,gBACA,cACA,SACA,cACA,WACA,UACA,UACA,UACA,kBACA,WACA,cACA,UAEE1E,EAASuE,EAAahE,SAAS,GAAGP,OAC7B5wF,EAAI,EAAGA,EAAIs1F,EAAWr1F,OAAQD,IACrC4wF,EAAO0E,EAAWt1F,IAAMuuF,EAAMY,UAAU6F,KAAKM,EAAWt1F,IAE1DuuF,EAAMY,UAAUoG,MAAQhH,EAAMY,UAAU6F,IACzC,CAlOA,CAkOEzG,EACL,CAvOA/uF,EAAOC,QAAUu1F,EACjBA,EAAK/wF,YAAc,OACnB+wF,EAAKxE,QAAU,CAAC,gCCChB,SAASgF,EAAMjH,GACbA,EAAMY,UAAUqG,MAAQ,CACtBh3E,QAAS,CACPjK,QAAS,iBACTq8E,OAAQ,CACNP,QAAS,UAGbpsC,OAAQ,CACN1vC,QAAS,yCACTo8E,QAAQ,GAEVltF,OAAQ,6CACR4sF,QACE,smBACFgB,SACE,44BACFf,SAAU,yDACVC,YAAa,UAEjB,CAvBA/wF,EAAOC,QAAU+1F,EACjBA,EAAMvxF,YAAc,QACpBuxF,EAAMhF,QAAU,0BCChB,SAASiF,EAAMlH,IACZ,SAAWA,GACV,IAAI4C,EAAW,qBACXuE,EAAY,CACdnhF,QAAS,+CACTg7E,MAAO,YACPqB,OAAQ,CACNL,YAAa,MAGbtsC,EAAS,0BACTxgD,EAAS,gBACb8qF,EAAMY,UAAUsG,MAAQ,CACtBj3E,QAAS,CACP,SACA,CACEjK,QAAS,6DACT67E,YAAY,IAGhBriF,MAAO,CACLwG,QAAS,QACTg7E,MAAO,YAETgC,QAAS,CACP,CAEEh9E,QACE,2FACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,wBACTpsC,OAAQA,EACRyxC,UAAWA,EACXvE,SAAUA,EACV1tF,OAAQA,EACR8sF,YAAa,WAGjB,CAEEh8E,QACE,mOACF67E,YAAY,EACZQ,OAAQ,CACNP,QACE,4DACFpsC,OAAQA,EACRyxC,UAAWA,EACXvE,SAAUA,EACV1tF,OAAQA,EACR6sF,SAAU,2CAGd,CAEE/7E,QAAS,8BACT67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,aAGb,CAEE97E,QACE,wGACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,UACTpsC,OAAQA,EACRyxC,UAAWA,EACXvE,SAAU,CAACA,EAAU,mCACrB1tF,OAAQA,EACR6sF,SAAU,kCACVC,YAAa,WAGjB,CAEEh8E,QACE,uFACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,SACTpsC,OAAQA,EACRyxC,UAAWA,EACX3nF,MAAO,CACLwG,QAAS,cACT67E,YAAY,EACZb,MAAO,YAET4B,SAAUA,EACV1tF,OAAQA,EACR6sF,SAAU,QAIhBA,SAAU,OACVC,YAAa,QAEhB,CAnGA,CAmGEhC,EACL,CAxGA/uF,EAAOC,QAAUg2F,EACjBA,EAAMxxF,YAAc,QACpBwxF,EAAMjF,QAAU,0BCChB,SAASmF,EAAOpH,GACdA,EAAMY,UAAUwG,OAAS,CACvBtsC,IAAK,CACH90C,QACE,wHACFq8E,OAAQ,CACNvnC,IAAK,CACH90C,QAAS,kBACTq8E,OAAQ,CACNL,YAAa,WAGjB,aAAc,CACZh8E,QAAS,sCACTq8E,OAAQ,CACNL,YAAa,CACX,KACA,CACEh8E,QAAS,mBACT67E,YAAY,MAKpBG,YAAa,KACb,YAAa,eAInBhC,EAAMY,UAAUyG,UAAYrH,EAAMY,UAAUwG,MAC9C,CAjCAn2F,EAAOC,QAAUk2F,EACjBA,EAAO1xF,YAAc,SACrB0xF,EAAOnF,QAAU,CAAC,oCCClB,SAASqF,EAAMtH,GAEbA,EAAMY,UAAU0G,MAAQ,CACtBr3E,QAAS,CACP,CAEEjK,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAGZxuF,SAAU,CACR,CACEoS,QAAS,sCACT67E,YAAY,GAEd,CACE77E,QAAS,2DACT67E,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN,CACE1vC,QAAS,qBACTo8E,QAAQ,GAEV,CACEp8E,QAAS,4CACT67E,YAAY,EACZO,QAAQ,IAGZ,sBAAuB,CACrBp8E,QAAS,+DACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,mBACTq8E,OAAQ,CACNmF,WAAY,CACVxhF,QAAS,wBACT67E,YAAY,GAEdG,YAAa,cAGjBtsC,OAAQ,YAGZ+xC,SAAU,CACRzhF,QAAS,6CACT67E,YAAY,EACZb,MAAO,cAET1rF,QAAS,qBAETwsF,QACE,+EACF4F,UAAW,SACX5E,SAAU,2BACV5tF,OAAQ,6CACR6sF,SACE,4FACFC,YAAa,iBAEfhC,EAAMY,UAAU0G,MAAM,uBAAuBjF,OAAsB,cAAEA,OACvD,WACZA,OAASrC,EAAMY,UAAU0G,KAC7B,CA/EAr2F,EAAOC,QAAUo2F,EACjBA,EAAM5xF,YAAc,QACpB4xF,EAAMrF,QAAU,0BCChB,SAAS0F,EAAK3H,GACZA,EAAMY,UAAU+G,KAAO3H,EAAMY,UAAUzvF,OAAO,QAAS,CACrDukD,OAAQ,CACN1vC,QAAS,gCACTo8E,QAAQ,GAEV,aAAc,CACZ,kCACA,iEAEFN,QACE,mJACFC,SAAU,+DACVa,SAAU,kBAEZ5C,EAAMY,UAAU34C,aAAa,OAAQ,WAAY,CAC/C2/C,SAAU,CACR5hF,QAAS,QACTo8E,QAAQ,EACRpB,MAAO,WAGb,CAzBA/vF,EAAOC,QAAUy2F,EACjBA,EAAKjyF,YAAc,OACnBiyF,EAAK1F,QAAU,+BCHf,IAAI4F,EAAa70F,EAAQ,MAIzB,SAAS80F,EAAM9H,GACbA,EAAMU,SAASmH,GACf7H,EAAMY,UAAUkH,MAAQ9H,EAAMY,UAAUzvF,OAAO,IAAK,CAAC,GACrD6uF,EAAMY,UAAU34C,aAAa,QAAS,UAAW,CAC/C6/C,MAAO,CAIL9hF,QAAS,gCACTq8E,OAAQ,CACNryE,EAAG,CAEDhK,QAAS,0CACTq8E,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,cACTg7E,MAAO,eAET,iBAAkB,CAChBh7E,QAAS,2BACTg7E,MAAO,WACPqB,OAAQ,CACNL,YAAa,QAGjBsD,KAAMtF,EAAMY,UAAU5wE,IAG1BC,QAAS+vE,EAAMY,UAAU5wE,EAAEC,QAC3BylC,OAAQsqC,EAAMY,UAAU5wE,EAAE0lC,OAC1B9hD,SAAU,WACVkuF,QAAS,OACT5sF,OAAQ,CACN8Q,QAAS,gCACT67E,YAAY,GAEdG,YAAa,uBAIrB,CA3CA/wF,EAAOC,QAAU42F,EACjBA,EAAMpyF,YAAc,QACpBoyF,EAAM7F,QAAU,0BCChB,SAAS+F,EAAIhI,GACXA,EAAMY,UAAUoH,IAAM,CACpBtyC,OAAQ,CACN1vC,QAAS,2BAEXmG,WAAY,CACVnG,QAAS,2BACTg7E,MAAO,CAAC,OAAQ,WAChBqB,OAAQ,CACNL,YAAa,UAGjBS,KAAM,CACJz8E,QAAS,iBACTq8E,OAAQ,CACNL,YAAa,UAGjBD,SAAU,2BAEZ/B,EAAMY,UAAUqH,KAAOjI,EAAMY,UAAUoH,GACzC,CAxBA/2F,EAAOC,QAAU82F,EACjBA,EAAItyF,YAAc,MAClBsyF,EAAI/F,QAAU,CAAC,+BCCf,SAASiG,EAAUlI,GACjBA,EAAMY,UAAUsH,UAAY,CAC1BC,QAAS,CACPniF,QAAS,MACTg7E,MAAO,WAETh0E,UAAW,CACThH,QAAS,KACTg7E,MAAO,YAEToH,UAAW,CACTpiF,QAAS,IACTg7E,MAAO,WAETqH,UAAW,CACTriF,QAAS,QACTg7E,MAAO,aAETe,SAAU,OACV9xE,QAAS,MAEb,CAxBAhf,EAAOC,QAAUg3F,EACjBA,EAAUxyF,YAAc,YACxBwyF,EAAUjG,QAAU,0BCCpB,SAASqG,EAAatI,GACpBA,EAAMY,UAAU0H,aAAe,CAC7Br4E,QAAS,iBACT,sBAAuB,CACrBjK,QAAS,iEACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACN,gBAAiB,CACfr8E,QAAS,cACT67E,YAAY,GAEd4D,UAAW,CACTz/E,QAAS,qDACTg7E,MAAO,WAETwG,WAAY,CACVxhF,QAAS,UACTq8E,OAAQ,QAIdzuF,SAAU,CACRoS,QACE,mEACF67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,0BACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QAAS,mBACT67E,YAAY,GAEdC,QACE,6GACFxsF,QAAS,sBACTwtF,SAAU,0BACV5tF,OAAQ,2DACR6sF,SACE,mEACFC,YAAa,eACbkB,SAAU,qBAEZlD,EAAMY,UAAU0H,aAAa,uBAAuBjG,OAAOmF,WAAWnF,OACpErC,EAAMY,UAAU0H,YACpB,CAnDAr3F,EAAOC,QAAUo3F,EACjBA,EAAa5yF,YAAc,eAC3B4yF,EAAarG,QAAU,0BCCvB,SAASsG,EAAIvI,GACXA,EAAMY,UAAU2H,IAAM,CACpBt4E,QAAS,CACPjK,QAAS,gBACT67E,YAAY,EACZQ,OAAQ,CACN6C,OAAQ,2BAGZxvC,OAAQ,CACN1vC,QAAS,iDACTo8E,QAAQ,GAEV9sF,QAAS,WACTwtF,SAAU,CACR98E,QAAS,iDACT67E,YAAY,GAEdyC,QACE,iUACFpB,SAAU,CACRl9E,QAAS,sBACT67E,YAAY,GAEdC,QACE,gRACFC,SAAU,yDACV7sF,OAAQ,4DACR8sF,YAAa,gBAEjB,CAjCA/wF,EAAOC,QAAUq3F,EACjBA,EAAI7yF,YAAc,MAClB6yF,EAAItG,QAAU,0BCCd,SAASuG,EAAIxI,GAKXA,EAAMY,UAAU4H,IAAM,CACpBv4E,QAAS,SACTylC,OAAQ,CAGN,CACE1vC,QAAS,sBACTo8E,QAAQ,GAGV,CACEp8E,QAAS,0BAGb87E,QAAS,CACP,CAEE97E,QACE,g/CACF67E,YAAY,GAEd,CAEE77E,QACE,2MAGN9Q,OAAQ,CACN8Q,QACE,oIACF67E,YAAY,GAEdE,SAAU,CACR,mBACA,CACE/7E,QACE,uNACF67E,YAAY,GAEd,CACE77E,QAAS,wBAGbg8E,YAAa,yBACbyD,UAAW,CAGT,CACEz/E,QAAS,gBACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,aAST,CACEh7E,QAAS,iBACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,eAIbhB,EAAMY,UAAU6H,QAAUzI,EAAMY,UAAe,GACjD,CA7EA3vF,EAAOC,QAAUs3F,EACjBA,EAAI9yF,YAAc,MAClB8yF,EAAIvG,QAAU,0BCCd,SAASjyE,EAAEgwE,GACTA,EAAMY,UAAU5wE,EAAIgwE,EAAMY,UAAUzvF,OAAO,QAAS,CAClD8e,QAAS,CACPjK,QACE,sEACFo8E,QAAQ,GAEV1sC,OAAQ,CAEN1vC,QAAS,sCACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QACE,mFACF67E,YAAY,GAEdC,QACE,oVACFgB,SAAU,wBACV5tF,OACE,oHACF6sF,SAAU,oDAEZ/B,EAAMY,UAAU34C,aAAa,IAAK,SAAU,CAC1Cn/B,KAAM,CAEJ9C,QAAS,2CACTo8E,QAAQ,KAGZpC,EAAMY,UAAU34C,aAAa,IAAK,SAAU,CAC1C+8C,MAAO,CAGLh/E,QACE,4FACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,WACPqB,OAAQ,CACN3sC,OAAQ,CACN,CAEE1vC,QAAS,2BACT67E,YAAY,GAEd7B,EAAMY,UAAU5wE,EAAU,QAE5BlH,KAAMk3E,EAAMY,UAAU5wE,EAAQ,KAC9BC,QAAS+vE,EAAMY,UAAU5wE,EAAW,QACpC,aAAc,CACZ,CACEhK,QAAS,+BACT67E,YAAY,GAEd,CACE77E,QAAS,+BACT67E,YAAY,EACZb,MAAO,aAIXyE,UAAW,CACTz/E,QAAS,gBACT67E,YAAY,EACZb,MAAO,WAET,iBAAkB,KAClBgB,YAAa,kBACbwF,WAAY,CACVxhF,QAAS,YACTq8E,OAAQrC,EAAMY,UAAU5wE,OAKhCgwE,EAAMY,UAAU34C,aAAa,IAAK,WAAY,CAE5Ci7C,SACE,sIAEGlD,EAAMY,UAAU5wE,EAAW,OACpC,CAtFA/e,EAAOC,QAAU8e,EACjBA,EAAEta,YAAc,IAChBsa,EAAEiyE,QAAU,0BCCZ,SAASyG,EAAS1I,GAEhBA,EAAMY,UAAU8H,SAAW1I,EAAMY,UAAUzvF,OAAO,QAAS,CACzD8e,QAAS,CACP,CACEjK,QAAS,kCACT67E,YAAY,EACZQ,OAAQ,CACNY,WAAY,CACVj9E,QAAS,qBACTg7E,MAAO,iBAIb,CACEh7E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAGZN,QACE,gOACFC,SAAU,CACR,yEACA,yEAEFj7E,MAAO,CACLd,QACE,qFACFg7E,MAAO,UAETruF,KAAM,CACJqT,QACE,uFACFg7E,MAAO,aAGXhB,EAAMY,UAAU34C,aAAa,WAAY,UAAW,CAElD,oBAAqB,CACnBjiC,QACE,2KACFg7E,MAAO,qBAGJhB,EAAMY,UAAU8H,SAAS,cAChC1I,EAAMY,UAAU+H,IAAM3I,EAAMY,UAAoB,QAClD,CAlDA3vF,EAAOC,QAAUw3F,EACjBA,EAAShzF,YAAc,WACvBgzF,EAASzG,QAAU,gCCHnB,IAAImC,EAAepxF,EAAQ,MAI3B,SAAS41F,EAAW5I,GAClBA,EAAMU,SAAS0D,GACfpE,EAAMY,UAAUgI,WAAa5I,EAAMY,UAAUzvF,OAAO,QAAS,CAC3DukD,OAAQ,CACN1vC,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAEV,aAAc,CACZ,CAEEp8E,QAAS,kBACT67E,YAAY,GAEd,CAEE77E,QAAS,kCACT67E,YAAY,IAGhBC,QACE,gIACF5sF,OAAQ,CAAC8qF,EAAMY,UAAUiI,IAAI3zF,OAAQ,wBACrC6sF,SACE,4EAEJ/B,EAAMY,UAAU34C,aAAa,aAAc,WAAY,CACrD,iBAAkB,CAEhBjiC,QAAS,wBACT67E,YAAY,EACZb,MAAO,gBAGXhB,EAAMY,UAAU34C,aAAa,aAAc,SAAU,CACnD,uBAAwB,CACtBjiC,QACE,uFACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QACE,oEACF67E,YAAY,EACZQ,OAAQ,CACN,2BAA4B,CAC1Br8E,QAAS,wBACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUgI,YAE1B,4BAA6B,CAC3B5iF,QAAS,YACTg7E,MAAO,iBAIbtrC,OAAQ,aAIhB,CAhEAzkD,EAAOC,QAAU03F,EACjBA,EAAWlzF,YAAc,aACzBkzF,EAAW3G,QAAU,0BCCrB,SAAS6G,EAAI9I,GACXA,EAAMY,UAAUkI,IAAM,CACpB74E,QAAS,SACTylC,OAAQ,CACN1vC,QAAS,iDACTo8E,QAAQ,GAEVqD,UAAW,CACTz/E,QAAS,uBACT67E,YAAY,EACZb,MAAO,cAGT4B,SAAU,cACVd,QACE,koBACFgB,SACE,0lCACFxtF,QAAS,qBACTJ,OAAQ,6CACR8sF,YAAa,gCAEjB,CAzBA/wF,EAAOC,QAAU43F,EACjBA,EAAIpzF,YAAc,MAClBozF,EAAI7G,QAAU,0BCCd,SAAS3B,EAAMN,GACbA,EAAMY,UAAUN,MAAQ,CACtBrwE,QAAS,CACP,CACEjK,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN1vC,QAAS,iDACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QACE,2FACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,UAGjBF,QACE,6GACFxsF,QAAS,qBACTwtF,SAAU,cACV5tF,OAAQ,4DACR6sF,SAAU,+CACVC,YAAa,gBAEjB,CArCA/wF,EAAOC,QAAUovF,EACjBA,EAAM5qF,YAAc,QACpB4qF,EAAM2B,QAAU,0BCChB,SAAS8G,EAAQ/I,GAEfA,EAAMY,UAAUmI,QAAU,CACxB94E,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,oBACTo8E,QAAQ,GAEVt5E,KAAM,QACN6sC,OAAQ,CACN3vC,QAAS,uCACT67E,YAAY,GAEdC,QAAS,CACP97E,QACE,8+EACF67E,YAAY,GAEdvsF,QAAS,yBACTJ,OAAQ,CACN8Q,QACE,+FACF67E,YAAY,GAEdiB,SAAU,CACR98E,QAAS,4CACT67E,YAAY,GAEdE,SAAU,UACVC,YAAa,cAEjB,CArCA/wF,EAAOC,QAAU63F,EACjBA,EAAQrzF,YAAc,UACtBqzF,EAAQ9G,QAAU,0BCClB,SAAS+G,EAAMhJ,GACbA,EAAMY,UAAUoI,MAAQ,CACtB/4E,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,oBACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,kCACTq8E,OAAQ,CACNL,YAAa,UACbY,SAAU,UAKlBA,SACE,21DACFhvF,SACE,8pMACFkuF,QACE,uyDACFxsF,QAAS,4BACTipB,UACE,2EACFwjE,SACE,+HACFkH,SAAU,CACRjjF,QAAS,eACTg7E,MAAO,cAET9rF,OAAQ,oBACR4tF,SAAU,0BACVd,YAAa,gBAEjB,CAtCA/wF,EAAOC,QAAU83F,EACjBA,EAAMtzF,YAAc,QACpBszF,EAAM/G,QAAU,0BCChB,SAASiH,EAAMlJ,GACbA,EAAMY,UAAUsI,MAAQ,CACtBj5E,QAAS,CACPjK,QAAS,uBACT67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,8DACTo8E,QAAQ,GAEV+G,MAAO,CACLnjF,QAAS,kBACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,UAET,aAAc,CAEZh7E,QACE,oEACF67E,YAAY,EACZQ,OAAQ,CACNntF,OAAQ,CACN8Q,QAAS,UACT67E,YAAY,GAEdG,YAAa,SAGjBF,QAAS,CACP97E,QACE,wtIACF67E,YAAY,GAEdvsF,QAAS,CACP0Q,QAAS,qCACT67E,YAAY,GAEd3sF,OAAQ,CACN8Q,QACE,kFACF67E,YAAY,GAEdE,SAAU,CACR,oBACA,CACE/7E,QAAS,+DACT67E,YAAY,IAGhBG,YAAa,UAEjB,CAxDA/wF,EAAOC,QAAUg4F,EACjBA,EAAMxzF,YAAc,QACpBwzF,EAAMjH,QAAU,0BCChB,SAASmH,EAAapJ,IACnB,SAAWA,GAEV,IAAI/vE,EAAU,YACVs3E,EAAgB,CAClBvhF,QAAS,aACTg7E,MAAO,YAEThB,EAAMY,UAAUwI,aAAepJ,EAAMY,UAAUzvF,OAAO,aAAc,CAClE8e,QAASA,EACTylC,OAAQ,CAEN,CACE1vC,QAAS,yBACTo8E,QAAQ,GAEV,CAEEp8E,QAAS,yBACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAeA,KAIrBzF,QACE,mSACF,eAAgB,CACd97E,QAAS,aACTg7E,MAAO,cAGXhB,EAAMY,UAAU34C,aAAa,eAAgB,UAAW,CACtD,oBAAqB,CACnBjiC,QAAS,iBACTg7E,MAAO,WAGT,cAAe,CACbh7E,QAAS,qBACTg7E,MAAO,QACPqB,OAAQ,CACNpyE,QAASA,EACTs3E,cAAeA,MAIrBvH,EAAMY,UAAU34C,aAAa,eAAgB,SAAU,CACrD,oBAAqB,CACnBjiC,QAAS,yBACTq8E,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,QACTg7E,MAAO,eAET96C,OAAQ,CACNlgC,QAAS,UACTg7E,MAAO,sBACPqB,OAAQrC,EAAMY,UAAUkF,cAK9B,mBAAoB,CAClB,CACE9/E,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,UAET,CACEh7E,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACNkF,cAAeA,OAKvBvH,EAAMY,UAAU34C,aAAa,eAAgB,UAAW,CAEtDr0C,SAAU,kCAELosF,EAAMY,UAAUwI,aAAa,mBACpCpJ,EAAMY,UAAUyI,OAASrJ,EAAMY,UAAUwI,YAC1C,CApFA,CAoFEpJ,EACL,CAzFA/uF,EAAOC,QAAUk4F,EACjBA,EAAa1zF,YAAc,eAC3B0zF,EAAanH,QAAU,CAAC,iCCCxB,SAASqH,EAAUtJ,GACjBA,EAAMY,UAAU0I,UAAY,CAC1Br5E,QAAS,CACPjK,QAAS,6CACT67E,YAAY,EACZO,QAAQ,GAEVmH,QAAS,CACPvjF,QAAS,2BACTo8E,QAAQ,EACRC,OAAQ,CACN,aAAc,OACd3sC,OAAQ,CACN1vC,QAAS,6BACT67E,YAAY,GAEdG,YAAa,SAGjBc,SAAU,CACR98E,QAAS,2CACT67E,YAAY,GAEdC,QACE,0jBACFxsF,QAAS,qBACTJ,OACE,4HACF8sF,YAAa,gBACbD,SACE,sHACFkB,WAAY,CACVj9E,QAAS,gCACTg7E,MAAO,YAGXhB,EAAMY,UAAU34C,aAAa,YAAa,UAAW,CACnD,gBAAiB,CACfjiC,QAAS,qCACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QACE,iEACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAU0I,WAE1BjG,MAAO,YAGX,iBAAkB,CAChBr9E,QAAS,4CACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QACE,iEACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAU0I,WAE1B5zC,OAAQ,cAIdsqC,EAAMY,UAAU4I,KAAOxJ,EAAMY,UAAU0I,SACzC,CApEAr4F,EAAOC,QAAUo4F,EACjBA,EAAU5zF,YAAc,YACxB4zF,EAAUrH,QAAU,CAAC,+BCCrB,SAASwH,EAAIzJ,IACV,SAAWA,GAGV,IADA,IAAI0J,EAAgB,8CAA8Cr6E,OACzD5d,EAAI,EAAGA,EAAI,EAAGA,IACrBi4F,EAAgBA,EAAc7yF,QAAQ,WAAW,WAC/C,OAAO6yF,CACT,IAEFA,EAAgBA,EAAc7yF,QAAQ,UAAW,MACjDmpF,EAAMY,UAAU6I,IAAM,CACpBx5E,QAASuyE,OAAOkH,GAChBh0C,OAAQ,CACN1vC,QAAS,sBACTo8E,QAAQ,GAEVxrF,UAAW,CACT,CACEoP,QAASw8E,OACP,6DAA6DnzE,OAAOxY,QAClE,cACA,WACE,OAAO6yF,CACT,KAGJtH,QAAQ,EACRpB,MAAO,YACPqB,OAAQ,CACNpyE,QAASuyE,OAAOkH,GAChBh0C,OAAQ,CACN1vC,QAAS,sBACTo8E,QAAQ,GAEVL,SAAU,IACVC,YAAa,mBAGjB,CACEh8E,QACE,wFACFg7E,MAAO,cAGXc,QACE,0/DACF5sF,OACE,6GACFy0F,MAAO,CACL3jF,QAAS,kBACTg7E,MAAO,eAETe,SACE,8FACFC,YAAa,8CAEhB,CAvDA,CAuDEhC,EACL,CA5DA/uF,EAAOC,QAAUu4F,EACjBA,EAAI/zF,YAAc,MAClB+zF,EAAIxH,QAAU,gCCHd,IAAI4F,EAAa70F,EAAQ,MAIzB,SAAS61F,EAAI7I,GACXA,EAAMU,SAASmH,GACd,SAAW7H,GACV,IAAI8B,EACF,osBACE8H,EAAU,uCAAuCv6E,OAAOxY,QAC1D,cACA,WACE,OAAOirF,EAAQzyE,MACjB,IAEF2wE,EAAMY,UAAUiI,IAAM7I,EAAMY,UAAUzvF,OAAO,IAAK,CAChD,aAAc,CACZ,CACE6U,QAASw8E,OACP,gEAAgEnzE,OAAOxY,QACrE,cACA,WACE,OAAOirF,EAAQzyE,MACjB,KAGJwyE,YAAY,GAKd,iCAEA,oCAEA,kEAEFC,QAASA,EACT5sF,OAAQ,CACN8Q,QACE,iJACFo8E,QAAQ,GAEVL,SACE,yHACFzsF,QAAS,uBAEX0qF,EAAMY,UAAU34C,aAAa,MAAO,SAAU,CAC5Ch3C,OAAQ,CAEN+U,QAASw8E,OACP,2BAA2BnzE,OACzB,MACA,mDAAmDA,OACnD,IACA,kDAAkDA,OAAOxY,QACvD,eACA,WACE,OAAO+yF,CACT,IAEF,KAEJ/H,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN3sC,OAAQ,eACRqsC,SAAU,IACVC,YAAa,OAGjB,aAAc,CACZh8E,QAAS,oCACTg7E,MAAO,SACPoB,QAAQ,KAGZpC,EAAMY,UAAU34C,aAAa,MAAO,UAAW,CAC7C,mBAAoB,CAClBjiC,QAAS,8DACTq8E,OAAQ,CACNS,SAAU,OACV+G,QAAS,CACP7jF,QAAS,WACTg7E,MAAO,aACPqB,OAAQrC,EAAMY,UAAUiI,SAKhC7I,EAAMY,UAAU34C,aAAa,MAAO,WAAY,CAC9C,eAAgB,CACdjiC,QAAS,KACTg7E,MAAO,iBAGXhB,EAAMY,UAAU34C,aAAa,MAAO,aAAc,CAGhD,cAAe,CACbjiC,QACE,6EACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQrC,EAAMY,UAAUzvF,OAAO,MAAO,CAAC,MAG3C6uF,EAAMY,UAAU34C,aACd,SACA,eACA,CAEE,aAAc,2BAEhB+3C,EAAMY,UAAUiI,IAAI,eAEvB,CA9GA,CA8GE7I,EACL,CApHA/uF,EAAOC,QAAU23F,EACjBA,EAAInzF,YAAc,MAClBmzF,EAAI5G,QAAU,gCCHd,IAAI6H,EAAgB92F,EAAQ,KAI5B,SAAS+2F,EAAQ/J,GACfA,EAAMU,SAASoJ,GACd,SAAW9J,GACVA,EAAMY,UAAUmJ,QAAU/J,EAAMY,UAAUzvF,OAAO,OAAQ,CACvD2wF,QAAS,CACP,4XACA,CACE97E,QAAS,gCACT67E,YAAY,IAGhB3sF,OACE,sJACF6sF,SAAU,CAAC,KAAM/B,EAAMY,UAAUoJ,KAAKjI,UACtCC,YAAa,mBAEfhC,EAAMY,UAAU34C,aAAa,UAAW,iBAAkB,CACxDrxC,UAAW,CACToP,QAAS,WACTq8E,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,WACTg7E,MAAO,eAETpqF,UAAW,CACToP,QAAS,YACT67E,YAAY,EACZb,MAAO,cAETiJ,KAAM,CACJjkF,QAAS,mBACTq8E,OAAQrC,EAAMY,UAAUmJ,WAI9BG,UAAW,CACTlkF,QAAS,wBACTq8E,OAAQ,CACNjuF,QAAS,CACP4R,QAAS,wBACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUmJ,SAE1BhC,UAAW,CACT/hF,QAAS,oBACTg7E,MAAO,cAIbl4E,KAAM,CACJ9C,QACE,0EACFo8E,QAAQ,IAGb,CArDA,CAqDEpC,EACL,CA3DA/uF,EAAOC,QAAU64F,EACjBA,EAAQr0F,YAAc,UACtBq0F,EAAQ9H,QAAU,0BCClB,SAAS4D,EAAO7F,IACb,SAAWA,GAWV,SAASnpF,EAAQmP,EAASmgF,GACxB,OAAOngF,EAAQnP,QAAQ,cAAc,SAAUiZ,EAAGvd,GAChD,MAAO,MAAQ4zF,GAAc5zF,GAAS,GACxC,GACF,CAOA,SAASsd,EAAG7J,EAASmgF,EAAchiC,GACjC,OAAOq+B,OAAO3rF,EAAQmP,EAASmgF,GAAehiC,GAAS,GACzD,CAQA,SAASgmC,EAAOnkF,EAASokF,GACvB,IAAK,IAAI34F,EAAI,EAAGA,EAAI24F,EAAW34F,IAC7BuU,EAAUA,EAAQnP,QAAQ,aAAa,WACrC,MAAO,MAAQmP,EAAU,GAC3B,IAEF,OAAOA,EAAQnP,QAAQ,YAAa,YACtC,CACA,IAAIwzF,EAEI,4GAFJA,EAIe,qCAJfA,EAQA,2NARAA,EAWA,uXAEJ,SAASC,EAAkBC,GACzB,MAAO,SAAWA,EAAMt0F,OAAOY,QAAQ,KAAM,KAAO,MACtD,CACA,IAAI2zF,EAA0BF,EAC5BD,GAEE7G,EAAWhB,OACb8H,EACED,EACE,IACAA,EACA,IACAA,EACA,IACAA,IAGFI,EAAkBH,EACpBD,EACE,IACAA,EACA,IACAA,GAEAK,EAAwBJ,EAC1BD,EACE,IACAA,EACA,IACAA,GAEAR,EAAUM,EAAO,mCAAmC96E,OAAQ,GAC5Ds7E,EAAcR,EAAO,0BAA0B96E,OAAQ,GACvD3e,EAAO,qBAAqB2e,OAC5Bu7E,EAAc/zF,EAAQ,qBAAqBwY,OAAQ,CAAC3e,EAAMm5F,IAC1D1F,EAAattF,EAAQ,mCAAmCwY,OAAQ,CAClEo7E,EACAG,IAEEt1C,EAAQ,mBAAmBjmC,OAC3Bw7E,EAA6Bh0F,EAC/B,yCAAyCwY,OACzC,CAAC80E,EAAY7uC,IAEXw1C,EAAej0F,EACjB,2CAA2CwY,OAC3C,CAACw6E,EAASc,EAAar1C,IAErBy1C,EAAQl0F,EAAQ,yBAAyBwY,OAAQ,CAACy7E,IAClDE,EAAiBn0F,EACnB,mDAAmDwY,OACnD,CAAC07E,EAAO5G,EAAY7uC,IAElB21C,EAAa,CACfnJ,QAAS0B,EACTxB,YAAa,iBAIXxxE,EAAY,8CAA8CnB,OAC1D67E,EAAgB,wBAAwB77E,OACxC87E,EAAiB,kCAAkC97E,OACvD2wE,EAAMY,UAAUiF,OAAS7F,EAAMY,UAAUzvF,OAAO,QAAS,CACvDukD,OAAQ,CACN,CACE1vC,QAAS6J,EAAG,kBAAkBR,OAAQ,CAAC87E,IACvCtJ,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS6J,EAAG,mBAAmBR,OAAQ,CAAC67E,IACxCrJ,YAAY,EACZO,QAAQ,IAGZ,aAAc,CACZ,CAGEp8E,QAAS6J,EAAG,qCAAqCR,OAAQ,CACvD80E,IAEFtC,YAAY,EACZQ,OAAQ4I,GAEV,CAGEjlF,QAAS6J,EAAG,wCAAwCR,OAAQ,CAC1D3e,EACAs6F,IAEFnJ,YAAY,EACZQ,OAAQ4I,GAEV,CAGEjlF,QAAS6J,EAAG,4BAA4BR,OAAQ,CAAC3e,IACjDmxF,YAAY,GAEd,CAIE77E,QAAS6J,EAAG,oBAAoBR,OAAQ,CACtCm7E,EACAI,IAEF/I,YAAY,EACZQ,OAAQ4I,GAEV,CAIEjlF,QAAS6J,EAAG,yBAAyBR,OAAQ,CAAC80E,IAC9CtC,YAAY,EACZQ,OAAQ4I,GAEV,CAGEjlF,QAAS6J,EAAG,oBAAoBR,OAAQ,CAAC3e,IACzCmxF,YAAY,GAEd,CAIE77E,QAAS6J,EAAG,mCAAmCR,OAAQ,CACrDw7E,IAEFhJ,YAAY,EACZQ,OAAQ4I,GAEV,CAGEjlF,QAAS6J,EACP,2EACGR,OACH,CAAC27E,EAAgBN,EAAuBh6F,IAE1C2xF,OAAQ4I,IAGZnJ,QAAS0B,EAETtuF,OACE,kJACF6sF,SAAU,uDACVC,YAAa,2BAEfhC,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/C6qB,MAAO,CACL9sD,QAAS,OACTg7E,MAAO,cAGXhB,EAAMY,UAAU34C,aAAa,SAAU,cAAe,CACpD,kBAAmB,CACjBjiC,QAAS6J,EAAG,yBAAyBR,OAAQ,CAAC3e,IAC9CmxF,YAAY,EACZb,MAAO,iBAGXhB,EAAMY,UAAU34C,aAAa,SAAU,aAAc,CACnD1pB,UAAW,CAGTvY,QAAS6J,EACP,+DAA+DR,OAC/D,CAAC3e,IAEHmxF,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,kBAAmB,CAEjBh8E,QAAS6J,EACP,kFACGR,OACH,CAACs7E,IAEH9I,YAAY,EACZb,MAAO,aACPqB,OAAQ4I,GAEV,cAAe,CAIbjlF,QAAS6J,EACP,+DAA+DR,OAC/D,CAAC27E,EAAgB7G,IAEnB9B,OAAQ4I,EACRjK,MAAO,cAET,yBAA0B,CAExBh7E,QAAS6J,EAAG,8BAA8BR,OAAQ,CAAC27E,IACnDnJ,YAAY,EACZQ,OAAQ4I,EACRjK,MAAO,cAQT,iBAAkB,CAEhBh7E,QAAS6J,EAAG,yBAAyBR,OAAQ,CAAC3e,EAAMm5F,IACpDxH,OAAQ,CACNS,SAAUjzE,EAAG,SAASR,OAAQ,CAAC3e,IAC/Bm5F,QAAS,CACP7jF,QAASw8E,OAAOqH,GAChB7I,MAAO,aACPqB,OAAQ4I,KAId,YAAa,CAIXjlF,QAAS6J,EACP,kKACGR,OACH,CACEm7E,EACAI,EACAl6F,EACAs6F,EACAxH,EAASn0E,OACTs7E,EACA,kBAAkBt7E,SAGtBwyE,YAAY,EACZQ,OAAQ,CACN,mBAAoB,CAClBr8E,QAAS6J,EAAG,+BAA+BR,OAAQ,CACjDu7E,EACAD,IAEF9I,YAAY,EACZO,QAAQ,EACRC,OAAQrC,EAAMY,UAAUiF,QAE1B/D,QAAS0B,EACT,aAAc,CACZx9E,QAASw8E,OAAOwI,GAChB5I,QAAQ,EACRC,OAAQ4I,GAEVjJ,YAAa,UAGjB1yD,aAAc,CACZtpB,QAAS,gBACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CAENoD,UAAW,CACTz/E,QACE,iGACF67E,YAAY,EACZb,MAAO,eAKf,IAAIoK,EAA2BF,EAAgB,IAAM16E,EACjD66E,EAAkCx0F,EACpC,iEAAiEwY,OACjE,CAAC+7E,IAECE,EAAkBnB,EACpBtzF,EAAQ,+BAA+BwY,OAAQ,CAC7Cg8E,IAEF,GAEEE,EACF,wEACGl8E,OACDm8E,EAAO30F,EAAQ,0BAA0BwY,OAAQ,CACnD80E,EACAmH,IAEFtL,EAAMY,UAAU34C,aAAa,SAAU,aAAc,CACnDrxC,UAAW,CAGToP,QAAS6J,EACP,6EACGR,OACH,CAACk8E,EAAYC,IAEf3J,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN7xF,OAAQ,CACNwV,QAAS6J,EAAG,iBAAiBR,OAAQ,CAACk8E,IACtCvK,MAAO,WAET,sBAAuB,CACrBh7E,QAAS6J,EAAG,aAAaR,OAAQ,CAACi8E,IAClCjJ,OAAQrC,EAAMY,UAAUiF,QAE1B,aAAc,CACZ7/E,QAASw8E,OAAO2B,GAChB9B,OAAQ,CACNL,YAAa,OAGjBA,YAAa,WAInB,IAAIyJ,EAAe,aAAap8E,OAC5Bq8E,EAAsBvB,EACxBtzF,EAAQ,+BAA+BwY,OAAQ,CAC7Cg8E,IAEF,GAEEM,EAAiB90F,EAAQ,qCAAqCwY,OAAQ,CACxEq8E,EACAD,IAEEG,EAAsBzB,EACxBtzF,EACE,mEACGwY,OACH,CAAC+7E,IAEH,GAEES,EAAiBh1F,EAAQ,qCAAqCwY,OAAQ,CACxEu8E,EACAH,IAEF,SAASK,EAA0BvE,EAAewE,GAChD,MAAO,CACLxE,cAAe,CACbvhF,QAAS6J,EAAG,6BAA6BR,OAAQ,CAACk4E,IAClD1F,YAAY,EACZQ,OAAQ,CACN,gBAAiB,CACfr8E,QAAS6J,EAAG,sCAAsCR,OAAQ,CACxD08E,EACAN,IAEF5J,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjBA,YAAa,UACbwF,WAAY,CACVxhF,QAAS,UACTg7E,MAAO,kBACPqB,OAAQrC,EAAMY,UAAUiF,UAI9BnwC,OAAQ,UAEZ,CACAsqC,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/C,uBAAwB,CACtB,CACEjiC,QAAS6J,EACP,4DAA4DR,OAC5D,CAACs8E,IAEH9J,YAAY,EACZO,QAAQ,EACRC,OAAQyJ,EAA0BH,EAAgBD,IAEpD,CACE1lF,QAAS6J,EAAG,4CAA4CR,OAAQ,CAC9Dw8E,IAEFhK,YAAY,EACZO,QAAQ,EACRC,OAAQyJ,EAA0BD,EAAgBD,KAGtD9iF,KAAM,CACJ9C,QAASw8E,OAAOhyE,GAChB4xE,QAAQ,KAGZpC,EAAMY,UAAUoL,OAAShM,EAAMY,UAAUqL,GAAKjM,EAAMY,UAAUiF,MAC/D,CAxcA,CAwcE7F,EACL,CA7cA/uF,EAAOC,QAAU20F,EACjBA,EAAOnwF,YAAc,SACrBmwF,EAAO5D,QAAU,CAAC,SAAU,mCCH5B,IAAI0D,EAAkB3yF,EAAQ,MAI9B,SAASk5F,EAAOlM,GACdA,EAAMU,SAASiF,GAId,SAAW3F,GACV,IAAImM,EAAc,0DACf98E,OACC+8E,EACF,+DAA+D/8E,OAC/D,IACA,iEAAiEA,OAQnE,SAAS86E,EAAOnkF,EAASokF,GACvB,IAAK,IAAI34F,EAAI,EAAGA,EAAI24F,EAAW34F,IAC7BuU,EAAUA,EAAQnP,QAAQ,WAAW,WACnC,MAAO,MAAQmP,EAAU,GAC3B,IAEF,OAAOA,EACJnP,QAAQ,UAAW,aACnBA,QAAQ,SAAU,MAAQu1F,EAAa,KACvCv1F,QAAQ,aAAc,MAAQs1F,EAAc,IACjD,CACA,IAAIE,EAAQlC,EAAO,4CAA4C96E,OAAQ,GACnEi9E,EAASnC,EAAO,8CAA8C96E,OAAQ,GACtEk9E,EAAQpC,EAAO,4CAA4C96E,OAAQ,GACnEm9E,EAAQrC,EAAO,0CAA0C96E,OAAQ,GAWjEo9E,EACF,yFACGp9E,OACDq9E,EAAa,sBAAsBr9E,OAASo9E,EAAW,UAAUp9E,OACjEs9E,EACF,OAAOt9E,OACP,MACA,oBAAoBA,OACpBo9E,EACA,OAAOp9E,OACP,MACC,OAAOA,OACN,IAEA,eAAeA,OACfq9E,EACA,IACAvC,EAEE,MAAM96E,OACJo9E,EACA,OAAOp9E,OACP,MACC,OAAOA,OACN,IAEA,eAAeA,OACfq9E,EARJ,YAYE,YAAYr9E,OACd,GAEJ,KACA,YAAYA,OACZ,IACA,IAAIA,OACJq9E,EACA,IAQF1M,EAAMY,UAAUsL,OAASlM,EAAMY,UAAUzvF,OAAO,SAAU,CAAC,GAC3D,IAcI86F,EAAK,CACPjmF,QAAS,YACTg7E,MAAO,kBACPqB,OAjBmBrC,EAAMY,UAAU34C,aACnC,SACA,SACA,CACEzyC,KAAM,CACJwQ,QAASw8E,OAAOmK,GAChBvK,QAAQ,EACRC,OAAQrC,EAAMY,UAAUsL,SAG5B,CACErG,OAAQ7F,EAAMY,UAAUzvF,OAAO,SAAU,CAAC,MAQ9C6uF,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/C,gBAAiB,CACfjiC,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,WAET4L,MAAO,CACL5mF,QAASw8E,OACP,YAAYnzE,OACV,MACA,CAEEk9E,EACA,wBAAwBl9E,OAASk9E,EACjC,6CAA6Cl9E,OAC3Cg9E,EACA,MAAMh9E,OACNk9E,EACF,QAAQl9E,OACNk9E,EACA,cAAcl9E,OACdg9E,EACA,YAAYh9E,OACd,SAASA,OACPk9E,EACA,cAAcl9E,OACdg9E,EACA,MAAMh9E,OACNk9E,EACA,gBAAgBl9E,OAChBk9E,EACF,QAAQl9E,OACNg9E,EACA,MAAMh9E,OACNk9E,EACA,MACA,UAAUl9E,OACV,MACA,WAAWA,OACXg9E,EACA,KACA,MAAMh9E,OACNk9E,EACA,MACF73F,KAAK,KACP,KAEJmtF,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNP,QAAS,QACT+D,OAAQoG,IAGZxG,UAAW,CACTz/E,QACE,wKACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNP,QAAS,QACT+D,OAAQoG,IAGZp7F,MAAO,CACLmV,QAASw8E,OACP,YAAYnzE,OACV,kBAAkBA,OAClB,MACA,QAAQA,OACR,IACAg9E,EALF,OAQE,eAAeh9E,OACf,IACAg9E,EACA,IACAC,EACA,IACAE,EACAH,EACA,MAEJxK,YAAY,EACZO,QAAQ,EACRpB,MAAO,WACPqB,OAAQ,CACNP,QAAS,KACT+D,OAAQoG,IAGZ,oBAAqB,CACnBjmF,QAAS,iBACT67E,YAAY,EACZb,MAAO,cAGXhB,EAAMY,UAAUiM,MAAQ7M,EAAMY,UAAUsL,MACzC,CA7MA,CA6MElM,EACL,CAtNA/uF,EAAOC,QAAUg7F,EACjBA,EAAOx2F,YAAc,SACrBw2F,EAAOjK,QAAU,CAAC,gCCClB,SAAS6K,EAAI9M,IAWV,SAAWA,GAKV,SAASnvF,EAAMwe,GACb,OAAOmzE,OACL,UAAUnzE,OAAS,MAAQA,EAAS,IAAM,cAAcA,OACxD,IAEJ,CACA2wE,EAAMY,UAAUkM,IAAM,CACpBrH,UAAW,CACTz/E,QACE,6ZACF67E,YAAY,EACZb,MAAO,YAETn2E,OAAQ,CACN7E,QAASnV,EAAM,qBAAqBwe,QACpCwyE,YAAY,GAEdkL,KAAM,CACJ/mF,QAASnV,EAAM,SAASwe,QACxBwyE,YAAY,EACZb,MAAO,WAETxgF,MAAO,CACLwF,QAASnV,EAAM,oBAAoBwe,QACnCwyE,YAAY,EACZb,MAAO,UAETgM,KAAM,CACJhnF,QAASnV,EAAM,iCAAiCwe,QAChDwyE,YAAY,EACZb,MAAO,UAET1zD,KAAM,CACJtnB,QAASnV,EACP,kCAAkCwe,OAChC,IACA,cAAcA,OACd,IACA,0DAA0DA,QAE9DwyE,YAAY,EACZb,MAAO,MACPqB,OAAQ,CACN2D,UAAW,OAGflE,QAAS,CACP,CACE97E,QAASnV,EAAM,mBAAmBwe,QAClCwyE,YAAY,EACZb,MAAO,UAET,CACEh7E,QAASnV,EAAM,YAAYwe,QAC3BwyE,YAAY,EACZb,MAAO,SAGXgB,YAAa,IAEhB,CAjEA,CAiEEhC,EACL,CAhFA/uF,EAAOC,QAAU47F,EACjBA,EAAIp3F,YAAc,MAClBo3F,EAAI7K,QAAU,0BCCd,SAASgL,EAAUjN,IAChB,SAAWA,GACV,IACIkN,EADAx3C,EAAS,gDAEbsqC,EAAMY,UAAUP,IAAIzuF,SAAW,CAC7BoU,QAASg6E,EAAMY,UAAUP,IAAIzuF,SAASoU,QACtC67E,YAAY,EACZQ,OAAS6K,EAAiB,CACxB,iBACE,+DACF,eAAgB,UAChBC,MAAO,WACP36F,GAAI,UACJoE,UAAW,CACToP,QAASw8E,OAAO,oBAAsB9sC,EAAOrmC,OAAS,SACtD+yE,QAAQ,EACRC,OAAQ,CACNL,YAAa,UACb,mBAAoB,CAClBh8E,QAAS,aACT67E,YAAY,EACZb,MAAO,WAETziE,UAAW,CACTvY,QAAS,4CACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,QAGjB,YAAa,CACXh8E,QAAS,oCACT67E,YAAY,GAEd,aAAc,CACZnsC,EACA,CACE1vC,QAAS,4CACT67E,YAAY,IAGhBE,SAAU,cAGd,OAAQ,CACN,CACE/7E,QAAS,kDACT67E,YAAY,EACZQ,OAAQ,CACNntF,OAAQ,SACR6sF,SAAU,SAGd,CACE/7E,QAAS,gCACT67E,YAAY,IAGhBuL,WAAY,cAIZpL,YAAa,UAGjBhC,EAAMY,UAAUP,IAAY,OAAEgC,OAAO,8BAA8BA,OACjE6K,EACFlN,EAAMY,UAAU34C,aAAa,MAAO,WAAY,CAC9C26C,SAAU,CACR58E,QACE,8EACF67E,YAAY,KAGhB,IAAIwL,EAAO,CACTrnF,QAAS,+BACT67E,YAAY,GAEV3sF,EAAS,CACX8Q,QAAS,uCACT67E,YAAY,GAEd7B,EAAMY,UAAU34C,aAAa,MAAO,WAAY,CAC9C85C,SAAU,CACR/7E,QAAS,qBACT67E,YAAY,GAIdyL,QAAS,CACPtnF,QAAS,qBACTg7E,MAAO,SAET33E,MAAO,CACL,CACErD,QACE,o6CACF67E,YAAY,GAEd,CACE77E,QACE,4JACFq8E,OAAQ,CACNgL,KAAMA,EACNn4F,OAAQA,EACR4tF,SAAU,eACVd,YAAa,WAKnBjxE,OAAQ,kBACRs8E,KAAMA,EACNn4F,OAAQA,GAEX,CAlHA,CAkHE8qF,EACL,CAvHA/uF,EAAOC,QAAU+7F,EACjBA,EAAUv3F,YAAc,YACxBu3F,EAAUhL,QAAU,0BCCpB,SAAS5B,EAAIL,IACV,SAAWA,GACV,IAAItqC,EACF,8EACFsqC,EAAMY,UAAUP,IAAM,CACpBpwE,QAAS,mBACTs9E,OAAQ,CACNvnF,QAAS,iDACTq8E,OAAQ,CACNI,KAAM,WACN,6BAA8B,CAC5Bz8E,QACE,4FACF67E,YAAY,EACZb,MAAO,YAETc,QAAS,CACP97E,QAAS,yCACT67E,YAAY,KAIlB5uB,IAAK,CAEHjtD,QAASw8E,OACP,eACE9sC,EAAOrmC,OACP,IACA,8BAA8BA,OAC9B,OACF,KAEF+yE,QAAQ,EACRC,OAAQ,CACNS,SAAU,QACVd,YAAa,UACbtsC,OAAQ,CACN1vC,QAASw8E,OAAO,IAAM9sC,EAAOrmC,OAAS,KACtC2xE,MAAO,SAIbpvF,SAAU,CACRoU,QAASw8E,OACP,qDACE9sC,EAAOrmC,OACP,iBAEJwyE,YAAY,GAEdnsC,OAAQ,CACN1vC,QAAS0vC,EACT0sC,QAAQ,GAEVxuF,SAAU,CACRoS,QACE,oFACF67E,YAAY,GAEdmE,UAAW,gBACXlD,SAAU,CACR98E,QAAS,kCACT67E,YAAY,GAEdG,YAAa,aAEfhC,EAAMY,UAAUP,IAAY,OAAEgC,OAAOiD,KAAOtF,EAAMY,UAAUP,IAC5D,IAAID,EAASJ,EAAMY,UAAUR,OACzBA,IACFA,EAAOtlC,IAAI0yC,WAAW,QAAS,OAC/BpN,EAAOtlC,IAAI2yC,aAAa,QAAS,OAEpC,CAvEA,CAuEEzN,EACL,CA5EA/uF,EAAOC,QAAUmvF,EACjBA,EAAI3qF,YAAc,MAClB2qF,EAAI4B,QAAU,0BCCd,SAASyL,EAAI1N,GAEXA,EAAMY,UAAU8M,IAAM,CACpB78F,MAAO,iCACPmxF,YAAa,IAEjB,CATA/wF,EAAOC,QAAUw8F,EACjBA,EAAIh4F,YAAc,MAClBg4F,EAAIzL,QAAU,0BCCd,SAAS0L,EAAO3N,GACdA,EAAMY,UAAU+M,OAAS,CAEvB19E,QAAS,SACTylC,OAAQ,CACN1vC,QAAS,8CACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QAAS,8CACT67E,YAAY,EACZO,QAAQ,GAEVwL,aAAc,CACZ5nF,QACE,kFACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAETmD,WAAY,CACVn+E,QAAS,oBACTo8E,QAAQ,GAEVQ,SAAU,QAEVd,QACE,4YACFgB,SAAU,mBACVxtF,QAAS,2BACTJ,OAAQ,uDAER6sF,SAAU,8CACVC,YAAa,eAEjB,CAtCA/wF,EAAOC,QAAUy8F,EACjBA,EAAOj4F,YAAc,SACrBi4F,EAAO1L,QAAU,0BCCjB,SAASzqC,EAAEwoC,GACTA,EAAMY,UAAUppC,EAAIwoC,EAAMY,UAAUzvF,OAAO,QAAS,CAClD8e,QAAS,CACP,CAEEjK,QAAS,WACTo8E,QAAQ,GAEV,CACEp8E,QAASw8E,OACP,YAAYnzE,OACV,MACA,CAGE,0DAA0DA,OAC1D,SAASA,OACT,mBAAmBA,QACnB3a,KAAK,KACP,KAEJmtF,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN,CACE1vC,QAASw8E,OACP,CAEE,qCAAqCnzE,OACrC,6DAA6DA,OAG7D,+BAA+BA,OAE/B,qBAAqBA,OACrB,0CAA0CA,QAC1C3a,KAAK,KACP,KAEF0tF,QAAQ,GAEV,CACEp8E,QAAS,+BACTo8E,QAAQ,EACRpB,MAAO,iBAIXc,QACE,u0BACF5sF,OAAQ,CAGN,2EACA,CACE8Q,QACE,2FACF67E,YAAY,IAGhBE,SACE,sHAEJ/B,EAAMY,UAAU34C,aAAa,IAAK,SAAU,CAG1Cn/B,KAAM,6BAERk3E,EAAMY,UAAU34C,aAAa,IAAK,UAAW,CAC3Cr0C,SAAU,WAEZosF,EAAMY,UAAU34C,aAAa,IAAK,WAAY,CAC5Cy4C,SAAU,CAER16E,QACE,sNACFg7E,MAAO,aAGb,CApFA/vF,EAAOC,QAAUsmD,EACjBA,EAAE9hD,YAAc,IAChB8hD,EAAEyqC,QAAU,0BCCZ,SAAS4L,EAAK7N,IACX,SAAWA,GACV,IAAIwD,EAAW,CACb,2BACA,8WAEEsK,EAAgB,uDACjBz+E,OACC5c,EAAY,CACduT,QAASw8E,OAAOsL,EAAgB,gCAAgCz+E,QAChEwyE,YAAY,EACZQ,OAAQ,CACN9jE,UAAW,CACTvY,QAAS,2CACTq8E,OAAQ,CACNL,YAAa,SAKrBhC,EAAMY,UAAUiN,KAAO7N,EAAMY,UAAUzvF,OAAO,QAAS,CACrD,aAAc,CACZsB,EACA,CAGEuT,QAASw8E,OACPsL,EAAgB,+BAA+Bz+E,QAEjDwyE,YAAY,EACZQ,OAAQ5vF,EAAU4vF,SAGtBP,QAAS0B,EACTzB,SACE,mFAEJ/B,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAC7C,iBAAkB,CAChBjiC,QACE,mEACFo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QACE,6DACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,aACbwF,WAAY,CACVxhF,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUiN,QAI9Bn4C,OAAQ,YAGZA,YAAQphD,IAEV0rF,EAAMY,UAAU34C,aAAa,OAAQ,aAAc,CACjD2/C,SAAU,CACR5hF,QAAS,OACTg7E,MAAO,cAGXhB,EAAMY,UAAU34C,aAAa,OAAQ,aAAc,CACjD8lD,SAAU,CACR/nF,QACE,sEACFq8E,OAAQ,CACN,aAAc5vF,EACdqvF,QAAS0B,EACTxB,YAAa,YACbD,SAAU,WAIjB,CA7EA,CA6EE/B,EACL,CAlFA/uF,EAAOC,QAAU28F,EACjBA,EAAKn4F,YAAc,OACnBm4F,EAAK5L,QAAU,0BCCf,SAAS+L,EAAUhO,IAChB,SAAWA,GACVA,EAAMY,UAAUoN,UAAY,CAC1B/6B,IAAK,iDACLr/D,SAAU,CACRoS,QAAS,wDACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,qCACTo8E,QAAQ,GAEV,YACE,8DACF3vB,KAAM,CACJzsD,QAAS,eACTo8E,QAAQ,GAEVnyE,QAAS,CACP,CACEjK,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAGZiB,MAAO,CACLr9E,QAAS,iCACTo8E,QAAQ,GAEVN,QACE,0GACFgB,SAAU,wBACV5tF,OAAQ,qCACR8sF,YAAa,iBACbD,SAAU,wCACVzsF,QAAS,qBAEZ,CAzCA,CAyCE0qF,EACL,CA9CA/uF,EAAOC,QAAU88F,EACjBA,EAAUt4F,YAAc,YACxBs4F,EAAU/L,QAAU,0BCCpB,SAASgM,EAAIjO,GACXA,EAAMY,UAAUqN,IAAM,CACpBh+E,QAAS,CACPjK,QAAS,8CACT67E,YAAY,GAEd,aAAc,CACZ77E,QACE,yEACFg7E,MAAO,UAETkN,QAAS,CACPloF,QAAS,wBACTg7E,MAAO,YAETtrC,OAAQ,CACN1vC,QAAS,sBACTo8E,QAAQ,GAEVU,SACE,ywFACFhB,QACE,6EACFxsF,QAAS,CACP0Q,QAAS,2BACTg7E,MAAO,YAET9rF,OAAQ,4BACR6sF,SAAU,6DACVC,YAAa,iBAEjB,CAlCA/wF,EAAOC,QAAU+8F,EACjBA,EAAIv4F,YAAc,MAClBu4F,EAAIhM,QAAU,0BCCd,SAASkM,EAAMnO,GAGbA,EAAMY,UAAUuN,MAAQ,CAItBl+E,QACE,yEACFylC,OAAQ,CACN1vC,QAAS,4DACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,eACTq8E,OAAQ,CACNmF,WAAY,CACVxhF,QAAS,wBACT67E,YAAY,EACZb,MAAO,iBACPqB,OAAQ,MAEVL,YAAa,cAKrBxiF,MAAO,CACLwG,QAAS,UACTo8E,QAAQ,GAEVnvB,IAAK,CAEHjtD,QACE,yFACFo8E,QAAQ,GAEVd,IAAK,CAEHt7E,QAAS,yCACTo8E,QAAQ,EACRC,OAAQ,CACNS,SAAU,OACVf,SAAU,KACVa,SAAU,YAGdoK,KAAM,CAEJhnF,QAAS,4BACTq8E,OAAQ,CACNS,SAAU,SACVf,SAAU,IACV7sF,OAAQ,mBAIZ4sF,QACE,qFACFwC,QAAS,oBACThvF,QAAS,qBACTJ,OACE,gFACF6sF,SACE,qGACFC,YAAa,qBAEb,aAAc,gBAEhBhC,EAAMY,UAAUuN,MAAMz4C,OAAO2sC,OAAOkF,cAAclF,OAAOmF,WAAWnF,OAClErC,EAAMY,UAAUuN,KACpB,CA1EAl9F,EAAOC,QAAUi9F,EACjBA,EAAMz4F,YAAc,QACpBy4F,EAAMlM,QAAU,0BCChB,SAAS1vE,EAAKytE,IACX,SAAWA,GACVA,EAAMY,UAAUruE,KAAO,CACrB67E,MAAO,CAEL,4BACA,YACA,YAQJ,IAAIC,EAAW,CACb,eAAgB,IAChB,gBAAiB,IACjB,gBAAiB,IACjB,iBAAkB,IAClBC,UAAW,IACX/7E,KAAM,KAERljB,OAAOslC,KAAK05D,GAAUx5D,SAAQ,SAAUnkC,GACtC,IAAImb,EAASwiF,EAAS39F,GAClBswF,EAAQ,GACP,QAAQtqF,KAAKhG,IAEhBswF,EAAMtuF,KAAK,MAAML,KAAK3B,GAAM,IAEjB,SAATA,GACFswF,EAAMtuF,KAAK,QAEbstF,EAAMY,UAAUruE,KAAK7hB,GAAQ,CAC3BsV,QAASw8E,OACP,QAAU32E,EAAS,iCACnB,KAEFm1E,MAAOA,EACPqB,OAAQ,CACNnzE,KAAM,CACJlJ,QAAS,+BACT67E,YAAY,GAEdh2E,OAAQ,CACN7F,QAAS,SACTg7E,MAAO,MAAM3uF,KAAK3B,GAAM,KAIhC,IACArB,OAAOK,eAAeswF,EAAMY,UAAUruE,KAAM,WAAY,CACtD1hB,MAAOw9F,GAEV,CArDA,CAqDErO,EACL,CA1DA/uF,EAAOC,QAAUqhB,EACjBA,EAAK7c,YAAc,OACnB6c,EAAK0vE,QAAU,gCCHf,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAASw7F,EAAOxO,GACdA,EAAMU,SAAS6N,GAGd,SAAWvO,GACVA,EAAMY,UAAU4N,OAAS,CACvBv+E,QAAS,mBACT6qC,IAAK,CACH90C,QAAS,oBACT67E,YAAY,EACZb,MAAO,WAET+G,UAAW,CACT/hF,QAAS,4BACTg7E,MAAO,eAETtrC,OAAQ,CACN1vC,QAAS,kCACTo8E,QAAQ,GAEVqM,OAAQ,CACNzoF,QAAS,UACT67E,YAAY,EACZb,MAAO,YAETtqF,KAAM,CACJsP,QAAS,mCACT67E,YAAY,EACZb,MAAO,YAET8B,SAAU,wBACVhB,QACE,gFACFC,SAAU,oDACV7sF,OAAQ,oBACRI,QAAS,2BACTstF,SAAU,UACVZ,YAAa,iBAEf,IAAIh8E,EAAU,kDACV0oF,EAAmB1O,EAAMY,UAAU,qBACvCZ,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3CoN,EAAiBC,kBAAkBrN,EAAK,SAAUt7E,EACpD,IACAg6E,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CoN,EAAiBE,qBAAqBtN,EAAK,SAC7C,IACAtB,EAAMY,UAAUiO,OAAS7O,EAAMY,UAAU4N,OACzCxO,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3CoN,EAAiBC,kBAAkBrN,EAAK,SAAUt7E,EACpD,IACAg6E,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CoN,EAAiBE,qBAAqBtN,EAAK,SAC7C,GACD,CAlDA,CAkDEtB,EACL,CA1DA/uF,EAAOC,QAAUs9F,EACjBA,EAAO94F,YAAc,SACrB84F,EAAOvM,QAAU,CAAC,iCCClB,SAAS6M,EAAY9O,GACnBA,EAAMY,UAAU,iBAAmB,CACjC3wE,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEVQ,SAAU,CACR,CACE58E,QAAS,wBACT67E,YAAY,GAEd,CACE77E,QAAS,kBACT67E,YAAY,IAGhBC,QAAS,qCACTqL,MAAO,CAELnnF,QAAS,gCACT67E,YAAY,EACZb,MAAO,WAETruF,KAAM,CAEJqT,QACE,2XACF67E,YAAY,EACZb,MAAO,WAETgB,YAAa,QAEfhC,EAAMY,UAAU,YAAcZ,EAAMY,UAAU,gBAChD,CArCA3vF,EAAOC,QAAU49F,EACjBA,EAAYp5F,YAAc,cAC1Bo5F,EAAY7M,QAAU,0BCCtB,SAAS8M,EAAO/O,IACb,SAAWA,GAGV,IAAIgP,EACF,sDAAsD3/E,OACpDnZ,EAAQ,0CAA0CmZ,OAAOxY,QAC3D,YACA,WACE,OAAOm4F,CACT,IAEEt5C,EACF,0EACGrmC,OACDoC,EAAS,8CAA8CpC,OAAOxY,QAChE,UACA,WACE,OAAO6+C,CACT,IAEEu5C,EAAa,CACfjpF,QAASw8E,OAAO9sC,GAChB0sC,QAAQ,GAEN8M,EAAc,CAChBlpF,QAAS,gBACT67E,YAAY,EACZO,QAAQ,GAOV,SAASvyE,EAAGR,EAAQ80C,GAQlB,OAPA90C,EAASA,EACNxY,QAAQ,UAAU,WACjB,OAAO4a,CACT,IACC5a,QAAQ,SAAS,WAChB,OAAOX,CACT,IACKssF,OAAOnzE,EAAQ80C,EACxB,CACA67B,EAAMY,UAAUmO,OAAS,CACvBI,YAAa,CACXnpF,QACE,kNACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN5xF,QAAS,CACPuV,QAAS6J,EACP,gDAAgDR,OAChD,KAEFwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNzuF,SAAU,CACRoS,QAAS,iBACT67E,YAAY,GAEdnsC,OAAQ,CACNu5C,EACA,CACEjpF,QAAS,8BACT67E,YAAY,IAGhBE,SAAU,OACVC,YAAa,MAGjBF,QAAS,CACP,CAEE97E,QAAS6J,EACP,iEACGR,OACH,KAEFwyE,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS6J,EACP,iEACGR,OACH,KAEFwyE,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS6J,EAAG,oBAAoBR,OAAQ,KACxCwyE,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS,OACTo8E,QAAQ,IAGZnyE,QAASi/E,EACTx5C,OAAQu5C,EACRrM,SAAU,2BACVb,SAAU,SAGd9xE,QAASi/E,GAEXlP,EAAMY,UAAUwO,WAAapP,EAAMY,UAAUmO,MAC9C,CAnHA,CAmHE/O,EACL,CAxHA/uF,EAAOC,QAAU69F,EACjBA,EAAOr5F,YAAc,SACrBq5F,EAAO9M,QAAU,CAAC,qCCClB,SAASoN,EAAIrP,IAEV,SAAWA,GACV,IAAIsP,EACF,MACA,CAEE,uCAAuCjgF,OACvC,4BAA4BA,OAC5B,gCAAgCA,OAChC,+EACGA,QACH3a,KAAK,KACP,IACE66F,EAAW,CACbnP,OAAQ,CACNp6E,QAAS,oBACT67E,YAAY,EACZb,MAAO,CAAC,kBAAmB,gBAAiB,gBAC5CqB,OAAQrC,EAAMY,UAAUR,SAQ5B,SAASoP,EAAOngF,EAAQ80C,GACtB,OAAOq+B,OACLnzE,EAAOxY,QAAQ,SAAS,WACtB,OAAOy4F,CACT,IACAnrC,EAEJ,CACA67B,EAAMY,UAAUyO,IAAM,CACpBp/E,QAAS,CACPjK,QAAS,gCACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QAASwpF,EACP,+CAA+CngF,OAC/C,KAEFwyE,YAAY,EACZO,QAAQ,EACRpB,MAAO,aACPqB,OAAQkN,GAEV,aAAc,CACZvpF,QAASwpF,EAAO,oBAAoBngF,QACpCwyE,YAAY,EACZO,QAAQ,EACRC,OAAQkN,GAEV,YAAa,CACXvpF,QAASwpF,EAAO,qCAAqCngF,QACrDwyE,YAAY,EACZO,QAAQ,EACRC,OAAQkN,GAEVzN,QAAS,mDACT,gBAAiB,CACf97E,QAAS,uDACT67E,YAAY,EACZb,MAAO,WAETntF,KAAM,CACJmS,QAASwpF,EAAO,+BAA+BngF,QAC/CwyE,YAAY,EACZO,QAAQ,EACRC,OAAQkN,GAEVxN,SAAU,aACVC,YAAa,cAEfhC,EAAMY,UAAU6O,GAAKzP,EAAMY,UAAUyO,GACtC,CA5EA,CA4EErP,EACL,CAlFA/uF,EAAOC,QAAUm+F,EACjBA,EAAI35F,YAAc,MAClB25F,EAAIpN,QAAU,CAAC,6BCCf,SAASyN,EAAK1P,GACZA,EAAMY,UAAU8O,KAAO,CACrBz/E,QAAS,mBACTylC,OAAQ,CACN1vC,QAAS,0BACTo8E,QAAQ,GAEVuN,QAAS,CACP3pF,QAAS,gBACTo8E,QAAQ,EACRpB,MAAO,cAET70E,WAAY,CACVnG,QAAS,iDACT67E,YAAY,EACZb,MAAO,CAAC,OAAQ,YAElByB,KAAM,mCACNT,YAAa,6BACbD,SAAU,WAEd,CAxBA9wF,EAAOC,QAAUw+F,EACjBA,EAAKh6F,YAAc,OACnBg6F,EAAKzN,QAAU,0BCCf,SAAS2N,EAAa5P,GACpBA,EAAMY,UAAUgP,aAAe,CAE7B3/E,QAAS,SACT4/E,QAAS,CACP7pF,QAAS,mBACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACNgB,MAAO,oBAEPtB,SAAU,oBACVC,YAAa,cAGjB7xF,IAAK,CACH6V,QAAS,+BACT67E,YAAY,EACZb,MAAO,aAETnwF,MAAO,CACLmV,QAAS,MACTg7E,MAAO,aACPqB,OAAQ,CACNL,YAAa,OAIrB,CA/BA/wF,EAAOC,QAAU0+F,EACjBA,EAAal6F,YAAc,eAC3Bk6F,EAAa3N,QAAU,0BCCvB,SAAS6N,EAAO9P,GACdA,EAAMY,UAAUkP,OAAS,CACvB7/E,QAAS,OACTylC,OAAQ,CAEN,CACE1vC,QAAS,0BACTo8E,QAAQ,GAEV,CACEp8E,QAAS,0BACTo8E,QAAQ,GAEV,CACEp8E,QAAS,4CACTo8E,QAAQ,IAIZt5E,KAAM,sBACNg5E,QACE,+YACFxsF,QAAS,sBAET,aAAc,qBACdJ,OAAQ,CAEN,mCACA,oFAEF8sF,YAAa,+CACbD,SAAU,+CAEd,CApCA9wF,EAAOC,QAAU4+F,EACjBA,EAAOp6F,YAAc,SACrBo6F,EAAO7N,QAAU,gCCHjB,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAAS+8F,EAAI/P,GACXA,EAAMU,SAAS6N,GACd,SAAWvO,GACVA,EAAMY,UAAUmP,IAAM,CACpBhI,UAAW,CACT/hF,QAAS,qBACTg7E,MAAO,eAET/wE,QAAS,YACT,sBAAuB,CACrBjK,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUkF,aAG5B9F,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAE3CtB,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,MAHe,qBAMnB,IACAtB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,MACjE,IACAtB,EAAMY,UAAUoP,IAAMhQ,EAAMY,UAAUmP,GACvC,CAxBA,CAwBE/P,EACL,CA9BA/uF,EAAOC,QAAU6+F,EACjBA,EAAIr6F,YAAc,MAClBq6F,EAAI9N,QAAU,CAAC,8BCCf,SAASgO,EAAOjQ,GACdA,EAAMY,UAAUqP,OAAS,CACvBC,IAAK,CACHlqF,QACE,6FACFq8E,OAAQ,CACNzrF,UAAW,QACX8+C,OAAQ,gBAGZzlC,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAGViB,MAAO,CACLr9E,QACE,6LACFo8E,QAAQ,GAEV1sC,OAAQ,CACN,CAEE1vC,QACE,iNACFo8E,QAAQ,EACRC,OAAQ,CACN,GAGJ,CACEr8E,QAAS,sBACTo8E,QAAQ,EACRC,OAAQ,CACN,GAGJ,CAEEr8E,QAAS,gDACTo8E,QAAQ,EACRC,OAAQ,CACN,IAIN8N,KAAM,CAEJnqF,QAAS,eACT67E,YAAY,EACZb,MAAO,UAET/vF,OAAQ,CACN+U,QAAS,eACTg7E,MAAO,cAGT,YAAa,iBACbqF,SAAU,CAERrgF,QAAS,eACT67E,YAAY,EACZb,MAAO,YAETpqF,UAAW,CACToP,QAAS,OACTg7E,MAAO,YAET8B,SAAU,sDACV5tF,OAAQ,kEACR4sF,QACE,kNACFxsF,QAAS,yBACTysF,SAAU,CACR,2FACA,CAEE/7E,QAAS,eACT67E,YAAY,GAEd,CAEE77E,QAAS,eACT67E,YAAY,IAGhBG,YAAa,uBAEfhC,EAAMY,UAAUqP,OAAOv6C,OAAO7gB,SAAQ,SAAUwwD,GAC9CA,EAAEhD,OAAS,CACTkF,cAAe,CACbvhF,QAAS,aACTq8E,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,WACTg7E,MAAO,eAETsE,KAAMtF,EAAMY,UAAUqP,SAI9B,GACF,CAzGAh/F,EAAOC,QAAU++F,EACjBA,EAAOv6F,YAAc,SACrBu6F,EAAOhO,QAAU,0BCCjB,SAASmO,EAAIpQ,GACXA,EAAMY,UAAUwP,IAAM,CACpBngF,QAAS,sBACTnH,KAAM,CACJ9C,QACE,0EACFo8E,QAAQ,GAEV1sC,OAAQ,CACN,CAEE1vC,QAAS,iBACTo8E,QAAQ,GAEV,CACEp8E,QAAS,wBACTo8E,QAAQ,IAGZ,mBAAoB,CAIlBp8E,QACE,wGACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,+BAGbA,QACE,kFAEFwC,QACE,0PAEFpvF,OAAQ,mDAQR6sF,SAAU,0DAEVsO,UAAW,8BACXnN,SAAU,8BACVlB,YAAa,gBAEjB,CArDA/wF,EAAOC,QAAUk/F,EACjBA,EAAI16F,YAAc,MAClB06F,EAAInO,QAAU,gCCHd,IAAI6H,EAAgB92F,EAAQ,KACxBu7F,EAA4Bv7F,EAAQ,MAIxC,SAASs9F,EAAItQ,GACXA,EAAMU,SAASoJ,GACf9J,EAAMU,SAAS6N,GACd,SAAWvO,GACVA,EAAMY,UAAU0P,IAAM,CACpBvI,UAAW,CACT/hF,QAAS,wBACT67E,YAAY,EACZb,MAAO,eAETgJ,KAAM,CACJhkF,QAAS,eACTg7E,MAAO,gBACPqB,OAAQrC,EAAMY,UAAUoJ,OAG5BhK,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAG3CtB,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,MAHA,4FAMJ,IACAtB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,MACjE,GACD,CAzBA,CAyBEtB,EACL,CAhCA/uF,EAAOC,QAAUo/F,EACjBA,EAAI56F,YAAc,MAClB46F,EAAIrO,QAAU,0BCAd,SAASsO,EAAOvQ,GACdA,EAAMY,UAAU2P,OAAS,CACvBtgF,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEV,kBAAmB,CACjBp8E,QAAS,8BACTg7E,MAAO,YAET,cAAe,CACbh7E,QAAS,wBACTg7E,MAAO,QAET1rF,QAAS,qBACTwsF,QAAS,0DACT5sF,OAAQ,CACN,SACA,mBACA,8CAEF4tF,SAAU,sBACVF,SAAU,CAER58E,QAAS,gCACT67E,YAAY,GAEdE,SAAU,CACR,+GACA,CAEE/7E,QAAS,iBACT67E,YAAY,GAEd,CAEE77E,QAAS,iBACT67E,YAAY,IAGhBsO,KAAM,gBACNnO,YAAa,wBAEjB,CA/CA/wF,EAAOC,QAAUq/F,EACjBA,EAAO76F,YAAc,SACrB66F,EAAOtO,QAAU,gCCHjB,IAAIuO,EAAex9F,EAAQ,MACvBu7F,EAA4Bv7F,EAAQ,MAIxC,SAASy9F,EAAMzQ,GACbA,EAAMU,SAAS8P,GACfxQ,EAAMU,SAAS6N,GACd,SAAWvO,GACVA,EAAMY,UAAU6P,MAAQ,CACtB1I,UAAW,CACT/hF,QAAS,iBACTg7E,MAAO,eAET,eAAgB,CACdh7E,QAAS,UACTq8E,OAAQrC,EAAMY,UAAU8P,MAG5B1Q,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAE3CtB,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,QAHY,gBAMhB,IACAtB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,QACjE,GACD,CAtBA,CAsBEtB,EACL,CA7BA/uF,EAAOC,QAAUu/F,EACjBA,EAAM/6F,YAAc,QACpB+6F,EAAMxO,QAAU,0BCAhB,SAAS0O,EAAa3Q,GACpBA,EAAMY,UAAU,iBAAmB,CACjC3wE,QAAS,CACPjK,QAAS,qCACT67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,sBACTo8E,QAAQ,GAEVpwE,UAAW,CAQThM,QACE,uEACFo8E,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACNN,SAAU,KACVC,YAAa,IACb4O,MAAO,CACL5qF,QAAS,WACTg7E,MAAO,YAET6P,KAAM,CACJ7qF,QAAS,eACTq8E,OAAQ,CACNL,YAAa,UAGjB8O,KAAM,YAGV,gBAAiB,CACf9qF,QAAS,oBACTg7E,MAAO,WAETluB,MAAO,CACL9sD,QACE,uEACFg7E,MAAO,WACPqB,OAAQ,CACNN,SAAU,IACVgP,KAAM,mBACNliF,OAAQ,aACRmiF,IAAK,WAGTD,KAAM,CAGJ/qF,QAAS,uDACTg7E,MAAO,YAET9rF,OAAQ,+CACRI,QAAS,sBACTysF,SAAU,yBACVC,YAAa,eAEfhC,EAAMY,UAAgB,KAAIZ,EAAMY,UAAe,IAC7CZ,EAAMY,UAAU,gBACpB,CAtEA3vF,EAAOC,QAAUy/F,EACjBA,EAAaj7F,YAAc,eAC3Bi7F,EAAa1O,QAAU,0BCCvB,SAASgP,EAAOjR,IACb,SAAWA,GACV,IAAIkR,EAAiB,CACnBpO,SACE,iFAEAqO,EAAgB,CAClBj8F,OAAQ,gBAEN+7F,EAAS,CACXhhF,QAAS,CACP,CAEEjK,QAAS,oBACT67E,YAAY,EACZQ,OAAQ6O,GAGV,CAEElrF,QAAS,mCACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ6O,GAEV,CAEElrF,QAAS,8CACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ6O,IAGZh8F,OAAQ,CACN,CAEE8Q,QAAS,yBACT67E,YAAY,GAEd,CAEE77E,QAAS,yDACT67E,YAAY,GAEd,CAEE77E,QAAS,iCACT67E,YAAY,GAEd,CAEE77E,QAAS,iCACT67E,YAAY,GAEd,CAEE77E,QAAS,8BACT67E,YAAY,GAEd,CAGE77E,QACE,8DACF67E,YAAY,GAEd,CAEE77E,QAAS,mCACT67E,YAAY,GAEd,CAOE77E,QACE,yEACF67E,YAAY,IAIhBuP,OAAQ,CACNprF,QACE,sEACF67E,YAAY,EACZb,MAAO,SACPqB,OAAQ,CACNO,SAAU,OACVd,QAAS,oBACTC,SAAU,CACR/7E,QAAS,6BACT67E,YAAY,KAIlBvsF,QAAS,CACP0Q,QAAS,qBACT67E,YAAY,GAGd,gBAAiB,CACf77E,QAAS,wCACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACNntF,OAAQ,gBAGZ,mBAAoB,CAClB,CAEE8Q,QAAS,0DACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACNntF,OAAQi8F,EAAcj8F,OAEtB,wBAAyB,CACvB8Q,QAAS,0BACT67E,YAAY,EACZb,MAAO,cAIb,CAEEh7E,QAAS,6DACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,SACPqB,OAAQ8O,GAEV,CAEEnrF,QAAS,6CACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,SACPqB,OAAQ8O,IAGZ,gBAAiB,CACfnrF,QAAS,yCACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CAGN3sC,OAAQ,CACN1vC,QAAS,cACT67E,YAAY,KAkBlB,yBAA0B,CACxB,CAEE77E,QAAS,uCACT67E,YAAY,EACZb,MAAO,YAET,CAEEh7E,QAAS,eACT67E,YAAY,EACZb,MAAO,YAET,CAEEh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,aAGXqQ,YAAa,CACXrrF,QAAS,KACT67E,YAAY,EACZb,MAAO,WAET,iBAAkB,CAChBh7E,QAAS,KACT67E,YAAY,EACZb,MAAO,YAET,oBAAqB,CACnBh7E,QAAS,KACT67E,YAAY,EACZb,MAAO,YAET,eAAgB,CACdh7E,QAAS,KACT67E,YAAY,EACZb,MAAO,YAET,mBAAoB,CAElBh7E,QAAS,iCACT67E,YAAY,EACZb,MAAO,WAET,uBAAwB,CACtBh7E,QAAS,KACT67E,YAAY,EACZb,MAAO,YA0BT,4BAA6B,CAC3Bh7E,QACE,0MACF67E,YAAY,EACZb,MAAO,WAET,eAAgB,CACdh7E,QAAS,6DACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAET,wBAAyB,CACvBh7E,QAAS,uBACT67E,YAAY,EACZb,MAAO,YAGT,gCAAiC,CAC/B,CAEEh7E,QAAS,wBACT67E,YAAY,EACZb,MAAO,YAET,CAEEh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,aAIX,sBAAuB,CACrB,CAEEh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,YAET,CAEEh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,aAGX,cAAe,CACbh7E,QAAS,0BACT67E,YAAY,GAiBdnsC,OAAQ,CACN1vC,QAAS,qBACTo8E,QAAQ,EACRC,OAAQ8O,IAGRnT,EAAS,SAAUtvE,GACrB,OAAQA,EAAM,IAAI7X,QAAQ,4BAA6B,OACzD,EACIy6F,EAAmB,SAAUxhG,GAC/B,OAAO,IAAI0yF,OAAO,aAAe1yF,EAAIsuF,IAAIJ,GAAQtpF,KAAK,KAAO,aAC/D,EACI68F,EAAW,CACb,iBAAkB,CAChB,KACA,QACA,QACA,OACA,UACA,MACA,WACA,kBACA,MACA,OACA,YACA,aACA,OACA,WACA,OACA,OACA,QACA,WACA,OACA,QACA,OACA,MACA,IACA,IACA,QACA,QACA,QACA,OACA,kBACA,MACA,SACA,MACA,QACA,OACA,QACA,SACA,OACA,UACA,UACA,OACA,UACA,MACA,gBACA,WACA,QACA,QACA,QACA,OACA,OACA,OACA,OACA,MACA,OACA,OACA,QACA,UACA,YACA,OACA,QACA,OACA,SACA,gBACA,SACA,QACA,YACA,WACA,oBACA,kBACA,OACA,YACA,MACA,QACA,MACA,QACA,MACA,iBACA,WAEF,uBAAwB,CAEtB,UACA,YACA,YACA,KACA,SACA,MACA,IACA,WACA,KACA,SACA,QACA,SACA,YACA,aACA,WACA,SACA,UACA,gBACA,oBACA,YACA,UACA,mBACA,KACA,WACA,IACA,KACA,MAEF,oBAAqB,CACnB,aACA,WACA,SACA,mBACA,SACA,aACA,aACA,YACA,mBACA,kBACA,WACA,aACA,OACA,WACA,UACA,aACA,YACA,WACA,OACA,OACA,MACA,WACA,UACA,SACA,UACA,aACA,aACA,SACA,QACA,UACA,oBACA,aACA,cACA,OACA,SACA,gBACA,oBACA,gCACA,iCACA,YACA,UACA,WACA,SACA,aACA,aACA,UACA,SACA,OACA,eACA,eACA,QACA,OACA,SACA,YACA,UACA,QACA,WACA,cACA,WACA,QACA,YACA,aACA,aACA,qBACA,SACA,SACA,WACA,eACA,UACA,aACA,OACA,UACA,QACA,SACA,SACA,OACA,aACA,WACA,YACA,MACA,aACA,UACA,aACA,SACA,cACA,YACA,OACA,YACA,SACA,YACA,iBACA,UACA,eACA,UACA,gBACA,eACA,YACA,mBACA,UACA,SACA,WACA,OACA,aACA,oBACA,YACA,UACA,UACA,YACA,WACA,WACA,aACA,OACA,cACA,iBACA,QACA,UACA,YACA,gBACA,cACA,oBACA,MACA,UACA,OACA,SACA,OACA,sBACA,aACA,aACA,mBACA,UACA,cACA,SACA,aACA,WACA,kBACA,OACA,OACA,QACA,QACA,QACA,YACA,SACA,eACA,eACA,WACA,WACA,MACA,aACA,YACA,WACA,QACA,oBACA,eACA,OACA,cACA,QACA,UACA,UACA,OACA,cACA,SACA,OACA,gBACA,YACA,eAEF,eAAgB,CACd,UACA,kBACA,MACA,cACA,iBACA,aACA,UACA,eACA,UACA,WACA,cACA,MACA,IACA,IACA,cACA,IACA,MACA,IACA,KACA,SACA,cACA,wBACA,OACA,IACA,OACA,IACA,WACA,SACA,cACA,KACA,QACA,aACA,SACA,QACA,SACA,QACA,QACA,QACA,UACA,SACA,UACA,WACA,KACA,iBACA,KACA,MACA,UACA,aACA,KACA,KACA,MACA,QACA,WACA,SACA,KACA,UACA,UACA,MACA,SACA,KACA,SACA,QACA,OACA,WACA,aACA,UACA,WACA,OACA,YACA,cACA,QACA,MACA,iBACA,YACA,yBACA,aACA,QACA,aACA,QACA,UACA,MACA,SACA,wBACA,MACA,OACA,KACA,OACA,WACA,QACA,YACA,cAGJliG,OAAOslC,KAAK48D,GAAU18D,SAAQ,SAAU8lB,GACtCs2C,EAAOt2C,GAAG30C,QAAUsrF,EAAiBC,EAAS52C,GAChD,IAyNAs2C,EAAOI,YAAYrrF,QAAUsrF,EAxNX,CAEhB,MACA,QACA,OACA,MACA,OACA,QACA,QACA,OACA,QACA,UACA,MACA,MACA,OACA,QACA,QACA,UACA,SACA,OACA,OACA,QACA,QACA,MACA,SACA,KACA,UACA,YACA,MACA,OACA,YACA,QACA,OACA,QACA,OACA,QACA,UACA,KACA,QACA,OACA,SACA,aACA,aACA,WACA,QACA,UACA,OACA,KACA,OACA,SACA,QACA,OACA,SACA,MACA,OACA,SACA,MACA,WACA,YACA,iBACA,kBACA,SACA,YACA,QACA,YACA,YACA,WACA,cACA,UACA,YACA,gBACA,mBACA,SACA,MACA,UACA,cACA,aACA,SACA,cACA,OACA,eACA,YACA,UACA,eACA,eACA,aACA,kBACA,QACA,aACA,SACA,eACA,YACA,eACA,WACA,SACA,WACA,aACA,OACA,YACA,gBACA,eACA,OACA,UACA,UACA,YACA,gBACA,iBACA,kBACA,gBACA,UACA,OACA,YACA,cACA,UACA,cACA,aACA,OACA,aACA,iBACA,aACA,OACA,eACA,cACA,WACA,gBACA,YACA,UACA,QACA,aACA,QACA,OACA,aACA,UACA,aACA,aACA,QACA,OACA,YACA,iBACA,YACA,UACA,eACA,cACA,iBACA,YACA,oBACA,kBACA,QACA,iBACA,eACA,gBACA,kBACA,cACA,gBACA,gBACA,kBACA,cACA,OACA,UACA,YACA,YACA,UACA,eACA,iBACA,aACA,OACA,SACA,cACA,qBACA,SACA,mBACA,MACA,MACA,MACA,MACA,MACA,MACA,MACA,MACA,MACA,MACA,gBACA,cACA,iBACA,cACA,eACA,aACA,cACA,WACA,iBACA,oBACA,cACA,qBACA,WACA,eACA,mBACA,oBACA,iBACA,UACA,iBACA,iBACA,cACA,SACA,gBACA,eACA,eACA,aACA,aACA,UACA,oBACA,eACA,YACA,cACA,wBACA,oBAGFtR,EAAMY,UAAUqQ,OAASA,CAC1B,CAr5BA,CAq5BEjR,EACL,CA15BA/uF,EAAOC,QAAU+/F,EACjBA,EAAOv7F,YAAc,SACrBu7F,EAAOhP,QAAU,0BCCjB,SAASuP,EAAOxR,IACb,SAAWA,GAMVA,EAAMY,UAAiB,MAAI,CACzB3wE,QAAS,CACPjK,QAAS,aAEX0vC,OAAQ,CACN1vC,QAAS,UACTo8E,QAAQ,GAEV,iBAAkB,CAChBp8E,QAAS,mBACTg7E,MAAO,UAET,iBAAkB,CAChBh7E,QAAS,OACTg7E,MAAO,aAET9rF,OAAQ,MACR6sF,SAAU,sCACVC,YAAa,QACbY,SAAU,QACV,eAAgB,CACd58E,QAAS,eACTg7E,MAAO,QAGZ,CA/BA,CA+BEhB,EACL,CApCA/uF,EAAOC,QAAUsgG,EACjBA,EAAO97F,YAAc,SACrB87F,EAAOvP,QAAU,0BCCjB,SAASwP,EAAuBzR,GAC9BA,EAAMY,UAAU,4BAA8BZ,EAAMY,UAAUzvF,OAC5D,QACA,CACE8e,QAAS,SACT6xE,QACE,oEACFC,SAAU,kDAGP/B,EAAMY,UAAU,4BAA4B,cACnDZ,EAAMY,UAAU34C,aAAa,2BAA4B,UAAW,CAClE6oD,KAAM,CACJ9qF,QACE,gGACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNO,SAAU,CACR58E,QAAS,yDACTq8E,OAAQ,CACNN,SAAU,IACVD,QAAS,OACTE,YAAa,aAGjBA,YAAa,OAGjB7hF,OAAQ,CAEN6F,QAAS,kDACT67E,YAAY,EACZb,MAAO,UACPqB,OAAQ,CACNL,YAAa,OAIrB,CA1CA/wF,EAAOC,QAAUugG,EACjBA,EAAuB/7F,YAAc,yBACrC+7F,EAAuBxP,QAAU,0BCCjC,SAASyP,EAAK1R,IACX,SAAWA,GACVA,EAAMY,UAAU8Q,KAAO1R,EAAMY,UAAUzvF,OAAO,aAAc,CAAC,GAC7D6uF,EAAMY,UAAU34C,aAAa,OAAQ,UAAW,CAC9Ct1C,KAAM,CACJ,CACEqT,QACE,sEACFg7E,MAAO,UAIbhB,EAAMY,UAAU8Q,KAAK,qBAAqB1rF,QACxC,8KACKg6E,EAAMY,UAAU8Q,KAAgB,UACvC1R,EAAMY,UAAU34C,aAAa,OAAQ,WAAY,CAC/C,mBAAoB,CAClBjiC,QAAS,YACTg7E,MAAO,iBAGNjxF,MAAMF,QAAQmwF,EAAMY,UAAU8Q,KAAK5P,WACtC9B,EAAMY,UAAU8Q,KAAK5P,QAAU,CAAC9B,EAAMY,UAAU8Q,KAAK5P,UAEvD9B,EAAMY,UAAU8Q,KAAK5P,QAAQ7tF,QAC3B,CACE+R,QAAS,kDACT67E,YAAY,GAEd,CACE77E,QACE,wGACF67E,YAAY,GAGjB,CAlCA,CAkCE7B,EACL,CAvCA/uF,EAAOC,QAAUwgG,EACjBA,EAAKh8F,YAAc,OACnBg8F,EAAKzP,QAAU,0BCCf,SAAS0P,EAAQ3R,GACfA,EAAMY,UAAU+Q,QAAU,CACxB,gBAAiB,CACf3rF,QAAS,0BACTg7E,MAAO,UAETtrC,OAAQ,CACN1vC,QACE,gGACFq8E,OAAQ,CACNpyE,QAAS,CACPjK,QAAS,wBACT67E,YAAY,KAIlB5xE,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV9sF,QAAS,+BACTJ,OAAQ,yDACR4sF,QAAS,CAEP,oEACA,gIACA,4VACA,+JAEFC,SAAU,CACR,qDACA,CAEE/7E,QAAS,sBACT67E,YAAY,IAGhBG,YAAa,qBAEjB,CA1CA/wF,EAAOC,QAAUygG,EACjBA,EAAQj8F,YAAc,UACtBi8F,EAAQ1P,QAAU,0BCClB,SAAS2P,EAAO5R,GACdA,EAAMY,UAAUgR,OAAS5R,EAAMY,UAAUzvF,OAAO,QAAS,CACvD8e,QAAS,CACP,CACEjK,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN1vC,QAAS,8DACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QACE,yHACF67E,YAAY,EACZQ,OAAQ,CACNN,SAAU,QACVC,YAAa,OAGjBF,QACE,ooBACF5sF,OAAQ,CACN,iCACA,uBACA,oDACA,kCAEF6sF,SACE,6GAEJ/B,EAAMY,UAAU34C,aAAa,SAAU,UAAW,CAChD3Y,aAAc,CACZtpB,QAAS,gBACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACNoD,UAAW,CACTz/E,QAAS,8CACT67E,YAAY,EACZb,MAAO,eAKfhB,EAAMY,UAAU34C,aAAa,SAAU,cAAe,CACpD,yBAA0B,CACxBjiC,QAAS,wBACTg7E,MAAO,aAGXhB,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/Cg7C,WAAY,CACVj9E,QAAS,YACTo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,YACb,aAAc,CACZh8E,QAAS,+BACT67E,YAAY,GAEd,qBAAsB,CACpB77E,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUgR,UAI9B9oF,KAAM,CACJ9C,QACE,4EACFo8E,QAAQ,IAGd,CAnFAnxF,EAAOC,QAAU0gG,EACjBA,EAAOl8F,YAAc,SACrBk8F,EAAO3P,QAAU,+BCHjB,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAAS6+F,EAAI7R,GACXA,EAAMU,SAAS6N,GACd,SAAWvO,GAMV,IAHA,IAAI8R,EACF,iGACGziF,OACI5d,EAAI,EAAGA,EAAI,EAAGA,IACrBqgG,EAAWA,EAASj7F,QAAQ,WAAW,WACrC,OAAOi7F,CACT,IAEFA,EAAWA,EAASj7F,QAAQ,UAAW,UAAUwY,QACjD,IAAIwiF,EAAM,CACR5hF,QAAS,kBACTylC,OAAQ,CACN,CAEE1vC,QAAS,iCACTo8E,QAAQ,GAEV,CACEp8E,QAASw8E,OACP,+DAA+DnzE,OAAOxY,QACpE,WACA,WACE,OAAOi7F,CACT,KAGJ1P,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAASw8E,OACP,oDAAoDnzE,OAAOxY,QACzD,WACA,WACE,OAAOi7F,CACT,KAGJjQ,YAAY,EACZQ,OAAQ,CACN,4BAA6B,CAC3Br8E,QAAS,YACTg7E,MAAO,eAETsE,KAAM,UAMhBxD,QAAS,aACTxsF,QAAS,qBACT,mBAAoB,CAClB0Q,QAAS,uBACT67E,YAAY,EACZb,MAAO,YAET8B,SAAU,iBACV5tF,OAAQ,oBACR6sF,SACE,4EACFC,YAAa,iBAEf6P,EAAIn8C,OAAO,GAAG2sC,OAAOkF,cAAclF,OAAOiD,KAAOuM,EACjD7R,EAAMY,UAAUiR,IAAM,CACpB,cAAe,CAEb7rF,QAAS,eACTg7E,MAAO,WAET,gBAAiB,CACfh7E,QAAS,cACTq8E,OAAQ,CACNoD,UAAW,CACTz/E,QAAS,uBACT67E,YAAY,EACZb,MAAO,WAETgB,YAAa,cACb5tF,QAAS,CACP4R,QAAS,eACTg7E,MAAO,MACPqB,OAAQwP,KAId,oBAAqB,CACnB7rF,QAAS,kBACTq8E,OAAQ,CACNL,YAAa,YACb5tF,QAAS,CACP4R,QAAS,eACTg7E,MAAO,MACPqB,OAAQwP,MAKhB7R,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAE3C,IAAIt7E,EAAUw8E,OACZ,mEAAmEnzE,OAAOxY,QACxE,WACA,WACE,OAAOi7F,CACT,IAEF,MAEF9R,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,MACAt7E,EAEJ,IACAg6E,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,MACjE,GACD,CAxHA,CAwHEtB,EACL,CA9HA/uF,EAAOC,QAAU2gG,EACjBA,EAAIn8F,YAAc,MAClBm8F,EAAI5P,QAAU,0BCCd,SAAS8P,EAAI/R,GAGXA,EAAMY,UAAUmR,IAAM,CACpB/K,MAAO,CACLhhF,QAAS,sCACTo8E,QAAQ,EACRC,OAAQ,CACN0P,IAAK,CACH/rF,QAAS,0CACT67E,YAAY,EACZQ,OAAQ,MAEVL,YAAa,UAGjB/xE,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QACE,sFACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN2P,aAAc,CACZhsF,QAAS,YACT67E,YAAY,EACZb,MAAO,iBAIbc,QACE,wMACFxsF,QAAS,qBACTwtF,SAAU,wBACV5tF,OAAQ,CACN8Q,QACE,uFACF67E,YAAY,GAEdmQ,aAAc,CACZhsF,QAAS,YACT67E,YAAY,EACZb,MAAO,eAETe,SAAU,kCACVC,YAAa,iBAEfhC,EAAMY,UAAUmR,IAAI/K,MAAM3E,OAAO0P,IAAI1P,OAASrC,EAAMY,UAAUmR,GAChE,CAtDA9gG,EAAOC,QAAU6gG,EACjBA,EAAIr8F,YAAc,MAClBq8F,EAAI9P,QAAU,0BCCd,SAASgQ,EAAMjS,GACbA,EAAMY,UAAUqR,MAAQ,CACtBhiF,QAAS,kBACTylC,OAAQ,CACN1vC,QAAS,iBACTo8E,QAAQ,GAEVN,QAAS,wBACTluF,SAAU,UACVs+F,SAAU,CACRlsF,QAAS,UACT67E,YAAY,EACZb,MAAO,UAGTgB,YAAa,OAEjB,CApBA/wF,EAAOC,QAAU+gG,EACjBA,EAAMv8F,YAAc,QACpBu8F,EAAMhQ,QAAU,0BCChB,SAASkQ,EAASnS,GAChBA,EAAMY,UAAUuR,SAAW,CACzBliF,QAAS,MACTylC,OAAQ,CACN1vC,QACE,6EACFo8E,QAAQ,GAEV,aAAc,CAMZp8E,QACE,6IACF67E,YAAY,GAEdC,QACE,4QACFgB,SAAU,2BACVF,SAAU,QACV1tF,OAAQ,CACN,4FACA,0BAEFguF,SAAU,qBACV5tF,QAAS,qBACTysF,SAAU,2CACVC,YAAa,gBAEjB,CAlCA/wF,EAAOC,QAAUihG,EACjBA,EAASz8F,YAAc,WACvBy8F,EAASlQ,QAAU,0BCCnB,SAASmQ,EAAOpS,GACdA,EAAMY,UAAUwR,OAAS,CACvB,aAAc,CAEZpsF,QACE,mFACF67E,YAAY,EACZQ,OAAQ,CACN8F,QAAS,CACPniF,QAAS,yDACTg7E,MAAO,cAIblmC,IAAK,CAEH90C,QACE,gFACF67E,YAAY,EACZb,MAAO,UAETmI,MAAO,CACLnjF,QAAS,gBACT67E,YAAY,EACZb,MAAO,UAETmH,QAAS,CACPniF,QAAS,uDACTg7E,MAAO,YAGb,CAlCA/vF,EAAOC,QAAUkhG,EACjBA,EAAO18F,YAAc,SACrB08F,EAAOnQ,QAAU,0BCCjB,SAASoQ,EAAQrS,IACd,SAAWA,GACV,IAAIsS,EAAW,uCAAuCjjF,OACtD2wE,EAAMY,UAAUyR,QAAU,CACxBE,SAAU,CACRvsF,QAAS,sBACTg7E,MAAO,UAET/wE,QAAS,CACPjK,QAAS,gBACT67E,YAAY,GAEd/mC,IAAK,CACH90C,QAAS,iBACT67E,YAAY,GAEd2Q,QAAS,CACPxsF,QACE,6vEACF67E,YAAY,EACZQ,OAAQ,CACN2D,UAAW,CACThgF,QAAS,cACT67E,YAAY,GAEdC,QAAS,eAGb2Q,SAAU,CACRzsF,QACE,89NACF67E,YAAY,EACZQ,OAAQ,CACN2D,UAAW,CACThgF,QAAS,cACT67E,YAAY,GAEdC,QAAS,eAGb,aAAc,CAEZ97E,QAASw8E,OAAO,IAAM8P,EAAW,OAASA,EAAW,MACrDzQ,YAAY,EACZQ,OAAQ,CACNqQ,QAAS,CACP1sF,QAAS,UACTg7E,MAAO,YAETv0B,GAAI,CACFzmD,QAAS,iBACTg7E,MAAO,UAETgB,YAAa,OAGjB,aAAc,CACZh8E,QAASw8E,OAAO8P,GAChBjQ,OAAQ,CACNre,GAAI,CACFh+D,QAAS,iBACTg7E,MAAO,YAETgB,YAAa,OAGjBuL,OAAQ,CACNvnF,QACE,mtKACF67E,YAAY,GAEdnsC,OAAQ,CACN1vC,QAAS,8CACTq8E,OAAQ,CACNqQ,QAAS,CACP1sF,QAAS,UACTg7E,MAAO,cAIb0R,QAAS,CACP1sF,QAAS,UACTg7E,MAAO,YAGZ,CApFA,CAoFEhB,EACL,CAzFA/uF,EAAOC,QAAUmhG,EACjBA,EAAQ38F,YAAc,UACtB28F,EAAQpQ,QAAU,0BCClB,SAAS0Q,EAAI3S,GACXA,EAAMY,UAAU+R,IAAM,CAUpB1iF,QAAS,QAIT2iF,QAAS,gBACT3J,SAAU,SAIVvzC,OAAQ,kCAMRstC,QAAS,CACPh9E,QAAS,iBACTq8E,OAAQ,CAON8E,UAAW,aAgBfiH,MAAO,YAWP,cAAe,mBAEnB,CAnEAn9F,EAAOC,QAAUyhG,EACjBA,EAAIj9F,YAAc,MAClBi9F,EAAI1Q,QAAU,gCCHd,IAAI4F,EAAa70F,EAAQ,MAIzB,SAAS6/F,EAAK7S,GACZA,EAAMU,SAASmH,GACf7H,EAAMY,UAAUiS,KAAO7S,EAAMY,UAAUzvF,OAAO,IAAK,CACjD2wF,QACE,+gCAEN,CATA7wF,EAAOC,QAAU2hG,EACjBA,EAAKn9F,YAAc,OACnBm9F,EAAK5Q,QAAU,0BCCf,SAAS6Q,EAAI9S,GACXA,EAAMY,UAAUmS,kBAAoB/S,EAAMY,UAAUkS,IAClD9S,EAAMY,UAAUzvF,OAAO,QAAS,CAC9B2wF,QACE,8GACF5sF,OACE,wEACF6sF,SACE,+FACFmB,SACE,s5LACFN,SACE,4rDAER,CAjBA3xF,EAAOC,QAAU4hG,EACjBA,EAAIp9F,YAAc,MAClBo9F,EAAI7Q,QAAU,0BCCd,SAAS+Q,EAAGhT,GAEVA,EAAMY,UAAUoS,GAAK,CACnB/iF,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV,iBAAkB,CAChBp8E,QAAS,kCACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QACE,0EACF67E,YAAY,EACZQ,OAAQ,CACNntF,OAAQ,kBACR0tF,SAAU,UACV,4BAA6B,CAC3B58E,QAAS,YACTg7E,MAAO,eAETwG,WAAY,CACVxhF,QAAS,UACTq8E,OAAQ,QAId3sC,OAAQ,YAGZosC,QAAS,kBACTxsF,QAAS,qBACT,mBAAoB,CAElB0Q,QACE,mFACFg7E,MAAO,WAET8B,SAAU,wBACVI,SACE,kLACFhuF,OAAQ,YACR6sF,SAAU,qBACVC,YAAa,eAEfhC,EAAMY,UAAUoS,GAAG,kBAAkB3Q,OAAsB,cAAEA,OAC/C,WACZA,OAASrC,EAAMY,UAAUoS,GAC3BhT,EAAMY,UAAUqS,IAAMjT,EAAMY,UAAUoS,EACxC,CAtDA/hG,EAAOC,QAAU8hG,EACjBA,EAAGt9F,YAAc,KACjBs9F,EAAG/Q,QAAU,CAAC,8BCCd,SAASiR,EAASlT,GAEhBA,EAAMY,UAAU,UAAYZ,EAAMY,UAAU,aAAe,CACzD3wE,QAAS,CACPjK,QAAS,SACTo8E,QAAQ,GAEVh3E,QAAS,CACPpF,QAAS,+DACT67E,YAAY,EACZb,MAAO,UAET,aAAc,CACZh7E,QAAS,mCACT67E,YAAY,EACZb,MAAO,UAETc,QAAS,CACP97E,QAAS,4DACT67E,YAAY,GAEdE,SAAU,KACVC,YAAa,WAEjB,CA3BA/wF,EAAOC,QAAUgiG,EACjBA,EAASx9F,YAAc,WACvBw9F,EAASjR,QAAU,0BCCnB,SAASkR,EAAGnT,GACVA,EAAMY,UAAUuS,GAAKnT,EAAMY,UAAUzvF,OAAO,QAAS,CACnDukD,OAAQ,CACN1vC,QAAS,yCACT67E,YAAY,EACZO,QAAQ,GAEVN,QACE,sKACFxsF,QAAS,gCACTJ,OAAQ,CAEN,+BACA,gFACA,sEAEF6sF,SACE,wFACFuC,QACE,6LAEJtE,EAAMY,UAAU34C,aAAa,KAAM,SAAU,CAC3Cn/B,KAAM,CACJ9C,QAAS,6BACTo8E,QAAQ,YAGLpC,EAAMY,UAAUuS,GAAG,aAC5B,CA/BAliG,EAAOC,QAAUiiG,EACjBA,EAAGz9F,YAAc,KACjBy9F,EAAGlR,QAAU,yBCCb,SAASmR,EAAQpT,GACfA,EAAMY,UAAUwS,QAAU,CACxBnjF,QAAS,MACTojF,YAAa,CACXrtF,QACE,mEACFo8E,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACN,oBAAqB,CACnBr8E,QAAS,kCACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAU0S,YAI9B59C,OAAQ,CACN1vC,QAAS,iDACTo8E,QAAQ,GAEVltF,OAAQ,2CACRI,QAAS,qBACTstF,SAAU,eACV6C,UAAW,CACTz/E,QAAS,cACTg7E,MAAO,YAET,YAAa,CACXh7E,QAAS,iEACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QAAS,oBACTg7E,MAAO,cAETuS,OAAQ,sCACRrQ,SAAU,qBACV,aAAc,CACZl9E,QACE,kFACF67E,YAAY,GAEdt8C,SAAU,CACRv/B,QAAS,+CACT67E,YAAY,EACZb,MAAO,YAET,sBAAuB,CACrBh7E,QAAS,8BACT67E,YAAY,EACZb,MAAO,YAET,mBAAoB,CAClBh7E,QAAS,2BACT67E,YAAY,EACZb,MAAO,YAETc,QACE,uIACFC,SAAU,eACV,iBAAkB,eAClBx3E,OAAQ,eACRy3E,YAAa,iBACbpuF,SAAU,OAEZosF,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAA8BsqC,GAC9D,GAAqB,YAAjBA,EAAI5hF,SAwFR,IA/EA,IAAI8zF,EAAclS,EAAIF,OAAOqN,QAAO,SAAUz4E,GAC5C,MACmB,kBAAVA,GACQ,YAAfA,EAAMrjB,MACS,WAAfqjB,EAAMrjB,IAEV,IACI8gG,EAAe,EAwEZA,EAAeD,EAAY9hG,QAAU,CAC1C,IAAIgiG,EAAaF,EAAYC,KAC7B,GAAwB,YAApBC,EAAW/gG,MAA6C,aAAvB+gG,EAAWt/F,QAAwB,CAEtE,IAAIu/F,EAAiB,GACrB,GACEC,EAAY,CAAC,sBAAuB,iBACZ,MAAxBC,EAAS,GAAGz/F,QACZ,CAEAq/F,GAAgB,EAChB,IAAIK,EAAgBC,EAAmB,OAAQ,QAC/C,IAAuB,IAAnBD,EACF,SAEF,KAAOL,EAAeK,EAAeL,IAAgB,CACnD,IAAIn6B,EAAIu6B,EAAS,GACF,aAAXv6B,EAAE3mE,OACJqhG,EAAS16B,EAAG,kBACZq6B,EAAejhG,KAAK4mE,EAAEllE,SAE1B,CACAq/F,EAAeK,EAAgB,CACjC,CACA,GACEF,EAAY,CAAC,cAAe,oBACJ,MAAxBC,EAAS,GAAGz/F,UAEZq/F,IACAO,EAASH,EAAS,GAAI,qBAClBF,EAAejiG,OAAS,GAAG,CAC7B,IAAIuiG,EAAcF,EAAmB,OAAQ,QAC7C,IAAqB,IAAjBE,EACF,SAEF,IAAK,IAAIxiG,EAAIgiG,EAAchiG,EAAIwiG,EAAaxiG,IAAK,CAC/C,IAAIyiG,EAAWV,EAAY/hG,GAEP,aAAlByiG,EAASvhG,MACTghG,EAAe79F,QAAQo+F,EAAS9/F,UAAY,GAE5C4/F,EAASE,EAAU,iBAEvB,CACF,CAEJ,CACF,CAhHA,SAASL,EAAS9+E,GAChB,OAAOy+E,EAAYC,EAAe1+E,EACpC,CAQA,SAAS6+E,EAAYj8F,EAAOod,GAC1BA,EAASA,GAAU,EACnB,IAAK,IAAItjB,EAAI,EAAGA,EAAIkG,EAAMjG,OAAQD,IAAK,CACrC,IAAIukB,EAAQ69E,EAASpiG,EAAIsjB,GACzB,IAAKiB,GAASA,EAAMrjB,OAASgF,EAAMlG,GACjC,OAAO,CAEX,CACA,OAAO,CACT,CAYA,SAASsiG,EAAmBjuF,EAAMquF,GAEhC,IADA,IAAIC,EAAc,EACT3iG,EAAIgiG,EAAchiG,EAAI+hG,EAAY9hG,OAAQD,IAAK,CACtD,IAAIukB,EAAQw9E,EAAY/hG,GACpB2C,EAAU4hB,EAAM5hB,QACpB,GAAmB,gBAAf4hB,EAAMrjB,MAA6C,kBAAZyB,EACzC,GAAI0R,EAAKpP,KAAKtC,GACZggG,SACK,GAAID,EAAMz9F,KAAKtC,IAEA,MADpBggG,EAEE,OAAO3iG,CAIf,CACA,OAAQ,CACV,CAQA,SAASuiG,EAASh+E,EAAOgrE,GACvB,IAAIiB,EAAUjsE,EAAMgrE,MACfiB,EAEOlyF,MAAMF,QAAQoyF,KACxBjsE,EAAMgrE,MAAQiB,EAAU,CAACA,IAFzBjsE,EAAMgrE,MAAQiB,EAAU,GAI1BA,EAAQvvF,KAAKsuF,EACf,CAiDF,GACF,CA9MA/vF,EAAOC,QAAUkiG,EACjBA,EAAQ19F,YAAc,UACtB09F,EAAQnR,QAAU,0BCClB,SAASoS,EAAOrU,GACdA,EAAMY,UAAUyT,OAASrU,EAAMY,UAAUzvF,OAAO,QAAS,CACvDukD,OAAQ,CACN,CAEE1vC,QACE,kFACFo8E,QAAQ,GAEV,CAGEp8E,QAAS,oCACTo8E,QAAQ,IAGZN,QACE,6WACF5sF,OACE,6FACF6sF,SAAU,CACR/7E,QACE,oJACF67E,YAAY,GAEdG,YAAa,sBAEfhC,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/C6+C,QAAS,CACP9gF,QAAS,OACTg7E,MAAO,aAGXhB,EAAMY,UAAU34C,aAAa,SAAU,cAAe,CACpD,cAAe,0DAEjB+3C,EAAMY,UAAU34C,aAAa,SAAU,WAAY,CACjDg7C,WAAY,CACVj9E,QAAS,eACT67E,YAAY,EACZb,MAAO,iBAGXhB,EAAMyB,MAAMzqC,IAAI,QAAQ,SAAUsqC,GAChC,GAAqB,WAAjBA,EAAI5hF,UAAsC,WAAb4hF,EAAI3uF,KAAmB,CACtD,IAAIo1F,EAAYzG,EAAIltF,QAAQvD,MAAM,GAClC,GAAiB,KAAbk3F,EAAkB,CACpB,IAAI/hF,EAAU,kCACI,MAAd+hF,IACF/hF,EAAU,mCAEZs7E,EAAIltF,QAAQvD,MAAQywF,EAAIltF,QAAQvD,MAC7BgG,QAAQ,QAAS,KACjBA,QAAQ,SAAU,KACrByqF,EAAIltF,QAAU4rF,EAAMa,UAAUS,EAAIltF,QAAQvD,MAAO,CAC/C22F,WAAY,CACVxhF,QAASA,EACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUyT,UAG5B/S,EAAIE,QAAQ9uF,KAAmB,MAAdq1F,EAAoB,QAAU,UACjD,CACF,CACF,GACF,CApEA92F,EAAOC,QAAUmjG,EACjBA,EAAO3+F,YAAc,SACrB2+F,EAAOpS,QAAU,gCCHjB,IAAI6H,EAAgB92F,EAAQ,KAI5B,SAASshG,EAAKtU,GACZA,EAAMU,SAASoJ,GAOd,SAAW9J,GACVA,EAAMY,UAAU0T,KAAO,CAErB,oBAAqB,CACnBtuF,QACE,gEACF67E,YAAY,EACZb,MAAO,WAET,iBAAkB,CAChB,CACEh7E,QACE,6GACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUoJ,MAE1B,CACEhkF,QACE,sFACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUoJ,OAI5ByE,OAAQ,CACNzoF,QACE,iFACF67E,YAAY,EACZQ,OAAQ,CACN,cAAe,CACbr8E,QAAS,WACTg7E,MAAO,YAIbZ,OAAQ,CACNp6E,QAAS,4BACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUR,QAE1BtoD,QAAS,CACP9xB,QAAS,oCACT67E,YAAY,GAEd/mC,IAAK,CAEH90C,QACE,sGACF67E,YAAY,EACZQ,OAAQ,CACNnnF,WAAY,CACV,CAGE8K,QAAS,mCACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUoJ,MAE1B,CACEhkF,QAAS,YACTq8E,OAAQ,CACN,aAAc,CACZr8E,QAAS,0CACT67E,YAAY,GAEd,YAAa,4BACbG,YAAa,WAGjB,CACEh8E,QAAS,aACTq8E,OAAQrC,EAAMY,UAAUoJ,OAG5BhI,YAAa,SAGjB94E,KAAM,CACJlD,QAAS,0CACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUoJ,MAG1BzC,cAAe,CACbvhF,QAAS,aACTq8E,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,WACTg7E,MAAO,eAETgJ,KAAM,CACJhkF,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUoJ,QAI9BhI,YAAa,CACXh8E,QAAS,kCACT67E,YAAY,IAoBhB,IAjBA,IAEI0S,EAAU,CACZ,MACA,CACE9F,OAAQ,SACR/uF,SAAU,gBAEZ,MACA,aACA,OACA,WACA,OACA,OACA,WAEE80F,EAAc,CAAC,EACV/iG,EAAI,EAAGgpD,EAAI85C,EAAQ7iG,OAAQD,EAAIgpD,EAAGhpD,IAAK,CAC9C,IAAIg9F,EAAS8F,EAAQ9iG,GACrBg9F,EACoB,kBAAXA,EACH,CACEA,OAAQA,EACR/uF,SAAU+uF,GAEZA,EACFzO,EAAMY,UAAU6N,EAAO/uF,YACzB80F,EAAY,UAAY/F,EAAOA,QAAU,CACvCzoF,QAASw8E,OA3Bb,uGA4BqB3rF,QAAQ,mBAAmB,WACxC,OAAO43F,EAAOA,MAChB,KAEF5M,YAAY,EACZQ,OAAQ,CACN,cAAe,CACbr8E,QAAS,WACTg7E,MAAO,UAET/1E,KAAM,CACJjF,QAAS,UACTg7E,MAAO,CAACyN,EAAO/uF,SAAU,YAAc+uF,EAAO/uF,UAC9C2iF,OAAQrC,EAAMY,UAAU6N,EAAO/uF,aAKzC,CACAsgF,EAAMY,UAAU34C,aAAa,OAAQ,SAAUusD,EAChD,CAtJA,CAsJExU,EACL,CAlKA/uF,EAAOC,QAAUojG,EACjBA,EAAK5+F,YAAc,OACnB4+F,EAAKrS,QAAU,gCCHf,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAASyhG,EAAWzU,GAClBA,EAAMU,SAAS6N,GACd,SAAWvO,GACVA,EAAMY,UAAU6T,WAAa,CAC3BxkF,QAAS,oBACT83E,UAAW,CACT/hF,QAAS,oBACTg7E,MAAO,eAETtrC,OAAQ,mCACRxgD,OAAQ,iEACRI,QAAS,qBACTs3F,MAAO,CACL5mF,QAAS,+CACT67E,YAAY,EACZb,MAAO,WAET0T,SAAU,CACR1uF,QAAS,aACTq8E,OAAQ,CACNL,YAAa,QACbY,SAAU,YAGdZ,YAAa,qCACbY,SAAU,wCAEZ5C,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAE3CtB,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,aAHsB,yCAM1B,IACAtB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBACnCtN,EACA,aAEJ,IACAtB,EAAMY,UAAU+T,IAAM3U,EAAMY,UAAU6T,UACvC,CAxCA,CAwCEzU,EACL,CA9CA/uF,EAAOC,QAAUujG,EACjBA,EAAW/+F,YAAc,aACzB++F,EAAWxS,QAAU,CAAC,8BCCtB,SAAS2S,EAAQ5U,GACfA,EAAMY,UAAUgU,QAAU,CACxB3kF,QAAS,CACPjK,QACE,4FACF67E,YAAY,GAEd/4E,KAAM,CACJ9C,QACE,sMACFg7E,MAAO,UAETtrC,OAAQ,CACN1vC,QAAS,+BACTo8E,QAAQ,GAEVN,QACE,wHACF,mBAAoB,CAIlB97E,QACE,sIACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,qCACTE,YAAa,OAIjBsC,QACE,yoDAEFpvF,OAAQ,4DACR6sF,SAAU,CACR,CAEE/7E,QAAS,mCACTo8E,QAAQ,GAEV,CAEEp8E,QAAS,eACT67E,YAAY,GAQd,gFAGFwO,UAAW,CACTrqF,QAAS,mCACTq8E,OAAQ,CACNL,YAAa,OAGjBkB,SAAU,CACRl9E,QAAS,kCACTq8E,OAAQ,CACNL,YAAa,OAGjBA,YAAa,iBAEfhC,EAAMY,UAAUiU,GAAK7U,EAAMY,UAAUgU,OACvC,CAzEA3jG,EAAOC,QAAU0jG,EACjBA,EAAQl/F,YAAc,UACtBk/F,EAAQ3S,QAAU,CAAC,6BCCnB,SAAS6S,EAAK9U,GACZA,EAAMY,UAAUkU,KAAO9U,EAAMY,UAAUzvF,OAAO,QAAS,CACrDukD,OAAQ,CAEN1vC,QAAS,yBACTo8E,QAAQ,GAEV,aAAc,CACZ,CACEp8E,QACE,mFACF67E,YAAY,GAEd,cAGFC,QACE,gUACFgB,SAAU,CACR98E,QAAS,wCACTo8E,QAAQ,GAEVL,SAAU,oEAEZ/B,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAC7C,uBAAwB,CACtBjiC,QAAS,yBACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,gCACT67E,YAAY,EACZQ,OAAQ,CACN,4BAA6B,CAC3Br8E,QAAS,aACTg7E,MAAO,eAETwG,WAAY,CACVxhF,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUkU,QAI9Bp/C,OAAQ,cAIdsqC,EAAMY,UAAU34C,aAAa,OAAQ,aAAc,CACjDo7C,MAAO,CACLr9E,QAAS,kCACTo8E,QAAQ,EACRC,OAAQ,CACN,cAAe,YACf,eAAgB,CACdr8E,QAAS,uBACT67E,YAAY,EACZb,MAAO,iBACPqB,OAAQrC,EAAMY,UAAUyC,OAE1B,kBAAmB,eAIzBrD,EAAMY,UAAU34C,aAAa,OAAQ,UAAW,CAC9C3Y,aAAc,CACZtpB,QAAS,8BACTg7E,MAAO,YAET4G,SAAU,CACR5hF,QAAS,YACTg7E,MAAO,UAET+T,YAAa,CACX/uF,QAAS,mBACTg7E,MAAO,cAGb,CAhFA/vF,EAAOC,QAAU4jG,EACjBA,EAAKp/F,YAAc,OACnBo/F,EAAK7S,QAAU,0BCCf,SAAS+S,EAAIhV,GACXA,EAAMY,UAAUoU,IAAM,CACpB/kF,QAAS,sCACTglF,QAAS,CACPjvF,QAAS,gCACTo8E,QAAQ,EACRpB,MAAO,UAETc,QAAS,CACP,CACE97E,QACE,sEACFq8E,OAAQ,CACN1vF,KAAM,CACJqT,QAAS,iDACT67E,YAAY,EACZb,MAAO,cAIb,CACEh7E,QACE,wGACFq8E,OAAQ,CACN1vF,KAAM,CACJqT,QACE,gGACF67E,YAAY,EACZb,MAAO,cAIb,mBAEFptF,SAAU,CAAC,wBAAyB,qCACpC8hD,OAAQ,CACN1vC,QACE,gGACFo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,mDACT67E,YAAY,EACZQ,OAAQ,CACN1vF,KAAM,CACJqT,QACE,sEACF67E,YAAY,EACZb,MAAO,YAETc,QAAS,2DACTgB,SAAU,YACVptC,OAAQ,CACN1vC,QAAS,yBACTo8E,QAAQ,GAEVltF,OAAQ,gDACR8sF,YAAa,2CAKrB9sF,OAAQ,gDACRI,QAAS,sBACT0sF,YAAa,YAEjB,CArEA/wF,EAAOC,QAAU8jG,EACjBA,EAAIt/F,YAAc,MAClBs/F,EAAI/S,QAAU,gCCHd,IAAI4F,EAAa70F,EAAQ,MAIzB,SAASkiG,EAAKlV,GACZA,EAAMU,SAASmH,GACf7H,EAAMY,UAAUsU,KAAOlV,EAAMY,UAAUzvF,OAAO,IAAK,CAKjD,aAAc,CACZ6uF,EAAMY,UAAU5wE,EAAE,cAClB,wgBAEF8xE,QAAS,CAEP,gyBACA,gHAGF5sF,OACE,gFACFI,QAAS,sBAEb,CAxBArE,EAAOC,QAAUgkG,EACjBA,EAAKx/F,YAAc,OACnBw/F,EAAKjT,QAAU,0BCCf,SAASkT,EAAKnV,GACZA,EAAMY,UAAUuU,KAAO,CACrBllF,QAAS,CACPjK,QAAS,OACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,kBACTo8E,QAAQ,GAEVc,SAAU,qBACV,aAAc,+BACdJ,SAAU,kDACVhB,QACE,+NAEN,CAnBA7wF,EAAOC,QAAUikG,EACjBA,EAAKz/F,YAAc,OACnBy/F,EAAKlT,QAAU,0BCCf,SAASmT,EAAKpV,GAMZA,EAAMY,UAAUwU,KAAO,CACrB3P,UAAW,CACTz/E,QACE,8FACFg7E,MAAO,YAETe,SAAU,IACVC,YAAa,IAEjB,CAlBA/wF,EAAOC,QAAUkkG,EACjBA,EAAK1/F,YAAc,OACnB0/F,EAAKnT,QAAU,0BCCf,SAASoT,EAAKrV,GAMZA,EAAMY,UAAUyU,KAAO,CACrB5P,UAAW,CACTz/E,QAAS,uDACTg7E,MAAO,YAETe,SAAU,IACVC,YAAa,IAEjB,CAjBA/wF,EAAOC,QAAUmkG,EACjBA,EAAK3/F,YAAc,OACnB2/F,EAAKpT,QAAU,0BCCf,SAASqT,EAAKtV,IACX,SAAWA,GAKV,SAASuV,EAAc7kG,GACrB,OAAO8xF,OAAO,QAAU9xF,EAAO,yBAA0B,IAC3D,CACAsvF,EAAMY,UAAU0U,KAAO,CACrB,eAAgB,CACdtvF,QACE,6GACFq8E,OAAQ,CAENliF,OAAQ,CACN6F,QAAS,YACTg7E,MAAO,YAGT,iBAAkB,CAChBh7E,QAAS,mCACT67E,YAAY,EACZb,MAAO,MACPqB,OAAQrC,EAAMY,UAAU4U,KAG1B,eAAgB,CACdxvF,QAAS,oBACT67E,YAAY,EACZb,MAAO,cAIb,kBAAmB,CACjBh7E,QAAS,wBACTq8E,OAAQ,CAEN,eAAgB,CACdr8E,QAAS,gBACTg7E,MAAO,YAGT,cAAe,CACbh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,UAGT,gBAAiB,CACfh7E,QAAS,UACT67E,YAAY,EACZb,MAAO,YAIbyU,OAAQ,CACNzvF,QAAS,sCACTq8E,OAAQ,CACN,eAAgB,CACd,CACEr8E,QAASuvF,EAAc,0BAA0BlmF,QACjDwyE,YAAY,EACZb,MAAO,CAAC,MAAO,iBACfqB,OAAQrC,EAAMY,UAAUkM,KAE1B,CACE9mF,QAASuvF,EAAc,mCAAmClmF,QAC1DwyE,YAAY,EACZb,MAAO,CAAC,OAAQ,kBAChBqB,OAAQrC,EAAMY,UAAUwU,MAE1B,CACEpvF,QAASuvF,EAAc,4BAA4BlmF,QACnDwyE,YAAY,EACZb,MAAO,CAAC,OAAQ,kBAChBqB,OAAQrC,EAAMY,UAAUyU,MAE1B,CACErvF,QAASuvF,EAAc,QAAQlmF,QAC/BwyE,YAAY,IAGhB,cAAe,CACb77E,QAAS,SACTg7E,MAAO,WAETgB,YAAa,QAInB,IA0BIvxF,EA1BAilG,EAAQ1V,EAAMY,UACd+U,EAAgB,CAClB,yBAA0BD,EAAM5P,WAChC,mBAAoB4P,EAAME,MAAQF,EAAM5P,WACxC,kBAAmB4P,EAAMl+F,IACzB,WAAYk+F,EAAMl+F,IAClB,YAAak+F,EAAMlgG,KACnB,WAAYkgG,EAAMrV,IAClB,aAAcqV,EAAMG,OAElBC,EAAc,CAChB,oBAAoB,EACpB,mBAAmB,GAQrB,SAASC,EAAiBC,GACxB,IAAIC,EAASD,EAAYn/F,QAAQ,YAAa,IAE9C,MAAO,MAAQm/F,EAAc,KADT,wBAA0BC,EAAS,gBACJ,GACrD,CAGA,IAAK,IAAID,KAAeL,EACtB,GAAIA,EAAcK,GAAc,CAC9BvlG,EAAUA,GAAW,CAAC,EACtB,IAAIuV,EAAU8vF,EAAYE,GACtBD,EAAiBC,GACjBA,EACJvlG,EAAQulG,EAAYn/F,QAAQ,MAAO,MAAQ,CACzCmP,QAASw8E,OACP,IACE,mBAAmBnzE,OACnBrJ,EACA,iDAAiDqJ,OACjD,IAKA,mBAAmBA,OACrB,KAEFwyE,YAAY,EACZQ,OAAQsT,EAAcK,GAE1B,CAEEvlG,GACFuvF,EAAMY,UAAU34C,aAAa,OAAQ,SAAUx3C,EAElD,CAjJA,CAiJEuvF,EACL,CAtJA/uF,EAAOC,QAAUokG,EACjBA,EAAK5/F,YAAc,OACnB4/F,EAAKrT,QAAU,0BCCf,SAASiU,EAAUlW,GAGjBA,EAAMY,UAAUsV,UAAY,CAC1BjmF,QAAS,2BACTylC,OAAQ,CACN1vC,QAAS,yCACTo8E,QAAQ,GAEVltF,OAAQ,mEACR4sF,QACE,mSACFgB,SACE,sIACFtjF,MAAO,aACPuiF,SAAU,wDACVC,YAAa,cAEjB,CArBA/wF,EAAOC,QAAUglG,EACjBA,EAAUxgG,YAAc,YACxBwgG,EAAUjU,QAAU,0BCCpB,SAASkU,EAAKnW,GACZA,EAAMY,UAAUuV,KAAO,CACrBlmF,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,2DACTo8E,QAAQ,GAEVltF,OAAQ,4DACR,kBAAmB,CACjB8Q,QACE,kRACFg7E,MAAO,YAETyE,UAAW,CACTz/E,QAAS,QACTg7E,MAAO,WAETc,QACE,mLACFgB,SAAU,mCACVf,SACE,8GACFC,YAAa,eAEjB,CA3BA/wF,EAAOC,QAAUilG,EACjBA,EAAKzgG,YAAc,OACnBygG,EAAKlU,QAAU,0BCCf,SAASmU,EAAiBpW,IAGvB,SAAWA,GAMV,SAASmK,EAAO96E,EAAQ85E,GACtB,OAAIA,GAAS,EACJ,KAAK95E,OAELA,EAAOxY,QAAQ,WAAW,WAC/B,OAAOszF,EAAO96E,EAAQ85E,EAAQ,EAChC,GAEJ,CACA,IAAIkN,EAAgB,6BAChBrY,EAAS,CACXh4E,QAAS,KACTo8E,QAAQ,EACRpB,MAAO,YAELtrC,EAAS,CACX1vC,QAASqwF,EACTjU,QAAQ,EACRC,OAAQ,CACNrE,OAAQA,IAGRsY,EAAiBnM,EACnB,8CAA8C96E,OAAOxY,QACnD,UACA,WACE,OAAOw/F,EAAchnF,MACvB,IAEF,GAEEknF,EAAgB,CAClBvwF,QAASw8E,OAAO8T,GAChBjU,OAAQ,CACNxW,QAAS,CACP7lE,QAAS,sBACT67E,YAAY,EACZQ,OAAQ,MAEV,oBAAqB,CACnBr8E,QAAS,IACTg7E,MAAO,iBAIbhB,EAAMY,UAAU,sBAAwB,CACtCyF,SAAU,CACRrgF,QAASw8E,OAAO8T,GAChBlU,QAAQ,EACRC,OAAQ,CACNjuF,QAAS,CACP4R,QAAS,sBACT67E,YAAY,EACZQ,OAAQ,CACN,gBAAiB,CACfr8E,QAAS,oBACT67E,YAAY,GAEd,eAAgB,CAEd77E,QAAS,0CACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,KACblvB,MAAO,CACL9sD,QAAS,mDACT67E,YAAY,EACZQ,OAAQ,CACNN,SAAU,aACV7sF,OAAQ,QAGZowF,KAAM,OAGV,eAAgB,CAEdt/E,QACE,4DACF67E,YAAY,EACZQ,OAAQ,CACNttE,OAAQ,iBACR,iBAAkBwhF,EAClB3kG,SAAU,CACRoU,QAAS,mBACTq8E,OAAQ,CACNP,QAAS,wCAKjB,eAAgB,CAEd97E,QAAS,0CACT67E,YAAY,EACZQ,OAAQ,CACN,iBAAkBkU,EAClB3kG,SAAU,CACRoU,QAAS,cACTq8E,OAAQ,CACNP,QAAS,cAKjBA,QAAS,6CACT,WAAY,CACV97E,QAAS,qDACTg7E,MAAO,WAET,eAAgB,CACdh7E,QAAS,sBACT67E,YAAY,GAEd,YAAa,CACX77E,QACE,oEACF67E,YAAY,GAEd,iBAAkB,CAChB77E,QAASw8E,OACP,mBAAmBnzE,OACjB86E,EAAO,sCAAsC96E,OAAQ,GACrD,KAEJwyE,YAAY,EACZb,MAAO,UAETgB,YAAa,MAGjB,qBAAsB,CACpBh8E,QAAS,IACTg7E,MAAO,cAIbhD,OAAQA,EACRtoC,OAAQA,GAEV6gD,EAAclU,OAAOxW,QAAQwW,OAASrC,EAAMY,UAAU,sBACtDZ,EAAMY,UAAU,sBAAsByF,SAAShE,OAAOjuF,QAAQiuF,OAC5D,gBACAA,OAAOiD,KAAOtF,EAAMY,UAAU,qBACjC,CAtJA,CAsJEZ,EACL,CA7JA/uF,EAAOC,QAAUklG,EACjBA,EAAiB1gG,YAAc,mBAC/B0gG,EAAiBnU,QAAU,gCCH3B,IAAIuU,EAAmBxjG,EAAQ,MAI/B,SAASyjG,EAAMzW,GACbA,EAAMU,SAAS8V,GACfxW,EAAMY,UAAU6V,MAAQzW,EAAMY,UAAUzvF,OAAO,UAAW,CACxD8e,QAAS,CACPjK,QAAS,wCAEX87E,QACE,kTACFwC,aAAShwF,IAEX0rF,EAAMY,UAAU34C,aAAa,QAAS,UAAW,CAC/C,mBAAoB,CAClBjiC,QAAS,oDACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,SAInBhC,EAAMY,UAAU8V,IAAM1W,EAAMY,UAAU6V,KACxC,CAvBAxlG,EAAOC,QAAUulG,EACjBA,EAAM/gG,YAAc,QACpB+gG,EAAMxU,QAAU,CAAC,8BCCjB,SAAS0U,EAAM3W,GACbA,EAAMY,UAAU+V,MAAQ,CACtB1mF,QAAS,CACP,CACEjK,QACE,gFACF67E,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN1vC,QAAS,iDACTo8E,QAAQ,GAEVN,QAAS,CACP,yPACA,yPAEF,aACE,4HACF8U,QAAS,CACP5wF,QAAS,kCACTg7E,MAAO,UAET9rF,OACE,oIACFI,QAAS,0BACTysF,SACE,4FACFe,SAAU,wBACVd,YAAa,aAEjB,CAxCA/wF,EAAOC,QAAUylG,EACjBA,EAAMjhG,YAAc,QACpBihG,EAAM1U,QAAU,0BCChB,SAAS4U,EAAO7W,IACb,SAAWA,GACVA,EAAMY,UAAUiW,OAAS,CAEvB5mF,QAAS,QACTkmB,MAAO,CACLnwB,QAAS,0BACTg7E,MAAO,SACPqB,OAAQ,CACNN,SAAU,cACVsB,MAAO,CACLr9E,QAAS,wBACT67E,YAAY,GAEdG,YAAa,QAInBhC,EAAMY,UAAUkW,UAAY9W,EAAMY,UAAUiW,OAC5C7W,EAAMY,UAAUmW,SAAW/W,EAAMY,UAAUiW,OAC3C7W,EAAMY,UAAUoW,UAAYhX,EAAMY,UAAUiW,MAC7C,CApBA,CAoBE7W,EACL,CAzBA/uF,EAAOC,QAAU2lG,EACjBA,EAAOnhG,YAAc,SACrBmhG,EAAO5U,QAAU,CAAC,YAAa,WAAY,oCCC3C,SAASgV,EAAQjX,GACfA,EAAMY,UAAUqW,QAAU,CACxBvhD,OAAQ,CACN1vC,QAAS,UACTq8E,OAAQ,CACN6U,aAAc,CACZlxF,QAAS,eACTq8E,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,QACTg7E,MAAO,mBAMjB/wE,QAAS,CACPjK,QAAS,eACTo8E,QAAQ,GAEVv6E,MAAO,CACL7B,QAAS,iEACTg7E,MAAO,aAET9rF,OAAQ,CACN8Q,QACE,mIACF67E,YAAY,GAEdsV,KAAM,CACJnxF,QACE,ovBACF67E,YAAY,EACZb,MAAO,YAETc,QAAS,CACP97E,QACE,iVACF67E,YAAY,GAEdjuF,SAAU,CACRoS,QACE,4tBACF67E,YAAY,EACZb,MAAO,UAET/xE,SAAU,CACRjJ,QACE,yQACF67E,YAAY,EACZb,MAAO,WAETruF,KAAM,CACJqT,QACE,kWACF67E,YAAY,EACZb,MAAO,YAETgB,YAAa,cAEfhC,EAAMY,UAAUqW,QAAgB,OAAE5U,OAAqB,aAAEA,OAAOiD,KAC9DtF,EAAMY,UAAUqW,QAClBjX,EAAMY,UAAUqW,QAAgB,OAAE5U,OAAqB,aAAEA,OAAOiD,KAAKr6E,KAAO,CAC1EjF,QAAS,eACTg7E,MAAO,UAEX,CArEA/vF,EAAOC,QAAU+lG,EACjBA,EAAQvhG,YAAc,UACtBuhG,EAAQhV,QAAU,0BCClB,SAASmV,EAAIpX,GACXA,EAAMY,UAAUwW,IAAM,CAMpBnnF,QAAS,CACPjK,QAAS,6BACT67E,YAAY,GAEdgO,QAAS,CACP7pF,QAAS,gCACT67E,YAAY,EACZQ,OAAQ,CACN,eAAgB,CACdr8E,QAAS,2DACT67E,YAAY,EACZb,MAAO,YAETgB,YAAa,UAGjB7xF,IAAK,CACH6V,QACE,+EACF67E,YAAY,EACZb,MAAO,aAETnwF,MAAO,CACLmV,QAAS,6DACT67E,YAAY,EACZb,MAAO,aACPqB,OAAQ,CACN,cAAe,CACbr8E,QAAS,kBACT67E,YAAY,KAIlBG,YAAa,IAEjB,CA7CA/wF,EAAOC,QAAUkmG,EACjBA,EAAI1hG,YAAc,MAClB0hG,EAAInV,QAAU,0BCCd,SAASoV,EAAGrX,GACVA,EAAMY,UAAUyW,GAAK,CACnBpnF,QAAS,CACPjK,QAAS,iDACT67E,YAAY,EACZO,QAAQ,GAEV,uBAAwB,CACtBp8E,QAAS,mCACTo8E,QAAQ,EACRpB,MAAO,UAETtrC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEVN,QACE,6kBACFwC,QACE,imBACFhvF,QAAS,yBACTJ,OAAQ,yDACR6sF,SACE,8GACFC,YAAa,gBAEjB,CA7BA/wF,EAAOC,QAAUmmG,EACjBA,EAAG3hG,YAAc,KACjB2hG,EAAGpV,QAAU,0BCCb,SAASrrD,EAAEopD,GACTA,EAAMY,UAAUhqD,EAAI,CAClB3mB,QAAS,CACPjK,QAAS,WACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,qBACTo8E,QAAQ,GAEVN,QACE,mNACFqV,KAAM,CAGJnxF,QACE,8IACFg7E,MAAO,WAET9rF,OACE,4GACFoiG,OAAQ,CACNtxF,QAAS,+BACTg7E,MAAO,WAETe,SAAU,eACVwV,YAAa,CACXvxF,QAAS,0DACTg7E,MAAO,YAETgB,YAAa,OAEjB,CAnCA/wF,EAAOC,QAAU0lC,EACjBA,EAAElhC,YAAc,IAChBkhC,EAAEqrD,QAAU,0BCCZ,SAASuV,EAAKxX,IACX,SAAWA,GACV,IAAIwD,EACF,idACEiU,EAAkB,uDACnBpoF,OACC5c,EAAY,CACduT,QAASw8E,OAAOiV,EAAkB,gCAAgCpoF,QAClEwyE,YAAY,EACZQ,OAAQ,CACN9jE,UAAW,CACTvY,QAAS,2CACTq8E,OAAQ,CACNL,YAAa,OAGjBA,YAAa,OAGjBhC,EAAMY,UAAU4W,KAAOxX,EAAMY,UAAUzvF,OAAO,QAAS,CACrDukD,OAAQ,CACN1vC,QAAS,iCACT67E,YAAY,EACZO,QAAQ,GAEV,aAAc,CACZ3vF,EACA,CAGEuT,QAASw8E,OACPiV,EAAkB,+BAA+BpoF,QAEnDwyE,YAAY,EACZQ,OAAQ5vF,EAAU4vF,SAGtBP,QAAS0B,EACTV,SAAU,CACR9C,EAAMY,UAAUN,MAAMwC,SACtB,CACE98E,QAAS,mBACT67E,YAAY,IAGhB3sF,OACE,8IACF6sF,SAAU,CACR/7E,QACE,wEACF67E,YAAY,KAGhB7B,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAC7C,uBAAwB,CAEtBjiC,QAAS,iDACTo8E,QAAQ,EACRpB,MAAO,UAETl4E,KAAM,CACJ9C,QAAS,4BACTo8E,QAAQ,KAGZpC,EAAMY,UAAU34C,aAAa,OAAQ,aAAc,CACjDg7C,WAAY,CACVj9E,QAAS,+BACT67E,YAAY,EACZb,MAAO,eAET+M,SAAU,CACR/nF,QACE,kGACFq8E,OAAQ,CACN,aAAc5vF,EACdqvF,QAAS0B,EACTxB,YAAa,YACbD,SAAU,UAGdxjE,UAAW,CACTvY,QAASw8E,OACP,qJAAqJnzE,OAAOxY,QAC1J,cACA,WACE,OAAO2sF,EAASn0E,MAClB,KAGJwyE,YAAY,EACZQ,OAAQ,CACNL,YAAa,QAIpB,CA/FA,CA+FEhC,EACL,CApGA/uF,EAAOC,QAAUsmG,EACjBA,EAAK9hG,YAAc,OACnB8hG,EAAKvV,QAAU,gCCHf,IAAIyV,EAAgB1kG,EAAQ,MACxB2kG,EAAuB3kG,EAAQ,MAInC,SAAS4kG,EAAQ5X,GACfA,EAAMU,SAASgX,GACf1X,EAAMU,SAASiX,GACd,SAAW3X,GACV,IAAI6X,EAAkB,oCAClBC,EAAkB,4BAA4BzoF,OAC9C2C,EACF,0DAA0D3C,OAAOxY,QAC/D,UACA,WACE,OAAOihG,CACT,IAEJ9X,EAAMY,UAAUgX,QAAU5X,EAAMY,UAAUzvF,OAAO,cAAe,CAAC,GACjE6uF,EAAMY,UAAU34C,aAAa,UAAW,UAAW,CACjDj2B,UAAW,CACThM,QAASw8E,OACP,gEACGnzE,OACD,MACA2C,EACA,KAEJ6vE,YAAY,EACZQ,OAAQ,CACNS,SAAU,CACR98E,QAAS,qBACT67E,YAAY,GAEdkW,MAAO,CACL/xF,QAAS,YACT67E,YAAY,GAEdtjE,UAAW,CACTvY,QAAS,0BACTq8E,OAAQ,CACNL,YAAa,OAGjB,aAAc,aACdF,QAAS9B,EAAMY,UAAU4W,KAAK1V,QAC9BE,YAAa,eAGjB,aAAc,CAEZh8E,QAAS,wBACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,UAGjB,eAAgB,CACd,CACEh8E,QACE,+GACF67E,YAAY,EACZQ,OAAQ,CACNn5E,KAAM,CAEJlD,QAAS6xF,EACThW,YAAY,EACZQ,OAAQrC,EAAMY,UAAU4W,KACxBxW,MAAO,mBAIb,CACEh7E,QACE,8DACF67E,YAAY,EACZQ,OAAQ,CACNnzE,KAAM,CACJlJ,QAAS6xF,EACThW,YAAY,EACZQ,OAAQ,CAENvnC,IAAKklC,EAAMY,UAAUR,OAAOtlC,IAC5B/pC,OAAQivE,EAAMY,UAAUR,OAAOrvE,OAC/B7H,KAAM,CAEJlD,QAAS,KACTq8E,OAAQrC,EAAMY,UAAU4W,KACxBxW,MAAO,sBAOnBlmC,IAAKklC,EAAMY,UAAUR,OAAOtlC,IAC5B/pC,OAAQivE,EAAMY,UAAUR,OAAOrvE,SAEjCivE,EAAMY,UAAUoX,YAAYC,WAAW,OAAQjY,EAAMY,UAAUgX,QAChE,CA3FA,CA2FE5X,EACL,CAlGA/uF,EAAOC,QAAU0mG,EACjBA,EAAQliG,YAAc,UACtBkiG,EAAQ3V,QAAU,0BCAlB,SAAS+V,EAAYhY,IAClB,SAAWA,GACV,IAAIkY,EAAelY,EAAMY,UAAUoX,YAAc,CAC/C7Q,UAAW,CACTnhF,QACE,iEACF67E,YAAY,GAEdC,QAAS,CAGP97E,QAAS,wDACT67E,YAAY,GAEdG,YAAa,QAgEf3yF,OAAOK,eAAewoG,EAAa,aAAc,CAC/CrnG,MAdF,SAAoB+vF,EAAWuX,GACJ,kBAAdvX,IACTA,EAAY,CAACA,IAEfA,EAAU/rD,SAAQ,SAAUp1B,IA/C9B,SAA2BA,EAAM+jE,GAC/B,IAAI40B,EAAY,cACZzX,EAAUX,EAAMY,UAAUnhF,GAC9B,GAAKkhF,EAAL,CAGA,IAAI3qE,EAAQ2qE,EAAQyX,GACpB,IAAKpiF,EAAO,CAEV,IAAI7J,EAAa,CAAC,EAClBA,EAAWisF,GAAa,CACtBpyF,QAAS,wCACT67E,YAAY,EACZb,MAAO,WAGThrE,GADA2qE,EAAUX,EAAMY,UAAU34C,aAAaxoC,EAAM,UAAW0M,IACxCisF,EAClB,CAOA,GANIpiF,aAAiBwsE,SAEnBxsE,EAAQ2qE,EAAQyX,GAAa,CAC3BpyF,QAASgQ,IAGTjmB,MAAMF,QAAQmmB,GAChB,IAAK,IAAIvkB,EAAI,EAAGgpD,EAAIzkC,EAAMtkB,OAAQD,EAAIgpD,EAAGhpD,IACnCukB,EAAMvkB,aAAc+wF,SACtBxsE,EAAMvkB,GAAK,CACTuU,QAASgQ,EAAMvkB,KAGnB+xE,EAASxtD,EAAMvkB,SAGjB+xE,EAASxtD,EA7BX,CA+BF,CAYIqiF,CAAkB54F,GAAM,SAAUuG,GAC3BA,EAAQq8E,SACXr8E,EAAQq8E,OAAS,CAAC,GAEpBr8E,EAAQq8E,OAAOiD,KAAO6S,CACxB,GACF,GACF,IAIAD,EAAYD,WAAW,CAAC,OAAQ,aAAc,OAAQC,EACvD,CAjFA,CAiFElY,EACL,CAtFA/uF,EAAOC,QAAU8mG,EACjBA,EAAYtiG,YAAc,cAC1BsiG,EAAY/V,QAAU,0BCCtB,SAAS6D,EAAW9F,GAClBA,EAAMY,UAAUkF,WAAa9F,EAAMY,UAAUzvF,OAAO,QAAS,CAC3D,aAAc,CACZ6uF,EAAMY,UAAUN,MAAM,cACtB,CACEt6E,QACE,0GACF67E,YAAY,IAGhBC,QAAS,CACP,CACE97E,QAAS,uBACT67E,YAAY,GAEd,CACE77E,QACE,mdACF67E,YAAY,IAIhBiB,SACE,oGACF5tF,OAAQ,CACN8Q,QAASw8E,OACP,aAAanzE,OACX,MACC,eAAeA,OACd,IACA,0BAA0BA,OAC1B,IACA,4BAA4BA,OAC5B,IACA,sCAAsCA,OACtC,IACA,gBAAgBA,OAChB,IACA,oFACGA,OACL,IACA,YAAYA,QAEhBwyE,YAAY,GAEdE,SACE,8FAEJ/B,EAAMY,UAAUkF,WAAW,cAAc,GAAG9/E,QAC1C,uEACFg6E,EAAMY,UAAU34C,aAAa,aAAc,UAAW,CACpDo7C,MAAO,CAELr9E,QACE,yLACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN,eAAgB,CACdr8E,QAAS,4BACT67E,YAAY,EACZb,MAAO,iBACPqB,OAAQrC,EAAMY,UAAUyC,OAE1B,kBAAmB,UACnB,cAAe,aAInB,oBAAqB,CACnBr9E,QACE,gMACFg7E,MAAO,YAETmG,UAAW,CACT,CACEnhF,QACE,sIACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,YAE1B,CACE9/E,QACE,qFACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,YAE1B,CACE9/E,QACE,kEACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,YAE1B,CACE9/E,QACE,8eACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,aAG5B5C,SAAU,8BAEZlD,EAAMY,UAAU34C,aAAa,aAAc,SAAU,CACnDqwD,SAAU,CACRtyF,QAAS,QACTo8E,QAAQ,EACRpB,MAAO,WAET,kBAAmB,CACjBh7E,QACE,2EACFo8E,QAAQ,EACRC,OAAQ,CACN,uBAAwB,CACtBr8E,QAAS,QACTg7E,MAAO,UAETuG,cAAe,CACbvhF,QACE,mEACF67E,YAAY,EACZQ,OAAQ,CACN,4BAA6B,CAC3Br8E,QAAS,YACTg7E,MAAO,eAETsE,KAAMtF,EAAMY,UAAUkF,aAG1BpwC,OAAQ,YAGZ,kBAAmB,CACjB1vC,QACE,4EACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,cAGXhB,EAAMY,UAAU34C,aAAa,aAAc,WAAY,CACrD,mBAAoB,CAClBjiC,QACE,oFACF67E,YAAY,EACZb,MAAO,cAGPhB,EAAMY,UAAUR,SAClBJ,EAAMY,UAAUR,OAAOtlC,IAAI0yC,WAAW,SAAU,cAEhDxN,EAAMY,UAAUR,OAAOtlC,IAAI2yC,aACzB,yNACGp+E,OACH,eAGJ2wE,EAAMY,UAAUL,GAAKP,EAAMY,UAAUkF,UACvC,CAjKA70F,EAAOC,QAAU40F,EACjBA,EAAWpwF,YAAc,aACzBowF,EAAW7D,QAAU,CAAC,6BCCtB,SAASsW,EAAevY,GAGtBA,EAAMY,UAAU2X,eAAiB,CAM/BvtF,QAAS,CACPhF,QACE,8FACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,CACP97E,QACE,kEACF67E,YAAY,GAGdnsC,OAAQ,CACN1vC,QAAS,gBACT67E,YAAY,GAEd2W,WAAY,CACVxyF,QAAS,yBACT67E,YAAY,EACZQ,OAAQ,CACN,aAAc,UACd9jE,UAAW,eACXyjE,YAAa,OAGjBnW,QAAS,CACP7lE,QAAS,aACT67E,YAAY,EACZb,MAAO,UAETgB,YAAa,MAwBjB,cAAe,CACbh8E,QAAS,8DACT67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,CACP97E,QAAS,gBACT67E,YAAY,GAEdxyE,OAAQ,CAGN,CACErJ,QAAS,yBACT67E,YAAY,EACZQ,OAAQ,CACNwO,KAAM,YACN7O,YAAa,IACb,cAAe,CACbh8E,QAAS,UACTg7E,MAAO,YAMb,CACEh7E,QAAS,mBACT67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,wCAIf,aAAc,kCACdgB,SAAU,0BACV,eAAgB,CACd98E,QAAS,8CACT67E,YAAY,EACZb,MAAO,YACPqB,OAAQ,CACNL,YAAa,OAGjB/wF,OAAQ,CACN+U,QAAS,sDACT67E,YAAY,EACZQ,OAAQ,CACNj3E,QAAS,CACPpF,QAAS,aACT67E,YAAY,EACZb,MAAO,UAETgB,YAAa,SAGjBzjE,UAAW,CACTvY,QAAS,oBACTq8E,OAAQ,CACNL,YAAa,OAGjBA,YAAa,WAKjByW,KAAM,CACJzyF,QAAS,yCACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,QACb9sF,OAAQ,MACR4sF,QAAS,2BAIjB,CA7IA7wF,EAAOC,QAAUqnG,EACjBA,EAAe7iG,YAAc,iBAC7B6iG,EAAetW,QAAU,0BCCzB,SAASyW,EAAK1Y,GACZA,EAAMY,UAAU8X,KAAO,CACrBhjD,OAAQ,oCACR59C,UAAW,CACTkO,QACE,4JACFg7E,MAAO,WACPa,YAAY,GAEdiB,SACE,8JACF5tF,OAAQ,8BACR6sF,SAAU,yCACVzsF,QAAS,qBACTwsF,QAAS,SACTE,YAAa,cAEjB,CApBA/wF,EAAOC,QAAUwnG,EACjBA,EAAKhjG,YAAc,OACnBgjG,EAAKzW,QAAU,0BCCf,SAAS0W,EAAM3Y,GACbA,EAAMY,UAAU+X,MAAQ3Y,EAAMY,UAAUzvF,OAAO,QAAS,CACtDukD,OAAQ,CACN1vC,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAEV,aAAc,CACZp8E,QACE,4EACF67E,YAAY,GAEdC,QACE,gZACFgB,SAAU,6BACV5tF,OAAQ,+CACR6sF,SAAU,oDACVC,YAAa,gBACbsC,QACE,oGAEJtE,EAAMY,UAAU34C,aAAa,QAAS,UAAW,CAC/C2wD,WAAY,CACV5yF,QACE,8EACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,WACT,aAAc,MACdE,YAAa,MAGjB6W,UAAW,CACT7yF,QACE,mEACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,IACb,aAAc,MACdD,SAAU,OAGdnuF,SAAU,CACRoS,QACE,+HAGR,CAlDA/U,EAAOC,QAAUynG,EACjBA,EAAMjjG,YAAc,QACpBijG,EAAM1W,QAAU,wBCChB,SAAS6W,EAAG9Y,IACT,SAAWA,GACV,IAAIuH,EAAgB,8BAA8Bl4E,OAC9CqmC,EAAS8sC,OACX,2CAA2CnzE,OAAOxY,QAChD,OACA,WACE,OAAO0wF,CACT,KAGAwR,EAAsB,CACxBxR,cAAe,CACbvhF,QAASw8E,OAAO,0BAA0BnzE,OAASk4E,GACnD1F,YAAY,EACZQ,OAAQ,CACNjuF,QAAS,CACP4R,QAAS,wBACT67E,YAAY,EACZQ,OAAQ,MAEVL,YAAa,eAIf8W,EAAM9Y,EAAMY,UAAUkY,GAAK,CAC7B7oF,QAAS,MACTrc,SAAU,CACRoS,QAASw8E,OAAO9sC,EAAOrmC,OAAS,gBAAgBA,QAChDwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ0W,GAEVrjD,OAAQ,CACN1vC,QAAS0vC,EACTmsC,YAAY,EACZO,QAAQ,EACRC,OAAQ0W,GAEVjW,SAAU,CACR98E,QAAS,uBACT67E,YAAY,GAEde,SAAU,UACV,mBAAoB,CAClB58E,QAAS,4BACTg7E,MAAO,YAETc,QACE,wHACFxsF,QAAS,qBACTJ,OAAQ,4CACR6sF,SAAU,CACR,CACE/7E,QAAS,OACTg7E,MAAO,QAET,kEAEF,mBAAoB,CAClBh7E,QAAS,wBACTg7E,MAAO,YAETgB,YAAa,oCACbqN,IAAK,CACHrpF,QAAS,KACTg7E,MAAO,cAGX+X,EAAoBxR,cAAclF,OAAOjuF,QAAQiuF,OAASyW,CAC3D,CArEA,CAqEE9Y,EACL,CA1EA/uF,EAAOC,QAAU4nG,EACjBA,EAAGpjG,YAAc,KACjBojG,EAAG7W,QAAU,0BCCb,SAAS+W,EAAShZ,IACf,SAAWA,GA2CV,SAASiZ,EAAO5pF,EAAQ80C,GACtB,OAAOq+B,OACLnzE,EAAOxY,QAAQ,SAAS,WACtB,MAAO,yDAAyDwY,MAClE,IACA80C,EAEJ,CAjDA67B,EAAMY,UAAU34C,aAAa,aAAc,oBAAqB,CAC9D,kBAAmB,CACjBjiC,QAASw8E,OACP,YACExC,EAAMY,UAAUkF,WAAW,qBAAqB9/E,QAAQqJ,QAE5DwyE,YAAY,EACZb,MAAO,CAAC,oBAAqB,SAAU,WAAY,sBAGvDhB,EAAMY,UAAU34C,aAAa,aAAc,WAAY,CACrD9nC,OAAQ,CACN6F,QAASw8E,OACP,YAAcxC,EAAMY,UAAUkF,WAAqB,SAAEz2E,QAEvDwyE,YAAY,EACZb,MAAO,CAAC,WAAY,sBAGxBhB,EAAMY,UAAU34C,aAAa,aAAc,WAAY,CACrD,mBAAoB,CAClB,CAGEjiC,QACE,yOACFg7E,MAAO,cAET,CAEEh7E,QAAS,wBACTg7E,MAAO,iBAmBbhB,EAAMY,UAAU34C,aAAa,aAAc,UAAW,CACpDixD,QAAS,CAEPlzF,QAASizF,EACP,4GACG5pF,QAELwyE,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,YAE1B50F,QAAS,CAEP8U,QAASizF,EACP,mEACG5pF,QAELwyE,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,cAG5B9F,EAAMY,UAAUkF,WAAoB,QAAE7xF,QACpC,CACE+R,QAAS,wCACTg7E,MAAO,UAET,CACEh7E,QACE,gGACFg7E,MAAO,gBAET,CACEh7E,QAAS,WACTg7E,MAAO,CAAC,OAAQ,QAElB,CACEh7E,QAAS,gBACTg7E,MAAO,QAGXhB,EAAMY,UAAU34C,aAAa,aAAc,WAAY,CACrDkxD,OAAQ,CACNnzF,QAAS,QACTg7E,MAAO,YAEToY,MAAO,CACLpzF,QAAS,KACTg7E,MAAO,cAGXhB,EAAMY,UAAU34C,aAAa,aAAc,cAAe,CACxD,kBAAmB,CACjBjiC,QAASizF,EAAO,gBAAgB5pF,QAChCwyE,YAAY,GAEd,mBAAoB,CAClB77E,QAAS,8CACT67E,YAAY,GAEdwX,IAAK,CAEHrzF,QACE,kFACFg7E,MAAO,YAET/U,QAAS,CACPjmE,QAAS,qBACTg7E,MAAO,gBAUX,IAPA,IAAIsY,EAAuB,CACzB,WACA,oBACA,SACA,kBACA,mBAEO7nG,EAAI,EAAGA,EAAI6nG,EAAqB5nG,OAAQD,IAAK,CACpD,IAAIukB,EAAQsjF,EAAqB7nG,GAC7BZ,EAAQmvF,EAAMY,UAAUkF,WAAW9vE,GACR,WAA3BgqE,EAAMe,KAAKpuF,KAAK9B,KAClBA,EAAQmvF,EAAMY,UAAUkF,WAAW9vE,GAAS,CAC1ChQ,QAASnV,IAGb,IAAIwxF,EAASxxF,EAAMwxF,QAAU,CAAC,EAC9BxxF,EAAMwxF,OAASA,EACfA,EAAO,oBAAsB,eAC/B,CACD,CA3IA,CA2IErC,EACL,CAhJA/uF,EAAOC,QAAU8nG,EACjBA,EAAStjG,YAAc,WACvBsjG,EAAS/W,QAAU,0BCCnB,SAASsX,EAAYvZ,IAClB,SAAWA,GACV,IAAIwZ,EAAiBxZ,EAAMY,UAAUkF,WAAW,mBAC5C2T,EAAyBD,EAAexzF,QAAQqJ,OAChDqqF,EAAsBF,EAAenX,OAAsB,cAC3DsX,EACFD,EAAoBrX,OAAO,6BACzBuX,EAAuBF,EAAoB1zF,QAAQqJ,OAYvD,SAASwqF,EAAen6F,EAAUo7C,GAChC,GAAKklC,EAAMY,UAAUlhF,GAGrB,MAAO,CACLsG,QAASw8E,OAAO,OAAS1nC,EAAM,SAAW2+C,GAC1C5X,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN,uBAAwB,CACtBr8E,QAAS,QACTg7E,MAAO,UAET,gBAAiB,CACfh7E,QAAS,UACTg7E,MAAOthF,IAIf,CA6BA,SAASo6F,EAAeC,EAASr6F,GAC/B,MAAO,MAAQA,EAAS1I,cAAgB,IAAM+iG,EAAU,KAC1D,CASA,SAASC,EAAkB9wF,EAAMy3E,EAASjhF,GACxC,IAAI4hF,EAAM,CACRp4E,KAAMA,EACNy3E,QAASA,EACTjhF,SAAUA,GAKZ,OAHAsgF,EAAMyB,MAAMC,IAAI,kBAAmBJ,GACnCA,EAAIF,OAASpB,EAAMia,SAAS3Y,EAAIp4E,KAAMo4E,EAAIX,SAC1CX,EAAMyB,MAAMC,IAAI,iBAAkBJ,GAC3BA,EAAIF,MACb,CAOA,SAAS8Y,EAAgC1S,GACvC,IAAI2S,EAAc,CAAC,EACnBA,EAAY,6BAA+BR,EAE3C,IAAIvY,EAASpB,EAAMia,SAASzS,EAAY2S,GACxC,GAAsB,IAAlB/Y,EAAO1vF,OAAc,CASvB,IAAIu4F,EAAO,CAAC,EAAG,GACfA,EAAKv3F,KAAKoa,MACRm9E,EACA+P,EAAkB5Y,EAAO,GAAIpB,EAAMY,UAAUkF,WAAY,eAE3D1E,EAAOtqD,OAAOhqB,MAAMs0E,EAAQ6I,EAC9B,CACA,OAAO,IAAIjK,EAAMqB,MACf,gBACAD,EACAsY,EAAoB1Y,MACpBwG,EAEJ,CAkBA,SAAS4S,EAAiBlxF,EAAMy3E,EAASjhF,GAIvC,IAAI26F,EAAUra,EAAMia,SAAS/wF,EAAM,CACjCq+E,cAAe,CACbvhF,QAASw8E,OAAOoX,GAChB/X,YAAY,KAGZyY,EAAqB,EAErBC,EAAiB,CAAC,EAoBlBC,EAAiBR,EAnBFK,EAChBjc,KAAI,SAAUpoE,GACb,GAAqB,kBAAVA,EACT,OAAOA,EAIP,IAFA,IACI9P,EADAu0F,EAA0BzkF,EAAM5hB,SAK3B,IAFP8U,EAAKpT,QACFoQ,EAAc4zF,EAAeQ,IAAsB56F,MAMxD,OADA66F,EAAer0F,GAAeu0F,EACvBv0F,CAEX,IACCxR,KAAK,IAC6CisF,EAASjhF,GAC1Dg7F,EAAerrG,OAAOslC,KAAK4lE,GAwD/B,OAvDAD,EAAqB,EAMrB,SAASK,EAAWvZ,GAClB,IAAK,IAAI3vF,EAAI,EAAGA,EAAI2vF,EAAO1vF,OAAQD,IAAK,CACtC,GAAI6oG,GAAsBI,EAAahpG,OACrC,OAEF,IAAIskB,EAAQorE,EAAO3vF,GACnB,GAAqB,kBAAVukB,GAA+C,kBAAlBA,EAAM5hB,QAAsB,CAClE,IAAI8R,EAAcw0F,EAAaJ,GAC3BM,EACe,kBAAV5kF,EACHA,EAEAA,EAAM5hB,QACR7B,EAAQqoG,EAAE9kG,QAAQoQ,GACtB,IAAe,IAAX3T,EAAc,GACd+nG,EACF,IAAIO,EAASD,EAAEjoD,UAAU,EAAGpgD,GACxBuoG,EAASZ,EACXK,EAAer0F,IAEb60F,EAAQH,EAAEjoD,UAAUpgD,EAAQ2T,EAAYxU,QACxCsgD,EAAc,GAKlB,GAJI6oD,GACF7oD,EAAYt/C,KAAKmoG,GAEnB7oD,EAAYt/C,KAAKooG,GACbC,EAAO,CACT,IAAIC,EAAc,CAACD,GACnBJ,EAAWK,GACXhpD,EAAYt/C,KAAKoa,MAAMklC,EAAagpD,EACtC,CACqB,kBAAVhlF,GACTorE,EAAOtqD,OAAOhqB,MAAMs0E,EAAQ,CAAC3vF,EAAG,GAAGmD,OAAOo9C,IAC1CvgD,GAAKugD,EAAYtgD,OAAS,GAE1BskB,EAAM5hB,QAAU49C,CAEpB,CACF,KAAO,CACL,IAAI59C,EAAU4hB,EAAM5hB,QAChBrE,MAAMF,QAAQuE,GAChBumG,EAAWvmG,GAEXumG,EAAW,CAACvmG,GAEhB,CACF,CACF,CACAumG,CAAWH,GACJ,IAAIxa,EAAMqB,MACf3hF,EACA86F,EACA,YAAc96F,EACdwJ,EAEJ,CApMA82E,EAAMY,UAAUkF,WAAW,mBAAqB,CAK9C+T,EACE,MACA,0HACGxqF,QAGLwqF,EAAe,OAAQ,yCAAyCxqF,QAChEwqF,EAAe,MAAO,QAAQxqF,QAC9BwqF,EAAe,WAAY,oBAAoBxqF,QAC/CwqF,EACE,UACA,6CAA6CxqF,QAE/CwqF,EAAe,MAAO,QAAQxqF,QAC9BmqF,GACA/K,OAAOwM,SAsLT,IAAIC,EAAqB,CACvBpV,YAAY,EACZvF,IAAI,EACJ4a,YAAY,EACZC,IAAI,EACJxd,KAAK,EACLyd,KAAK,GAsEP,SAASC,EAAczqG,GACrB,MAAqB,kBAAVA,EACFA,EACEd,MAAMF,QAAQgB,GAChBA,EAAMutF,IAAIkd,GAAe5mG,KAAK,IAE9B4mG,EAAczqG,EAAMuD,QAE/B,CA5EA4rF,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GACpCA,EAAI5hF,YAAYw7F,GAStB,SAASK,EAAoBna,GAC3B,IAAK,IAAI3vF,EAAI,EAAGgpD,EAAI2mC,EAAO1vF,OAAQD,EAAIgpD,EAAGhpD,IAAK,CAC7C,IAAIukB,EAAQorE,EAAO3vF,GACnB,GAAqB,kBAAVukB,EAAX,CAGA,IAAI5hB,EAAU4hB,EAAM5hB,QACpB,GAAKrE,MAAMF,QAAQuE,GAMnB,GAAmB,oBAAf4hB,EAAMrjB,KAA4B,CAepC,IAAI6oG,EAAWpnG,EAAQ,GACvB,GACqB,IAAnBA,EAAQ1C,QACY,kBAAb8pG,GACW,kBAAlBA,EAAS7oG,KACT,CAEA,IAAIuW,EAAOoyF,EAAcE,GACrBxa,EAAQwa,EAASxa,MACjBthF,EAAW3P,MAAMF,QAAQmxF,GAASA,EAAM,GAAKA,EAC7CL,EAAUX,EAAMY,UAAUlhF,GAC9B,IAAKihF,EAEH,SAEFvsF,EAAQ,GAAKgmG,EAAiBlxF,EAAMy3E,EAASjhF,EAC/C,CACF,MACE67F,EAAoBnnG,OAtCG,kBAAZA,GACTmnG,EAAoB,CAACnnG,GAJzB,CA2CF,CACF,CACAmnG,CAAoBja,EAAIF,OAC1B,GAgBD,CArUA,CAqUEpB,EACL,CA1UA/uF,EAAOC,QAAUqoG,EACjBA,EAAY7jG,YAAc,cAC1B6jG,EAAYtX,QAAU,gCCHtB,IAAI0V,EAAuB3kG,EAAQ,MAC/ByoG,EAAsBzoG,EAAQ,MAIlC,SAAS0oG,EAAM1b,GACbA,EAAMU,SAASiX,GACf3X,EAAMU,SAAS+a,GACd,SAAWzb,GACV,IAAI8F,EAAa9F,EAAMY,UAAUkF,WAC7BnzF,EAAO,2CAA2C0c,OAClDssF,EACF,2CAA6ChpG,EAAO,UACtDqtF,EAAMY,UAAU8a,MAAQ1b,EAAMY,UAAUzvF,OAAO,cAAe,CAC5Dg2F,UAAW,CAETnhF,QAASw8E,OACPmZ,EAAkB,uCAAuCtsF,QAE3DwyE,YAAY,EACZQ,OAAQ,CACNL,YAAa,SAInBhC,EAAMY,UAAU34C,aAAa,QAAS,UAAW,CAC/C,qBAAsB,CAEpBjiC,QAASw8E,OACPmZ,EACE,wDAAwDtsF,QAE5DwyE,YAAY,EACZQ,OAAQ,CACN8E,UAAW,CACTnhF,QAAS,2BACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB94E,KAAM,CACJlD,QAAS,oBACT67E,YAAY,EACZQ,OAAQyD,EACR9E,MAAO,uBAETgB,YAAa,WAGjB,aAAc,CACZ,CACEh8E,QAASw8E,OACP,mHAAmHnzE,OAAOxY,QACxH,WACA,WACE,OAAOlE,CACT,KAGJkvF,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,CACEh8E,QAASw8E,OAAO,gBAAkB7vF,GAClCkvF,YAAY,EACZQ,OAAQ,CACN3sC,OAAQowC,EAAWpwC,OACnBxgD,OAAQ4wF,EAAW5wF,OACnBI,QAASwwF,EAAWxwF,QACpBwsF,QAAS9B,EAAMY,UAAUua,WAAWrZ,QACpCC,SAAU,oBACVC,YAAa,qBAInB4Z,QAAS,CACP51F,QACE,yEACF67E,YAAY,EACZQ,OAAQ,CACNn5E,KAAM,CACJlD,QAAS,4BACT67E,YAAY,EACZQ,OAAQyD,EACR9E,MAAO,2BAKfhB,EAAMY,UAAUoX,YAAYC,WAAW,aAAcjY,EAAMY,UAAU8a,MACtE,CArFA,CAqFE1b,EACL,CA5FA/uF,EAAOC,QAAUwqG,EACjBA,EAAMhmG,YAAc,QACpBgmG,EAAMzZ,QAAU,0BCAhB,SAAS2T,EAAK5V,GAEZA,EAAMY,UAAUgV,KAAO,CACrBhiG,SAAU,CACRoS,QAAS,yCACT67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,yCACT67E,YAAY,EACZO,QAAQ,GAEVnyE,QAAS,CACPjK,QAAS,gCACTo8E,QAAQ,GAEVltF,OAAQ,qCACR8sF,YAAa,WACbD,SAAU,IACVzsF,QAAS,qBACTumG,KAAM,CACJ71F,QAAS,WACTg7E,MAAO,YAGXhB,EAAMY,UAAUkb,YAAc9b,EAAMY,UAAUgV,IAChD,CA9BA3kG,EAAOC,QAAU0kG,EACjBA,EAAKlgG,YAAc,OACnBkgG,EAAK3T,QAAU,CAAC,4CCHhB,IAAI8Z,EAAgB/oG,EAAQ,MAI5B,SAASgpG,EAAMhc,GACbA,EAAMU,SAASqb,GACd,SAAW/b,GACV,IAAItqC,EAAS,+CACbsqC,EAAMY,UAAUob,MAAQhc,EAAMY,UAAUzvF,OAAO,OAAQ,CACrDyC,SAAU,CACR,CACEoS,QAASw8E,OAAO9sC,EAAOrmC,OAAS,aAChC+yE,QAAQ,GAEV,CACEp8E,QACE,iEACFg7E,MAAO,aAGXtrC,OAAQ,CACN1vC,QAAS0vC,EACT0sC,QAAQ,GAEVltF,OACE,+FAEL,CArBA,CAqBE8qF,EACL,CA3BA/uF,EAAOC,QAAU8qG,EACjBA,EAAMtmG,YAAc,QACpBsmG,EAAM/Z,QAAU,gCCHhB,IAAI8Z,EAAgB/oG,EAAQ,MAI5B,SAASipG,EAAMjc,GACbA,EAAMU,SAASqb,GACf/b,EAAMY,UAAUqb,MAAQjc,EAAMY,UAAUzvF,OAAO,OAAQ,CACrD6wF,YAAa,iBAEfhC,EAAMY,UAAU34C,aAAa,QAAS,cAAe,CACnD66C,SAAU,mEAEd,CAXA7xF,EAAOC,QAAU+qG,EACjBA,EAAMvmG,YAAc,QACpBumG,EAAMha,QAAU,yBCChB,SAASia,EAAalc,GACpBA,EAAMY,UAAUsb,aAAe,CAC7B,gBAAiB,CACfl2F,QAAS,SACTg7E,MAAO,UAET,cAAe,CACbh7E,QAAS,sBACT67E,YAAY,EACZQ,OAAQ,CACN,cAAe,CACbr8E,QACE,+HACFg7E,MAAO,WAETrxE,SAAU,CACR3J,QAAS,+CACT67E,YAAY,EACZb,MAAO,OAET8B,SAAU,CACR98E,QACE,uEACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjBA,YAAa,OACbF,QAAS,iBACTd,MAAO,CACLh7E,QAAS,6DACTg7E,MAAO,YAET,cAAe,CACbh7E,QAAS,kBACTg7E,MAAO,SACPqB,OAAQ,CACNL,YAAa,QAMzB,CA/CA/wF,EAAOC,QAAUgrG,EACjBA,EAAaxmG,YAAc,eAC3BwmG,EAAaja,QAAU,0BCCvB,SAASrE,EAAIoC,IACV,SAAWA,GACV,IAAI8F,EAAa9F,EAAMe,KAAKxvF,MAAMyuF,EAAMY,UAAUkF,YAC9C5vF,EAAQ,+CAA+CmZ,OACvD8sF,EAAS,+CAA+C9sF,OACxD8pF,EAAS,uCAAuC9pF,OAKpD,SAASQ,EAAGR,EAAQ80C,GAWlB,OAVA90C,EAASA,EACNxY,QAAQ,QAAQ,WACf,OAAOX,CACT,IACCW,QAAQ,aAAa,WACpB,OAAOslG,CACT,IACCtlG,QAAQ,aAAa,WACpB,OAAOsiG,CACT,IACK3W,OAAOnzE,EAAQ80C,EACxB,CACAg1C,EAAStpF,EAAGspF,GAAQ9pF,OACpB2wE,EAAMY,UAAUhD,IAAMoC,EAAMY,UAAUzvF,OAAO,SAAU20F,GACvD9F,EAAMY,UAAUhD,IAAI9iC,IAAI90C,QAAU6J,EAChC,wIACGR,QAEL2wE,EAAMY,UAAUhD,IAAI9iC,IAAIunC,OAAY,IAAEr8E,QAAU,iBAChDg6E,EAAMY,UAAUhD,IAAI9iC,IAAIunC,OAAO,cAAcr8E,QAC3C,qEACFg6E,EAAMY,UAAUhD,IAAI9iC,IAAIunC,OAAY,IAAEA,OAAO,cAC3C,4BACFrC,EAAMY,UAAUhD,IAAI9iC,IAAIunC,OAAgB,QAAIyD,EAAoB,QAChE9F,EAAMY,UAAU34C,aACd,SACA,YACA,CACEkxD,OAAQ,CACNnzF,QAAS6J,EAAG,WAAWR,QACvBgzE,OAAQrC,EAAMY,UAAUhD,MAG5BoC,EAAMY,UAAUhD,IAAI9iC,KAEtBklC,EAAMY,UAAU34C,aACd,SACA,eACA,CACE/B,OAAQ,CAENlgC,QAAS6J,EAAG,YAAYR,QACxB2xE,MAAO,sBACPqB,OAAQ,CACN,qBAAsB,CACpBr8E,QAAS,WACTg7E,MAAO,eAETsE,KAAMtF,EAAMY,UAAUhD,OAI5BoC,EAAMY,UAAUhD,IAAI9iC,KAEtB,IAAIshD,EAAiB,SAAUpmF,GAC7B,OAAKA,EAGgB,kBAAVA,EACFA,EAEoB,kBAAlBA,EAAM5hB,QACR4hB,EAAM5hB,QAER4hB,EAAM5hB,QAAQgqF,IAAIge,GAAgB1nG,KAAK,IARrC,EASX,EACIimG,EAAa,SAAUvZ,GAEzB,IADA,IAAIib,EAAa,GACR5qG,EAAI,EAAGA,EAAI2vF,EAAO1vF,OAAQD,IAAK,CACtC,IAAIukB,EAAQorE,EAAO3vF,GACf6qG,GAAiB,EAgDrB,GA/CqB,kBAAVtmF,IAEQ,QAAfA,EAAMrjB,MACNqjB,EAAM5hB,QAAQ,IACY,QAA1B4hB,EAAM5hB,QAAQ,GAAGzB,KAG2B,OAAxCqjB,EAAM5hB,QAAQ,GAAGA,QAAQ,GAAGA,QAG5BioG,EAAW3qG,OAAS,GACpB2qG,EAAWA,EAAW3qG,OAAS,GAAGkB,UAChCwpG,EAAepmF,EAAM5hB,QAAQ,GAAGA,QAAQ,KAG1CioG,EAAWzsE,MAG2C,OAApD5Z,EAAM5hB,QAAQ4hB,EAAM5hB,QAAQ1C,OAAS,GAAG0C,SAI1CioG,EAAW3pG,KAAK,CACdE,QAASwpG,EAAepmF,EAAM5hB,QAAQ,GAAGA,QAAQ,IACjDmoG,aAAc,IAKpBF,EAAW3qG,OAAS,GACL,gBAAfskB,EAAMrjB,MACY,MAAlBqjB,EAAM5hB,QAGNioG,EAAWA,EAAW3qG,OAAS,GAAG6qG,eAElCF,EAAW3qG,OAAS,GACpB2qG,EAAWA,EAAW3qG,OAAS,GAAG6qG,aAAe,GAClC,gBAAfvmF,EAAMrjB,MACY,MAAlBqjB,EAAM5hB,QAGNioG,EAAWA,EAAW3qG,OAAS,GAAG6qG,eAElCD,GAAiB,IAGjBA,GAAmC,kBAAVtmF,IAEzBqmF,EAAW3qG,OAAS,GAC+B,IAAnD2qG,EAAWA,EAAW3qG,OAAS,GAAG6qG,aAClC,CAGA,IAAIC,EAAYJ,EAAepmF,GAE7BvkB,EAAI2vF,EAAO1vF,OAAS,IACM,kBAAlB0vF,EAAO3vF,EAAI,IACM,eAAvB2vF,EAAO3vF,EAAI,GAAGkB,QAEhB6pG,GAAaJ,EAAehb,EAAO3vF,EAAI,IACvC2vF,EAAOtqD,OAAOrlC,EAAI,EAAG,IAGrBA,EAAI,IACsB,kBAAlB2vF,EAAO3vF,EAAI,IACM,eAAvB2vF,EAAO3vF,EAAI,GAAGkB,QAEhB6pG,EAAYJ,EAAehb,EAAO3vF,EAAI,IAAM+qG,EAC5Cpb,EAAOtqD,OAAOrlC,EAAI,EAAG,GACrBA,KAEF2vF,EAAO3vF,GAAK,IAAIuuF,EAAMqB,MACpB,aACAmb,EACA,KACAA,EAEJ,CAEExmF,EAAM5hB,SAAoC,kBAAlB4hB,EAAM5hB,SAChCumG,EAAW3kF,EAAM5hB,QAErB,CACF,EACA4rF,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GACrB,QAAjBA,EAAI5hF,UAAuC,QAAjB4hF,EAAI5hF,UAGlCi7F,EAAWrZ,EAAIF,OACjB,GACD,CA5KA,CA4KEpB,EACL,CAjLA/uF,EAAOC,QAAU0sF,EACjBA,EAAIloF,YAAc,MAClBkoF,EAAIqE,QAAU,0BCCd,SAASwa,EAAMzc,GACbA,EAAMY,UAAU6b,MAAQ,CACtBxsF,QAAS,CAGPjK,QACE,6EACF67E,YAAY,GAEdwB,MAAO,CAELr9E,QAAS,oCACTo8E,QAAQ,GAEV1sC,OAAQ,CAIN1vC,QACE,uEACFo8E,QAAQ,GAEVt5E,KAAM,CAEJ9C,QAAS,+CACT67E,YAAY,EACZO,QAAQ,GAEVN,QACE,6PACFxsF,QAAS,qBACTJ,OACE,kIAGF6sF,SACE,gIACFC,YAAa,oBAEbkB,SAAU,wDAEd,CA5CAjyF,EAAOC,QAAUurG,EACjBA,EAAM/mG,YAAc,QACpB+mG,EAAMxa,QAAU,0BCChB,SAASya,EAAW1c,GAClBA,EAAMY,UAAU8b,WAAa,CAC3BzsF,QAAS,CACPjK,QAAS,SACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QACE,uFACF67E,YAAY,EACZO,QAAQ,GAGVua,GAAI,CACF32F,QAASw8E,OACP,shBAAshBnzE,OAAOxY,QAC3hB,WACA,WACE,MAAO,0FACJwY,MACL,IAEF,KAEF2xE,MAAO,UAGT8P,KAAM,CACJ9qF,QACE,qEACF67E,YAAY,EACZb,MAAO,UAET4B,SAAU,cACVjwB,MAAO,CACL3sD,QAAS,qCACTg7E,MAAO,UAET,4BAA6B,CAC3Bh7E,QAAS,aACTg7E,MAAO,YAETe,SAAU,IACVnuF,SACE,ilIACFsvF,SACE,mIACFhuF,OAAQ,CACN8Q,QAAS,6BACT67E,YAAY,GAEdvsF,QAAS,mCACT0sF,YAAa,SAEjB,CAzDA/wF,EAAOC,QAAUwrG,EACjBA,EAAWhnG,YAAc,aACzBgnG,EAAWza,QAAU,0BCCrB,SAAS2a,EAAO5c,GACdA,EAAMY,UAAUgc,OAAS,CACvB3sF,QAAS,CACPjK,QAAS,UACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,0BACTo8E,QAAQ,GAEV,cAAe,CACbp8E,QACE,4HACFo8E,QAAQ,EACRpB,MAAO,YAGT,iBAAkB,CAChBh7E,QAAS,OACTg7E,MAAO,QAET,mBAAoB,CAClBh7E,QACE,8HACFg7E,MAAO,QAET,eAAgB,CACdh7E,QACE,+HACFg7E,MAAO,WAET,qBAAsB,CACpBh7E,QAAS,6DACTg7E,MAAO,WAET,iBAAkB,CAChBh7E,QAAS,kDACTg7E,MAAO,YAGT9rF,OAAQ,0CACR6sF,SAAU,eACVC,YAAa,SAEjB,CA/CA/wF,EAAOC,QAAU0rG,EACjBA,EAAOlnG,YAAc,SACrBknG,EAAO3a,QAAU,0BCCjB,SAAS4a,EAAO7c,IACb,SAAWA,GACVA,EAAMY,UAAUic,OAAS7c,EAAMY,UAAUzvF,OAAO,QAAS,CACvD2wF,QAAS,CAEP97E,QACE,2bACF67E,YAAY,GAEdiB,SAAU,CACR,CACE98E,QAAS,iCACTo8E,QAAQ,GAEV,CACEp8E,QAAS,mCACT67E,YAAY,EACZO,QAAQ,IAGZltF,OACE,kIACF6sF,SACE,gHAEG/B,EAAMY,UAAUic,OAAO,cAC9B,IAAIC,EAAsB,CACxB,4BAA6B,CAC3B92F,QAAS,aACTg7E,MAAO,eAETwG,WAAY,CACVxhF,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUic,SAG5B7c,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAE/C,iBAAkB,CAChB,CACEjiC,QAAS,2CACTg7E,MAAO,YACPqB,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,8BACTq8E,OAAQya,GAEVpnD,OAAQ,YAGZ,CACE1vC,QAAS,iDACTg7E,MAAO,aACPqB,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,qDACT67E,YAAY,EACZQ,OAAQya,GAEVpnD,OAAQ,aAId5sC,KAAM,CAEJ9C,QAAS,6CACTo8E,QAAQ,YAGLpC,EAAMY,UAAUic,OAAe,OACtC7c,EAAMY,UAAU34C,aAAa,SAAU,UAAW,CAChDg7C,WAAY,CACVj9E,QAAS,sCACTg7E,MAAO,aAGXhB,EAAMY,UAAU34C,aAAa,SAAU,WAAY,CACjDzoC,MAAO,CACLwG,QAAS,gBACTg7E,MAAO,YAGXhB,EAAMY,UAAUmc,GAAK/c,EAAMY,UAAUic,OACrC7c,EAAMY,UAAUoc,IAAMhd,EAAMY,UAAUic,MACvC,CAnFA,CAmFE7c,EACL,CAxFA/uF,EAAOC,QAAU2rG,EACjBA,EAAOnnG,YAAc,SACrBmnG,EAAO5a,QAAU,CAAC,KAAM,8BCCxB,SAASgb,EAAMjd,IAEZ,SAAWA,GAMV,IAAIkd,EAAQ,sDAAsD7tF,OAQlE,SAAS8tF,EAAOn3F,EAASm+C,GACvB,OAAOq+B,OAAOx8E,EAAQnP,QAAQ,WAAYqmG,GAAQ/4C,EACpD,CACA67B,EAAMY,UAAUqc,MAAQ,CACtBhtF,QAAS,CACPjK,QAAS,QAEXo3F,OAAQ,CACNp3F,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,0BACTo8E,QAAQ,GAEV9sF,QAAS,CACP0Q,QAASm3F,EAAO,kEAAyC9tF,QACzDwyE,YAAY,GAEd,gBAAiB,CACf77E,QAASm3F,EAAO,yEAA2C9tF,QAC3DwyE,YAAY,EACZb,MAAO,WAET,kBAAmB,CACjBh7E,QAASm3F,EAAO,uDAAmC9tF,QACnDwyE,YAAY,EACZb,MAAO,WAETruF,KAAM,CACJ,CACEqT,QAASm3F,EACP,6JACG9tF,QAELwyE,YAAY,EACZb,MAAO,WAET,CACEh7E,QAASm3F,EACP,8JAA0D9tF,QAE5DwyE,YAAY,EACZb,MAAO,cAQXc,QAAS,CACP97E,QAASm3F,EACP,84BACG9tF,QAELwyE,YAAY,GAGdnxF,KAAM,CAEJsV,QAASm3F,EACP,2EACG9tF,QAELwyE,YAAY,GAGd3sF,OAAQ,CACN8Q,QAASm3F,EACP,2FACG9tF,OACH,KAEFwyE,YAAY,GAGdG,YAAa,iBAMb,gBAAiB,CACfh8E,QAAS,0BACTg7E,MAAO,aAGXhB,EAAMY,UAAUyc,IAAMrd,EAAMY,UAAUqc,KACvC,CAvGA,CAuGEjd,EACL,CA7GA/uF,EAAOC,QAAU+rG,EACjBA,EAAMvnG,YAAc,QACpBunG,EAAMhb,QAAU,CAAC,8BCCjB,SAASqb,EAAMtd,GACbA,EAAMY,UAAU0c,MAAQ,CACtBrtF,QAAS,CACPjK,QAAS,SACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QACE,mGACFo8E,QAAQ,GAEV+U,KAAM,CACJnxF,QAAS,sBACT67E,YAAY,EACZb,MAAO,WAETgC,QAAS,CACPh9E,QAAS,qBACTg7E,MAAO,WAET,aACE,2EACFc,QACE,ibACFxsF,QAAS,0BACTwtF,SAAU,uBACVpwB,SAAU,CACR,CAEE1sD,QACE,2QACFg7E,MAAO,UAET,CAEEh7E,QACE,2GACFg7E,MAAO,WAGX9rF,OACE,uHACF6sF,SAAU,kCACVC,YAAa,iBAEjB,CAhDA/wF,EAAOC,QAAUosG,EACjBA,EAAM5nG,YAAc,QACpB4nG,EAAMrb,QAAU,yBCChB,SAASsb,EAAMvd,IACZ,SAAWA,GACV,IAAIwd,EAAc,6BACdC,EAAY,CACd,mBAAoB,CAClBz3F,QAASw3F,EACTxc,MAAO,UAGXhB,EAAMY,UAAU2c,MAAQ,CACtBttF,QAAS,MAETytF,MAAO,CACL13F,QACE,mEACF67E,YAAY,GAMd8b,SAAU,CACR,CACE33F,QACE,0FACFq8E,OAAQob,EACRzc,MAAO,UAET,CACEh7E,QACE,4FACF67E,YAAY,EACZQ,OAAQob,EACRzc,MAAO,WAOXc,QAAS,CACP97E,QACE,wFACF67E,YAAY,GAEd5uB,IAAK,CACHjtD,QAAS,uBACT67E,YAAY,GAMd+b,SAAU,CACR53F,QACE,4JACF67E,YAAY,EACZb,MAAO,cAET8B,SAAU,CACR98E,QAASw3F,EACTxc,MAAO,YAETgB,YAAa,YAEfhC,EAAMY,UAAUid,IAAM7d,EAAMY,UAAU2c,MACtCvd,EAAMY,UAAUze,QAAU6d,EAAMY,UAAU2c,KAC3C,CAlEA,CAkEEvd,EACL,CAvEA/uF,EAAOC,QAAUqsG,EACjBA,EAAM7nG,YAAc,QACpB6nG,EAAMtb,QAAU,CAAC,MAAO,wCCHxB,IAAIsM,EAA4Bv7F,EAAQ,MACpC8qG,EAAe9qG,EAAQ,MAI3B,SAAS+qG,EAAM/d,GACbA,EAAMU,SAAS6N,GACfvO,EAAMU,SAASod,GACd,SAAW9d,GACVA,EAAMY,UAAUmd,MAAQ,CACtB9tF,QAAS,eACT,YAAa,CAEXjK,QAAS,kDACT67E,YAAY,EACZb,MAAO,aAET+G,UAAW,CACT/hF,QAAS,aACTg7E,MAAO,eAETgd,IAAK,CACHh4F,QAAS,mBACTg7E,MAAO,eACPqB,OAAQrC,EAAMY,UAAUod,MAG5B,IAAIC,EAAcje,EAAMY,UAAUzvF,OAAO,SAAU,CAAC,GACpD6uF,EAAMY,UAAU34C,aACd,SACA,aACA,CACE,SAAU,CACRjiC,QAAS,qDACTq8E,OAAQ,CACN,YAAa,CACXr8E,QAAS,WACTg7E,MAAO,aAET,aAAc,CACZh7E,QAAS,WACTq8E,OAAQ,CACNL,YAAa,CACX,KACA,CACEh8E,QAAS,mBACT67E,YAAY,IAGhBmc,IAAK,CACHh4F,QAAS,mBACTq8E,OAAQrC,EAAMY,UAAUod,UAOpCC,EAAYnjD,KAEdklC,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3C,GAAqB,UAAjBA,EAAI5hF,SAAR,CAKAsgF,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,QAHA,wHAMFA,EAAIX,QAAUsd,CARd,CASF,IACAje,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,QACjE,GACD,CApEA,CAoEEtB,EACL,CA3EA/uF,EAAOC,QAAU6sG,EACjBA,EAAMroG,YAAc,QACpBqoG,EAAM9b,QAAU,0BCAhB,SAASic,EAAKle,GAQZA,EAAMY,UAAUsd,KAAOle,EAAMY,UAAUzvF,OAAO,MAAO,CACnD8e,QAAS,CACP,mBACA,CACEjK,QAAS,kBACT67E,YAAY,IAGhB0L,OAAQ,CACNvnF,QACE,0EACFq8E,OAAQ,CACNL,YAAa,UAIjBpwF,SAAU,CACRoU,QACE,0GACFq8E,OAAQ,CAENO,SAAU,aAGdhvF,SAAU,0CACVmuF,SAAU,aAEZ/B,EAAMY,UAAU34C,aAAa,OAAQ,WAAY,CAC/C26C,SAAU,CAER,CACE58E,QAAS,cACTq8E,OAAQ,CACNL,YAAa,MAGjB,aAEF,cAAe,CACbh8E,QAAS,sCACT67E,YAAY,EACZb,MAAO,aAGb,CAvDA/vF,EAAOC,QAAUgtG,EACjBA,EAAKxoG,YAAc,OACnBwoG,EAAKjc,QAAU,gCCHf,IAAIkc,EAAkBnrG,EAAQ,MAI9B,SAASorG,EAASpe,GAChBA,EAAMU,SAASyd,GACd,SAAWne,GAMV,IALA,IAAIqe,EACF,mGACGhvF,OAGI5d,EAAI,EAAGA,EADQ,EACeA,IACrC4sG,EAAmBA,EAAiBxnG,QAAQ,WAAW,WACrD,OAAOwnG,CACT,IAEFA,EAAmBA,EAAiBxnG,QAAQ,UAAW,UAAUwY,QACjE,IAAI+uF,EAAYpe,EAAMY,UAAUwd,SAAW,CACzCnuF,QAAS,8BACT,kBAAmB,CACjBjK,QAASw8E,OACP,8DAA8DnzE,OAAOxY,QACnE,WACA,WACE,OAAOwnG,CACT,IAEF,KAEFxc,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNx3E,OAAQ,CACN7E,QAAS,eACT67E,YAAY,EACZb,MAAO,kBACPqB,OAAQ,CACN,oBAAqB,CACnBr8E,QAAS,iBACTo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,YACboc,SAAU,CACRp4F,QAAS,UACTg7E,MAAO,oBACPqB,OAAQ,QAIdiD,KAAMtF,EAAMY,UAAU/1E,SAG1Bm3E,YAAa,MAGjBtsC,OAAQ,CACN1vC,QAAS,oBACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QAAS,mBACT67E,YAAY,GAEdC,QAAS,CACP97E,QAAS,iBACTq8E,OAAQ,CACNL,YAAa,QAGjBD,SAAU,aACVC,YAAa,CACXh8E,QACE,uGACF67E,YAAY,GAEd3sF,OAAQ,qBAEVkpG,EAAS,mBAAmB/b,OAAe,OAAEA,OAC3C,qBACAA,OAAiB,SAAEA,OAAS+b,EAC9Bpe,EAAMY,UAAU0d,GAAKF,CACtB,CA5EA,CA4EEpe,EACL,CAlFA/uF,EAAOC,QAAUktG,EACjBA,EAAS1oG,YAAc,WACvB0oG,EAASnc,QAAU,gCCHnB,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAASurG,EAAOve,GACdA,EAAMU,SAAS6N,GACfvO,EAAMY,UAAU2d,OAAS,CACvBtuF,QAAS,CACPjK,QAAS,2DACT67E,YAAY,GAEdkG,UAAW,CACT/hF,QAAS,0CACTg7E,MAAO,eAETtrC,OAAQ,CACN1vC,QAAS,kBACTo8E,QAAQ,GAEVN,QACE,oOACFv3E,OACE,ywBACFu4E,SAAU,CACR,CACE98E,QAAS,aACT67E,YAAY,EACZb,MAAO,UAET,CAEEh7E,QAAS,6BACT67E,YAAY,IAGhBvsF,QAAS,yBACTw9D,MAAO,CACL9sD,QAAS,OACTg7E,MAAO,YAGT9rF,OAAQ,oBACR6sF,SAAU,wDACVC,YAAa,aACbnsF,MAAO,CACLmQ,QAAS,YACTg7E,MAAO,YAGXhB,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3C,IAEIkd,GAAY,EAChBxe,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,SAJA,uGAMA,SAAUtvF,GACR,IAAIysG,EAAW,iBAAiBpsG,KAAKL,GACrC,GAAIysG,EAAU,CACZ,IAAI3jD,EAAM2jD,EAAS,GACnB,GAAY,QAAR3jD,IAAkB0jD,EAEpB,OADAA,GAAY,GACL,EACF,GAAY,WAAR1jD,EAET,OADA0jD,GAAY,GACL,CAEX,CACA,OAAQA,CACV,GAEJ,IACAxe,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,SACjE,GACF,CA3EArwF,EAAOC,QAAUqtG,EACjBA,EAAO7oG,YAAc,SACrB6oG,EAAOtc,QAAU,0BCCjB,SAASyc,EAAK1e,IACX,SAAWA,GAQV,SAAS2e,EAAYjuG,GACnB,OAAO8xF,OAAO,OAAOnzE,OAAS,MAAQ3e,EAAO,IAAM,aAAa2e,OAClE,CAOA,SAASuvF,EAAU54F,GACjB,OAAOw8E,OACL,WAAWnzE,OAAS,MAAQrJ,EAAU,IAAM,YAAYqJ,OAE5D,CAGA,IAAIsmC,EAAS,6BAA6BtmC,OACtCwvF,EAAS,IAAMlpD,EACfmpD,EAAM,QAEN5oG,EAAQ,UACR6oG,EACF,oFACG1vF,OACD3P,EAAW,CAGbs/F,QAAS,CACPh5F,QAAS,QACTg7E,MAAO,CAAC,UAAW,UAErB/wE,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,oBACTo8E,QAAQ,EACRC,OAAQ,CACNgE,SAAU,oBACV1wC,OAAQ6sC,OAAO,IAAM7sC,EAAS,OAGlC,gBAAiB,CACf3vC,QAASw8E,OAAO,MAAQ7sC,GACxBqrC,MAAO,CAAC,WAAY,WAEtB,gBAAiB,CACfh7E,QAASw8E,OAAO,IAAM7sC,GACtBqrC,MAAO,YAETlqD,OAAQ,CACN9wB,QAASw8E,OAAO,MAAQ7sC,GACxBqrC,MAAO,CAAC,SAAU,aAEpBc,QAAS,CACP,CACE97E,QAASw8E,OACPsc,EACE,8IACA5oG,GAEJ2rF,YAAY,GAEd,CACE77E,QAASw8E,OACPsc,EACE,wDACA5oG,GAEJ2rF,YAAY,IAGhBt4E,QAAS,CACPvD,QAAS24F,EAAY,UAAUtvF,QAC/BwyE,YAAY,EACZb,MAAO,WAETie,YAAa,CACXj5F,QAAS24F,EAAY,cAActvF,QACnCwyE,YAAY,EACZb,MAAO,WAET1rF,QAAS,CACP0Q,QAAS44F,EAAU,QAAQvvF,QAC3BwyE,YAAY,GAEd3sF,OAAQ,CACN8Q,QAAS44F,EAAU,qBAAqBvvF,QACxCwyE,YAAY,GAEdqd,OAAQ,CACNl5F,QAASw8E,OAAOsc,EAAM,oCAAsCnpD,GAC5DksC,YAAY,EACZQ,OAAQ,CACNP,QAAS,aACTc,SAAUJ,OAAO7sC,KAGrBwpD,MAAO,CACLn5F,QAASw8E,OACPsc,EACE,mCAAmCzvF,OACnCsmC,EACA,QAAQtmC,OACR0vF,EACA,KAAK1vF,QAETwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNP,QAAS,kBAGTtwF,UAAW,KACXsxF,SAAU,CACR98E,QAASw8E,OAAO,SAAW7sC,GAC3BksC,YAAY,GAEdG,YAAa,SAGjBod,OAAQ,CACNp5F,QAASw8E,OACPsc,EACE,yBACAnpD,EACA,YACAA,EACA,eAEJksC,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNP,QAAS,UAGTtwF,UAAW,KACXwwF,YAAa,SAGjBqd,IAAK,CACHr5F,QAASw8E,OAAOsc,EAAMnpD,GACtBksC,YAAY,GAEdG,YAAa,CAEX,uBACA,CACEh8E,QAAS,eACT67E,YAAY,KAIdyd,EAAM,CACR,cAAe9c,OAAOqc,GACtBU,QAAS,CACPv5F,QAASw8E,OACP,KAAKnzE,OAASsmC,EAAS,YAAYtmC,OAAS0vF,EAAY,KAAK1vF,QAE/DgzE,OAAQ3iF,GAEV2mF,SAAU,CACRrgF,QAASw8E,OAAO,YAAYnzE,OAASsmC,GACrCksC,YAAY,EACZb,MAAO,YAETsE,KAAM5lF,GAEJ8/F,EAAQ,oBACRC,EAAU,CACZz5F,QAASw8E,OAAOsc,EAAMC,EArJX,WAsJXld,YAAY,EACZQ,OAAQ,CACN,YAAa,CACXr8E,QAASw8E,OAAO,qBAAuBgd,GACvCnd,OAAQid,GAEV,oBAAqB,CACnBt5F,QAASw8E,OAAO,wBAA0Bgd,GAC1Cnd,OAAQid,GAEV3qE,KAAM,CACJ3uB,QAASw8E,OAAO,WAAagd,EAAQ,8BACrCnd,OAAQid,GAEVjZ,SAAU,CACRrgF,QAASw8E,OAAO7sC,GAChBqrC,MAAO,YAETgB,YAAa,SAGjBtiF,EAAiB,OAAE2iF,OAAO7wF,UAAYiuG,EACtC//F,EAAgB,MAAE2iF,OAAO7wF,UAAYwuF,EAAMe,KAAKxvF,MAAMkuG,GACtD//F,EAAgB,MAAE2iF,OAAO7wF,UAAU6wF,OAAOqd,QAAUD,EACpDzf,EAAMY,UAAU8d,KAAOh/F,EACvBsgF,EAAMY,UAAU+e,MAAQjgG,EACxBsgF,EAAMY,UAAUgf,MAAQlgG,EACxBsgF,EAAMY,UAAU,cAAgBlhF,CACjC,CA7MA,CA6MEsgF,EACL,CAlNA/uF,EAAOC,QAAUwtG,EACjBA,EAAKhpG,YAAc,OACnBgpG,EAAKzc,QAAU,0BCCf,SAAS4d,EAAW7f,GAClBA,EAAMY,UAAUif,WAAa,CAC3B5vF,QAAS,CACP,CACEjK,QAAS,4BACT67E,YAAY,GAEd,CACE77E,QAAS,eACT67E,YAAY,IAGhB,sBAAuB,CAGrB77E,QAAS,kDACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNO,SAAU,CACR58E,QAAS,sCACT67E,YAAY,GAEd0F,cAAe,CACbvhF,QAAS,uBACT67E,YAAY,EACZQ,OAAQ,CACN,4BAA6B,CAC3Br8E,QAAS,WACTg7E,MAAO,cAIbtrC,OAAQ,YAGZA,OAAQ,CACN,CACE1vC,QAAS,qCACTo8E,QAAQ,GAEV,CACEp8E,QAAS,iBACTo8E,QAAQ,GAEV,kBAEFiB,MAAO,CACL,CACEr9E,QAAS,8DACTo8E,QAAQ,EACRC,OAAQ,CACNpyE,QAAS,CACPjK,QAAS,eACT67E,YAAY,KAIlB,CACE77E,QAAS,uDACTo8E,QAAQ,IAGZN,QAAS,CACP97E,QACE,wQACF67E,YAAY,GAEd,mBAAoB,CAClB77E,QACE,+JACF67E,YAAY,EACZb,MAAO,YAET1rF,QAAS,CACP0Q,QAAS,iDACT67E,YAAY,GAEdwE,SAAU,CAERrgF,QAAS,8BACT67E,YAAY,EACZb,MAAO,YAET9rF,OAAQ,2DACRivF,WAAY,4BACZpC,SAAU,CAER,CACE/7E,QAAS,aACT67E,YAAY,GAwBd,qLAEFG,YAAa,oBAEfhC,EAAMY,UAAUif,WAAW,uBAAuBxd,OACjC,cACfA,OAAOiD,KAAOtF,EAAMY,UAAUif,UAClC,CA5HA5uG,EAAOC,QAAU2uG,EACjBA,EAAWnqG,YAAc,aACzBmqG,EAAW5d,QAAU,0BCCrB,SAAS6d,EAAK9f,IACX,SAAWA,GACVA,EAAMY,UAAUkf,KAAO,CACrB7vF,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,UACTo8E,QAAQ,GAEV9sF,QAAS,qBACTstF,SAAU,iDACVpjF,MAAO,oCACP7M,KAAM,CACJqT,QACE,iGACFg7E,MAAO,cAETc,QAAS,uBACT5sF,OACE,gIACF8sF,YAAa,oBAEhB,CApBA,CAoBEhC,EACL,CAzBA/uF,EAAOC,QAAU4uG,EACjBA,EAAKpqG,YAAc,OACnBoqG,EAAK7d,QAAU,yBCCf,SAAS17B,EAAIy5B,GAKXA,EAAMY,UAAUr6B,IAAM,CACpB7Q,OAAQ,CAEN1vC,QAAS,2DACTo8E,QAAQ,GAEV2d,UAAW,CACT/5F,QACE,uIACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,CAAC,iBAAkB,2BAC1BqB,OAAQrC,EAAMY,UAA0B,gBAAK,CAC3CkB,QAAS,SACTgB,SAAU,qBACVd,YAAa,WAGjBmH,MAAO,CACL,CACEnjF,QACE,6EACFg7E,MAAO,CAAC,QAAS,cAEnB,CACEh7E,QAAS,2BACTg7E,MAAO,CAAC,UAAW,cAErB,CACEh7E,QAAS,yCACTg7E,MAAO,CAAC,OAAQ,YAElB,CACEh7E,QAAS,yBACTg7E,MAAO,CAAC,QAAS,YAEnB,CACEh7E,QAAS,6CACTg7E,MAAO,CAAC,QAAS,aAGrBptF,SAAU,CACRoS,QACE,wFACF67E,YAAY,GAEdme,UAAW,CACTh6F,QAAS,oCACT67E,YAAY,EACZb,MAAO,WAET/tB,IAAK,oDACLN,MAAO,CACL3sD,QAAS,6DACT67E,YAAY,EACZb,MAAO,OAET,aAAc,CACZh7E,QAAS,kCACTg7E,MAAO,YAET,cAAe,CACbh7E,QAAS,sCACTg7E,MAAO,YAETif,OAAQ,CACNj6F,QACE,qEACF67E,YAAY,EACZb,MAAO,YAETkf,KAAM,CACJl6F,QACE,oEACFg7E,MAAO,YAETgM,KAAM,CACJhnF,QAAS,6BACTg7E,MAAO,YAET,YAAa,CACXh7E,QACE,qFACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,UAETvuB,KAAM,CACJzsD,QAASw8E,OACP,4DAA4DnzE,OAC1D,IACA,4FACGA,OACH,IACA,uKACGA,OACL,KAEF2xE,MAAO,UAEThuB,KAAM,CACJhtD,QACE,qEACFg7E,MAAO,UAET1rF,QAAS,2BACTJ,OAAQ,CACN8Q,QACE,yGACF67E,YAAY,GAEdE,SAAU,6BACVC,YAAa,WAEjB,CA1HA/wF,EAAOC,QAAUq1D,EACjBA,EAAI7wD,YAAc,MAClB6wD,EAAI07B,QAAU,0BCCd,SAASke,EAAQngB,GACfA,EAAMY,UAAUuf,QAAU,CACxBlwF,QAAS,CAAC,2BAA4B,WACtCylC,OAAQ,CACN1vC,QAAS,kBACTq8E,OAAQ,CACNO,SAAU,aACVjtC,OAAQ,CAAC,iBAAkB,cAAe,aAE5CysC,QAAQ,GAEVltF,OAAQ,sCACRygD,OAAQ,CACN3vC,QAAS,iEACT67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,YAGbtiF,MAAO,CACLwG,QAAS,iDACT67E,YAAY,EACZb,MAAO,UAET8B,SAAU,CACR98E,QAAS,6CACT67E,YAAY,GAEdC,QAAS,CACP,CACE97E,QACE,sRACF67E,YAAY,GAEd,gBAEFvsF,QAAS,CACP0Q,QAAS,+BACT67E,YAAY,GAEde,SAAU,CACR58E,QAAS,qBACT67E,YAAY,GAEdE,SAAU,CACR/7E,QACE,uHACF67E,YAAY,GAEdG,YAAa,mBAEjB,CAtDA/wF,EAAOC,QAAUivG,EACjBA,EAAQzqG,YAAc,UACtByqG,EAAQle,QAAU,0BCClB,SAASyO,EAAI1Q,GACXA,EAAMY,UAAU8P,IAAM,CACpBzgF,QAAS,yCAETylC,OAAQ,CACN1vC,QACE,qFACFo8E,QAAQ,GAEVltF,OACE,gHACF4sF,QACE,0HACFgB,SAAU,2BACVf,SAAU,CACR,wCACA,CAEE/7E,QAAS,qBACT67E,YAAY,IAGhBG,YAAa,sBAEjB,CA3BA/wF,EAAOC,QAAUw/F,EACjBA,EAAIh7F,YAAc,MAClBg7F,EAAIzO,QAAU,0BCCd,SAASme,EAAMpgB,GACbA,EAAMY,UAAUwf,MAAQ,CACtBjuF,OAAQ,CACNnM,QACE,2GACF67E,YAAY,EACZO,QAAQ,GAEVnyE,QAAS,CACPjK,QAAS,0BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,kCACT67E,YAAY,EACZO,QAAQ,GAGVN,QACE,ggBACFxsF,QAAS,qBACT+qG,UAAW,CACTr6F,QAAS,uBACTg7E,MAAO,cAET8B,SAAU,wBACV5tF,OAAQ,CACN8Q,QACE,uFACF67E,YAAY,GAEdE,SAAU,0BACVC,YAAa,kBAEjB,CArCA/wF,EAAOC,QAAUkvG,EACjBA,EAAM1qG,YAAc,QACpB0qG,EAAMne,QAAU,0BCChB,SAASqe,EAAStgB,GAChBA,EAAMY,UAAU0f,SAAW,CACzBrwF,QAAS,CACPjK,QAAS,6CACT67E,YAAY,GAEdnsC,OAAQ,CACN1vC,QAAS,iDACTo8E,QAAQ,GAEV,iBAAkB,CAChBp8E,QAAS,gCACTg7E,MAAO,WAETxwF,OAAQ,CACNwV,QAAS,8CACTg7E,MAAO,SACPqB,OAAQ,CACNO,SAAU,wCAGdA,SAAU,wDAEVd,QACE,6HACFgB,SAAU,CACR98E,QACE,wQACF67E,YAAY,GAEdE,SAAU,uBACVC,YAAa,WAEjB,CApCA/wF,EAAOC,QAAUovG,EACjBA,EAAS5qG,YAAc,WACvB4qG,EAASre,QAAU,0BCCnB,SAASqR,EAAStT,IACf,SAAWA,GAEV,IAAIugB,EAAQ,2CAA2ClxF,OAWvD,SAASmxF,EAAax6F,GAIpB,OAHAA,EAAUA,EAAQnP,QAAQ,YAAY,WACpC,OAAO0pG,CACT,IACO/d,OAAO,0BAA0BnzE,OAAS,MAAQrJ,EAAU,IACrE,CACA,IAAIy6F,EAAY,4DACbpxF,OACCijF,EACF,+CAA+CjjF,OAAOxY,QACpD,OACA,WACE,OAAO4pG,CACT,IAEAC,EACF,sEACGrxF,OACL2wE,EAAMY,UAAU0S,SAAWtT,EAAMY,UAAUzvF,OAAO,SAAU,CAAC,GAC7D6uF,EAAMY,UAAU34C,aAAa,WAAY,SAAU,CACjD,qBAAsB,CACpBjiC,QAAS,kDACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNL,YAAa,YACb,eAAgB,CACdh8E,QAAS,iBACTg7E,MAAO,CAAC,OAAQ,iBAChBqB,OAAQrC,EAAMY,UAAU+f,QAI9BC,WAAY,CAEV56F,QAAS,kBACTg7E,MAAO,eAET4D,MAAO,CACL5+E,QAASw8E,OACP,IAAM8P,EAAWoO,EAAY,MAAQpO,EAAW,KAChD,KAEFjQ,OAAQ,CACN,kBAAmB,CACjBr8E,QAASw8E,OACP,KAAO8P,EAAWoO,EAAY,OAASpO,EAAW,OAEpDzQ,YAAY,EACZQ,OAAQ,CACN,aAAc,CACZr8E,QAASw8E,OAAOie,GAChBpe,OAAQrC,EAAMY,UAAU0S,UAE1BtR,YAAa,OAGjB,aAAc,CACZh8E,QAASw8E,OAAO,KAAO8P,EAAW,IAAMoO,EAAY,KACpD7e,YAAY,EACZQ,OAAQ,CACNL,YAAa,iBAGjB,mBAAoB,CAClBh8E,QAASw8E,OAAO,IAAM8P,EAAW,KACjCjQ,OAAQ,CACN,eAAgB,CACdr8E,QAASw8E,OAAOie,GAChBzf,MAAO,YACPqB,OAAQrC,EAAMY,UAAU0S,UAE1BtR,YAAa,SAKrB94E,KAAM,CACJ,CAEElD,QACE,uFACF67E,YAAY,EACZb,MAAO,WAET,CAIEh7E,QAAS,qBACTo8E,QAAQ,EACRC,OAAQ,CACN,aAAc,CACZr8E,QAAS,qDACT67E,YAAY,GAEd,gBAAiB,CACf77E,QAAS,WACT67E,YAAY,GAEdG,YAAa,SAInBn6E,MAAO,CACL,CAKE7B,QAAS,0CACTg7E,MAAO,YACPqB,OAAQ,CACNL,YAAa,cAGjB,CAGEh8E,QAAS,aACT67E,YAAY,EACZb,MAAO,YACPqB,OAAQ,CACNL,YAAa,aAInBtgC,GAAI,CAKF17C,QAAS,wCACT67E,YAAY,EACZb,MAAO,eAETrhF,KAAM,CAKJqG,QAAS,mCACT67E,YAAY,EACZb,MAAO,eAET,gBAAiB,CAKfh7E,QACE,qHACFq8E,OAAQ,CACNO,SAAU,CACR58E,QAAS,gBACT67E,YAAY,GAEdnsC,OACE,+DACFssC,YAAa,kBAEfhB,MAAO,OAETmE,KAAM,CAIJn/E,QAASw6F,EACP,kGACGnxF,QAELwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNjuF,QAAS,CACP4R,QAAS,sBACT67E,YAAY,EACZQ,OAAQ,CAAC,GAEXL,YAAa,YAGjBkD,OAAQ,CAINl/E,QAASw6F,EACP,kGACGnxF,QAELwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNjuF,QAAS,CACP4R,QAAS,oBACT67E,YAAY,EACZQ,OAAQ,CAAC,GAEXL,YAAa,SAGjB6e,OAAQ,CAIN76F,QAASw6F,EAAa,2BAA2BnxF,QACjDwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNjuF,QAAS,CACP4R,QAAS,uBACT67E,YAAY,EACZQ,OAAQ,CAAC,GAEXL,YAAa,QAGjB,eAAgB,CAGdh8E,QACE,mEACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,CAAC,OAAQ,YAElB/tB,IAAK,CAIHjtD,QAASw6F,EACP,mGACGnxF,QAELwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNN,SAAU,KACV3tF,QAAS,CACP4R,QAAS,oBACT67E,YAAY,EACZQ,OAAQ,CAAC,GAEXO,SAAU,CACR58E,QAAS,6BACT67E,YAAY,GAEd5uB,IAAK,CACHjtD,QAAS,iBACT67E,YAAY,GAEdnsC,OAAQ,CACN1vC,QAAS,oCACT67E,YAAY,OAKnB,CAAC,MAAO,OAAQ,SAAU,UAAUhtD,SAAQ,SAAU7e,GACpD,CAAC,MAAO,OAAQ,SAAU,SAAU,gBAAgB6e,SAAQ,SAC3DwtD,GAEIrsE,IAAUqsE,IACZrC,EAAMY,UAAU0S,SAASt9E,GAAOqsE,OAAOjuF,QAAQiuF,OAAOA,GACpDrC,EAAMY,UAAU0S,SAASjR,GAE/B,GACF,IACArC,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GACrB,aAAjBA,EAAI5hF,UAA4C,OAAjB4hF,EAAI5hF,UAGvC,SAASi7F,EAAWvZ,GAClB,GAAKA,GAA4B,kBAAXA,EAGtB,IAAK,IAAI3vF,EAAI,EAAGgpD,EAAI2mC,EAAO1vF,OAAQD,EAAIgpD,EAAGhpD,IAAK,CAC7C,IAAIukB,EAAQorE,EAAO3vF,GACnB,GAAmB,SAAfukB,EAAMrjB,KAAV,CAiBA,IAAImuG,EAAW9qF,EAAM5hB,QAAQ,GACzB2sG,EAAY/qF,EAAM5hB,QAAQ,GAC9B,GACE0sG,GACAC,GACkB,kBAAlBD,EAASnuG,MACU,eAAnBouG,EAAUpuG,MACkB,kBAArBmuG,EAAS1sG,QAChB,CAGA,IAAIqL,EAAOqhG,EAAS1sG,QACjByC,QAAQ,OAAQ,SAChBA,QAAQ,UAAW,MAElBmqF,EAAQ,aADZvhF,GAAQ,eAAepN,KAAKoN,IAAS,CAAC,KAAK,GAAG/L,eAEzCqtG,EAAU/f,MAEuB,kBAApB+f,EAAU/f,MAC1B+f,EAAU/f,MAAQ,CAAC+f,EAAU/f,MAAOA,GAEpC+f,EAAU/f,MAAMtuF,KAAKsuF,GAJrB+f,EAAU/f,MAAQ,CAACA,EAMvB,CArCA,MAFE2Z,EAAW3kF,EAAM5hB,QAwCrB,CACF,CACAumG,CAAWrZ,EAAIF,OACjB,IACApB,EAAMyB,MAAMzqC,IAAI,QAAQ,SAAUsqC,GAChC,GAAiB,eAAbA,EAAI3uF,KAAR,CAIA,IADA,IAAImuG,EAAW,GACNrvG,EAAI,EAAGgpD,EAAI6mC,EAAIE,QAAQ9vF,OAAQD,EAAIgpD,EAAGhpD,IAAK,CAClD,IAAIuvG,EAAM1f,EAAIE,QAAQ/vF,GAClBO,EAAQ,gBAAgBK,KAAK2uG,GACjC,GAAIhvG,EAAO,CACT8uG,EAAW9uG,EAAM,GACjB,KACF,CACF,CACA,IAAI2uF,EAAUX,EAAMY,UAAUkgB,GAC9B,GAAKngB,EAoBHW,EAAIltF,QAAU4rF,EAAMa,UA4BxB,SAAqBrrF,GAEnB,IAAIyV,EAAOzV,EAAKqB,QAAQoqG,EAAY,IAmBpC,OAlBAh2F,EAAOA,EAAKpU,QAAQ,iCAAiC,SAAUiZ,EAAG5G,GAG9D,IAAIrY,EADN,GAAgB,OADhBqY,EAAOA,EAAKxV,eACH,GAOP,OAJE7C,EADc,MAAZqY,EAAK,GACCqL,SAASrL,EAAK5W,MAAM,GAAI,IAExB+C,OAAO6T,EAAK5W,MAAM,IAErB4uG,EAAcrwG,GAErB,IAAIswG,EAAQC,EAAmBl4F,GAC/B,OAAIi4F,GAGGrxF,CAEX,GAEF,CAjDMqB,CAAYmwE,EAAIltF,QAAQvD,OACxB8vF,EACAmgB,QAtBF,GAAIA,GAAyB,SAAbA,GAAuB9gB,EAAMqhB,QAAQC,WAAY,CAC/D,IAAI9uG,EACF,OACA,IAAI+5D,MAAO3O,UACX,IACA3wC,KAAKs0F,MAAsB,KAAhBt0F,KAAKgsD,UAClBqoB,EAAIpmF,WAAe,GAAI1I,EACvBwtF,EAAMqhB,QAAQC,WAAWE,cAAcV,GAAU,WAC/C,IAAIW,EAAMzwF,SAAS0wF,eAAelvG,GAC9BivG,IACFA,EAAIvwF,UAAY8uE,EAAMa,UACpB4gB,EAAItwF,YACJ6uE,EAAMY,UAAUkgB,GAChBA,GAGN,GACF,CA7BF,CAqCF,IACA,IAAIG,EAAaze,OAAOxC,EAAMY,UAAUR,OAAOtlC,IAAI90C,QAAQqJ,OAAQ,MAQ/D+xF,EAAqB,CACvBO,IAAK,IACLC,GAAI,IACJC,GAAI,IACJC,KAAM,KAEJZ,EAAgBjsG,OAAOisG,eAAiBjsG,OAAOwe,aA8BnDusE,EAAMY,UAAUh2B,GAAKo1B,EAAMY,UAAU0S,QACtC,CAtaA,CAsaEtT,EACL,CA3aA/uF,EAAOC,QAAUoiG,EACjBA,EAAS59F,YAAc,WACvB49F,EAASrR,QAAU,CAAC,6BCCpB,SAASyM,EAAiB1O,IACvB,SAAWA,GAQV,SAAS8Z,EAAep6F,EAAUnN,GAChC,MAAO,MAAQmN,EAAS1I,cAAgBzE,EAAQ,KAClD,CACAlD,OAAO0yG,iBAAkB/hB,EAAMY,UAAU,qBAAuB,CAAC,EAAI,CACnE+N,kBAAmB,CAYjB99F,MAAO,SAAUywF,EAAK5hF,EAAUsiG,EAAoBC,GAClD,GAAI3gB,EAAI5hF,WAAaA,EAArB,CAGA,IAAIwiG,EAAc5gB,EAAI4gB,WAAa,GACnC5gB,EAAIp4E,KAAOo4E,EAAIp4E,KAAKrS,QAAQmrG,GAAoB,SAAUhwG,GACxD,GAA6B,oBAAlBiwG,IAAiCA,EAAcjwG,GACxD,OAAOA,EAIT,IAFA,IACIkU,EADAzU,EAAIywG,EAAWxwG,QAIhB,IADD4vF,EAAIp4E,KAAKpT,QAASoQ,EAAc4zF,EAAep6F,EAAUjO,OAGvDA,EAGJ,OADAywG,EAAWzwG,GAAKO,EACTkU,CACT,IACAo7E,EAAIX,QAAUX,EAAMY,UAAUR,MAjB9B,CAkBF,GAEFwO,qBAAsB,CAOpB/9F,MAAO,SAAUywF,EAAK5hF,GACpB,GAAI4hF,EAAI5hF,WAAaA,GAAa4hF,EAAI4gB,WAAtC,CAGA5gB,EAAIX,QAAUX,EAAMY,UAAUlhF,GAC9B,IAAIk3B,EAAI,EACJjC,EAAOtlC,OAAOslC,KAAK2sD,EAAI4gB,aAC3B,SAASvH,EAAWvZ,GAClB,IAAK,IAAI3vF,EAAI,EAAGA,EAAI2vF,EAAO1vF,UAErBklC,GAAKjC,EAAKjjC,QAFmBD,IAAK,CAKtC,IAAIukB,EAAQorE,EAAO3vF,GACnB,GACmB,kBAAVukB,GACNA,EAAM5hB,SAAoC,kBAAlB4hB,EAAM5hB,QAC/B,CACA,IAAIumD,EAAIhmB,EAAKiC,GACT0iC,EAAIgoB,EAAI4gB,WAAWvnD,GACnBigD,EAAqB,kBAAV5kF,EAAqBA,EAAQA,EAAM5hB,QAC9C8R,EAAc4zF,EAAep6F,EAAUi7C,GACvCpoD,EAAQqoG,EAAE9kG,QAAQoQ,GACtB,GAAI3T,GAAS,EAAG,GACZqkC,EACF,IAAIikE,EAASD,EAAEjoD,UAAU,EAAGpgD,GACxBuoG,EAAS,IAAI9a,EAAMqB,MACrB3hF,EACAsgF,EAAMia,SAAS3gC,EAAGgoB,EAAIX,SACtB,YAAcjhF,EACd45D,GAEEyhC,EAAQH,EAAEjoD,UAAUpgD,EAAQ2T,EAAYxU,QACxCsgD,EAAc,GACd6oD,GACF7oD,EAAYt/C,KAAKoa,MAAMklC,EAAa2oD,EAAW,CAACE,KAElD7oD,EAAYt/C,KAAKooG,GACbC,GACF/oD,EAAYt/C,KAAKoa,MAAMklC,EAAa2oD,EAAW,CAACI,KAE7B,kBAAV/kF,EACTorE,EAAOtqD,OAAOhqB,MAAMs0E,EAAQ,CAAC3vF,EAAG,GAAGmD,OAAOo9C,IAE1Ch8B,EAAM5hB,QAAU49C,CAEpB,CACF,MACEh8B,EAAM5hB,SAGNumG,EAAW3kF,EAAM5hB,QAErB,CACA,OAAOgtF,CACT,CACAuZ,CAAWrZ,EAAIF,OArDf,CAsDF,IAGL,CAlHA,CAkHEpB,EACL,CAvHA/uF,EAAOC,QAAUw9F,EACjBA,EAAiBh5F,YAAc,mBAC/Bg5F,EAAiBzM,QAAU,0BCC3B,SAAS7B,EAAOJ,GACdA,EAAMY,UAAUR,OAAS,CACvBnwE,QAAS,CACPjK,QAAS,8BACTo8E,QAAQ,GAEVgb,OAAQ,CACNp3F,QAAS,iBACTo8E,QAAQ,GAEVtqD,QAAS,CAEP9xB,QACE,uHACFo8E,QAAQ,EACRC,OAAQ,CACN,kBAAmB,CACjBr8E,QAAS,6BACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,MAEV3sC,OAAQ,CACN1vC,QAAS,kBACTo8E,QAAQ,GAEVJ,YAAa,eACb,cAAe,YACftxF,KAAM,eAGVgtG,MAAO,CACL13F,QAAS,4BACTo8E,QAAQ,GAEVtnC,IAAK,CACH90C,QACE,uHACFo8E,QAAQ,EACRC,OAAQ,CACNvnC,IAAK,CACH90C,QAAS,iBACTq8E,OAAQ,CACNL,YAAa,QACbzjE,UAAW,iBAGf,eAAgB,GAChB,aAAc,CACZvY,QAAS,qCACTq8E,OAAQ,CACNL,YAAa,CACX,CACEh8E,QAAS,KACTg7E,MAAO,eAET,SAINgB,YAAa,OACb,YAAa,CACXh8E,QAAS,YACTq8E,OAAQ,CACN9jE,UAAW,mBAKnBxN,OAAQ,CACN,CACE/K,QAAS,kBACTg7E,MAAO,gBAET,uBAGJhB,EAAMY,UAAUR,OAAY,IAAEiC,OAAO,cAAcA,OAAe,OAChErC,EAAMY,UAAUR,OAAe,OACjCJ,EAAMY,UAAUR,OAAgB,QAAEiC,OAAO,mBAAmBA,OAC1DrC,EAAMY,UAAUR,OAClBJ,EAAMyB,MAAMzqC,IAAI,QAAQ,SAAUsqC,GACf,WAAbA,EAAI3uF,OACN2uF,EAAIpmF,WAAkB,MAAIomF,EAAIltF,QAAQvD,MAAMgG,QAAQ,QAAS,KAEjE,IACAxH,OAAOK,eAAeswF,EAAMY,UAAUR,OAAOtlC,IAAK,aAAc,CAY9DjqD,MAAO,SAAoB+B,EAAS6M,GAClC,IAAI0iG,EAAsB,CAAC,EAC3BA,EAAoB,YAAc1iG,GAAQ,CACxCuG,QAAS,oCACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUnhF,IAE1B0iG,EAA2B,MAAI,uBAC/B,IAAI9f,EAAS,CACX,iBAAkB,CAChBr8E,QAAS,4BACTq8E,OAAQ8f,IAGZ9f,EAAO,YAAc5iF,GAAQ,CAC3BuG,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUnhF,IAE1B,IAAI2iG,EAAM,CAAC,EACXA,EAAIxvG,GAAW,CACboT,QAASw8E,OACP,wFAAwFnzE,OAAOxY,QAC7F,OACA,WACE,OAAOjE,CACT,IAEF,KAEFivF,YAAY,EACZO,QAAQ,EACRC,OAAQA,GAEVrC,EAAMY,UAAU34C,aAAa,SAAU,QAASm6D,EAClD,IAEF/yG,OAAOK,eAAeswF,EAAMY,UAAUR,OAAOtlC,IAAK,eAAgB,CAYhEjqD,MAAO,SAAUqhD,EAAUzyC,GACzBugF,EAAMY,UAAUR,OAAOtlC,IAAIunC,OAAO,gBAAgB3vF,KAAK,CACrDsT,QAASw8E,OACP,aAAanzE,OACX,MACA6iC,EACA,IACA,iDAAiD7iC,OACnD,KAEFwyE,YAAY,EACZQ,OAAQ,CACN,YAAa,WACb,aAAc,CACZr8E,QAAS,WACTq8E,OAAQ,CACNxxF,MAAO,CACLmV,QAAS,yCACT67E,YAAY,EACZb,MAAO,CAACvhF,EAAM,YAAcA,GAC5B4iF,OAAQrC,EAAMY,UAAUnhF,IAE1BuiF,YAAa,CACX,CACEh8E,QAAS,KACTg7E,MAAO,eAET,WAMZ,IAEFhB,EAAMY,UAAUprF,KAAOwqF,EAAMY,UAAUR,OACvCJ,EAAMY,UAAUyhB,OAASriB,EAAMY,UAAUR,OACzCJ,EAAMY,UAAU0hB,IAAMtiB,EAAMY,UAAUR,OACtCJ,EAAMY,UAAUppF,IAAMwoF,EAAMY,UAAUzvF,OAAO,SAAU,CAAC,GACxD6uF,EAAMY,UAAU2hB,KAAOviB,EAAMY,UAAUppF,IACvCwoF,EAAMY,UAAUuP,KAAOnQ,EAAMY,UAAUppF,IACvCwoF,EAAMY,UAAU4hB,IAAMxiB,EAAMY,UAAUppF,GACxC,CA/LAvG,EAAOC,QAAUkvF,EACjBA,EAAO1qF,YAAc,SACrB0qF,EAAO6B,QAAU,CAAC,OAAQ,SAAU,MAAO,MAAO,OAAQ,OAAQ,8BCClE,SAASwgB,EAAOziB,GACdA,EAAMY,UAAU6hB,OAAS,CACvBxyF,QAAS,CAAC,iBAAkB,OAC5BylC,OAAQ,CACN1vC,QAAS,uBACTo8E,QAAQ,GAGVltF,OAAQ,iEACR4sF,QACE,8HACFgB,SAAU,uBACVf,SAAU,0CACVC,YAAa,uBAEjB,CAlBA/wF,EAAOC,QAAUuxG,EACjBA,EAAO/sG,YAAc,SACrB+sG,EAAOxgB,QAAU,0BCCjB,SAASygB,EAAU1iB,IAChB,SAAWA,GACV,IAAIwD,EACF,2SACFxD,EAAMY,UAAU8hB,UAAY,CAC1BzyF,QAAS,CACPjK,QAAS,8BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,iDACT67E,YAAY,EACZO,QAAQ,GAEV0O,KAAM,CACJ9qF,QAAS,4BACTo8E,QAAQ,EACRpB,MAAO,UAET,gBAAiB,CACfh7E,QAASw8E,OACP,OACG,IAAInzE,OACH,IACA,mBAAmBA,OACnB,IACA,6FACGA,OANP,cASEm0E,EAASn0E,OACT,IACA,cAAcA,OAXhB,kBAcIm0E,EAASn0E,OACT,IACA,SAASA,OACT,IACA,YAAYA,OACZ,IACA,aAAaA,OACf,KACF,MAEFwyE,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAET,sBAAuB,CACrBh7E,QAAS,+BACT67E,YAAY,EACZb,MAAO,YAETqF,SAAU,CACRrgF,QAAS,oBACTg7E,MAAO,aAETc,QAAS0B,EACTluF,QAAS,qBACT09D,KAAM,CACJhtD,QACE,sGACF67E,YAAY,EACZb,MAAO,UAET9rF,OAAQ,CACN,CACE8Q,QACE,wFACF67E,YAAY,GAEd,gBAEFqB,SAAU,0DACV75E,MAAO,CACLrD,QAAS,+DACTg7E,MAAO,YAETe,SAAU,6BACVC,YAAa,8BAEhB,CAjFA,CAiFEhC,EACL,CAtFA/uF,EAAOC,QAAUwxG,EACjBA,EAAUhtG,YAAc,YACxBgtG,EAAUzgB,QAAU,0BCCpB,SAAS0gB,EAAI3iB,GACXA,EAAMY,UAAU+hB,IAAM,CACpB1yF,QAAS,SACT/G,KAAM,CACJlD,QAAS,wBACTo8E,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,QACTg7E,MAAO,iBAIbtrC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEVQ,SAAU,QACV1tF,OAAQ,4CACR0tG,KAAM,CACJ58F,QAAS,cACTg7E,MAAO,YAETc,QACE,uHACFgB,SACE,2ufACFf,SAAU,CACR,8CACA,CAEE/7E,QAAS,iBACT67E,YAAY,GAEd,CAEE77E,QAAS,iBACT67E,YAAY,IAGhBG,YAAa,yBAEfhC,EAAMY,UAAU+hB,IAAU,KAAEtgB,OAAOiD,KAAOtF,EAAMY,UAAU+hB,GAC5D,CA/CA1xG,EAAOC,QAAUyxG,EACjBA,EAAIjtG,YAAc,MAClBitG,EAAI1gB,QAAU,0BCCd,SAAS4gB,EAAQ7iB,GACfA,EAAMY,UAAUiiB,QAAU,CACxB5yF,QAAS,CACPjK,QAAS,OACTo8E,QAAQ,GAEVztF,MAAO,CACLqR,QACE,sEACF67E,YAAY,EACZQ,OAAQ,CACNzuF,SAAU,wBACVmuF,SAAU,IACVC,YAAa,MAGjB,oBAAqB,CACnBh8E,QACE,4IACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN+W,MAAO,CACLpzF,QAAS,8BACTg7E,MAAO,YAETxhF,MAAO,CACLwG,QAAS,qCACT67E,YAAY,EACZb,MAAO,YAET,aAAc,CACZh7E,QAAS,OACTg7E,MAAO,CAAC,QAAS,eAIvBoY,MAAO,CAML,CAEEpzF,QAAS,uDACT67E,YAAY,EACZb,MAAO,YAET,CAGEh7E,QACE,qGACF67E,YAAY,EACZb,MAAO,YAET,CAEEh7E,QACE,mEACF67E,YAAY,EACZb,MAAO,YAET,CAEEh7E,QACE,uFACF67E,YAAY,EACZb,MAAO,aAGXxhF,MAAO,CACLwG,QAAS,0CACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAET/1E,KAAM,CACJjF,QAAS,gEACTg7E,MAAO,UAETtrC,OAAQ,CACN1vC,QAAS,cACTo8E,QAAQ,GAEVa,WAAY,CACVj9E,QACE,gGACFg7E,MAAO,aAETc,QAAS,CAEP,CACE97E,QACE,yOACF67E,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QACE,2KACF67E,YAAY,EACZO,QAAQ,IAGZrxE,OAAQ,cACRgxE,SAAU,CACR/7E,QAAS,gCACT67E,YAAY,GAEdG,YAAa,UAEjB,CApHA/wF,EAAOC,QAAU2xG,EACjBA,EAAQntG,YAAc,UACtBmtG,EAAQ5gB,QAAU,0BCClB,SAAS6gB,EAAM9iB,GACbA,EAAMY,UAAUkiB,MAAQ,CACtB7yF,QAAS,OACT6xE,QACE,s0BACFqF,UAAW,CACTnhF,QAAS,cACTg7E,MAAO,YAET4B,SAAU,aACV1tF,OAAQ,gBACR6sF,SAAU,mBACVC,YAAa,wBAEjB,CAjBA/wF,EAAOC,QAAU4xG,EACjBA,EAAMptG,YAAc,QACpBotG,EAAM7gB,QAAU,0BCChB,SAAS8gB,EAAQ/iB,IACd,SAAWA,GACV,IAAIgjB,EAAY,CAEd,MACA,MACA,OACA,MACA,MACA,OACA,MACA,OACA,OACA,OACA,OACA,MACA,UACA,QACA,QACA,cACA,OACA,SACA,QACA,SACA,iBACA,aACA,QACA,cACA,OACA,aACA,QACA,gBACA,cACA,gBACA,cACA,WACA,aACA,QACA,SACA,eACA,OACA,OACA,OACA,OACA,UACA,OACA,eACA,SACA,YACA,OACA,QACA,QACA,WACA,QACA,YACA,SACA,QACA,OACA,aACA,UACA,cACA,aACA,SACA,aACA,SACA,WACA,eACA,SACA,cACA,SACA,qBACA,gBACA,UACA,SACA,SACA,OACA,kBACA,WACA,UACA,eACA,eACA,UACA,OACA,QACA,QACA,eACA,aACA,SACA,UACA,mBACA,OACA,eACA,QACA,SACA,OACA,YACA,mBACA,OACA,kBACA,eACA,iBACA,QACA,SACA,QACA,SACA,SACA,OACA,cACA,YACA,QACA,OACA,UACA,gBACA,QACA,WACA,OACA,iBACA,eACA,kBACA,gBACA,cACA,aACA,aACA,oBACA,UACA,MACA,OACA,UACA,SACA,SACA,YACA,MACA,OACA,QACA,UACA,MACA,gBACA,gBACA,aACA,WACA,YACA,gBACA,WACA,eACA,QACA,QACA,OACA,WACA,MACA,OACA,SACA,MACA,OACA,SACA,OACA,OACA,gBACA,QACA,OACA,eACA,UACA,OACA,SACA,YACA,MACA,OACA,iBACA,MACA,OACA,QACA,oBACA,SACA,UACA,aACA,gBACA,cACA,cACA,cACA,gBACA,SACA,SACA,UACA,iBACA,aACA,mBACA,eACA,YACA,QACA,OACA,SACA,SACA,QACA,aACA,cACA,cACA,eACA,YACA,UACA,eACA,YACA,YACA,OACA,UACA,OACA,UACA,UACA,aACA,YACA,SACA,UACA,cACA,YACA,WACA,WACA,QACA,SACA,QACA,QACA,QACA,OACA,SACA,WACA,YACA,gBACA,aACA,YACA,QACA,cACA,YACA,cACA,WACA,WACA,QACA,OACA,aACA,OACA,WACA,SACA,aACA,eACA,YAmBEC,EAAkB,OAHtBD,EAAYA,EAAU5kB,KAAI,SAAU2D,GAClC,OAAOA,EAASlrF,QAAQ,IAAK,MAC/B,KACwCnC,KAAK,KAAO,OACpDsrF,EAAMY,UAAUmiB,QAAU/iB,EAAMY,UAAUzvF,OAAO,aAAc,CAAC,GAChE6uF,EAAMY,UAAU34C,aAAa,UAAW,SAAU,CAChDr0C,SAAU,CACRoS,QACE,oHACFo8E,QAAQ,EACRC,OAAQ,CACNP,QAASU,OAAO,YAAcygB,EAAkB,iBAItDjjB,EAAMY,UAAUmiB,QAAQrtD,OAAO2sC,OAAS,CACtCpvB,IAAK,CAEHjtD,QACE,yEACFo8E,QAAQ,GAEVrxE,OAAQ,CAEN/K,QACE,8EACFo8E,QAAQ,IAGZpC,EAAMY,UAAU34C,aAAa,UAAW,WAAY,CAClDq8C,QAAS,CACPt+E,QAASw8E,OAAO,SA7CG,CACrB,WACA,OACA,UACA,QACA,YACA,aACA,gBACA,SACA,SACA,SACA,UACA,QAiC8C9tF,KAAK,KAAO,QACxDssF,MAAO,YAGZ,CAlSA,CAkSEhB,EACL,CAvSA/uF,EAAOC,QAAU6xG,EACjBA,EAAQrtG,YAAc,UACtBqtG,EAAQ9gB,QAAU,0BCClB,SAASihB,EAAOljB,GACdA,EAAMY,UAAUsiB,OAAS,CACvBjzF,QAAS,CACPjK,QAAS,6BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,cACTo8E,QAAQ,GAEV9yD,aAAc,CACZtpB,QAAS,gBACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAET8B,SAAU,cACV,YAAa,CACX98E,QAAS,WACTg7E,MAAO,cAET9rF,OAAQ,CACN8Q,QACE,qEACF67E,YAAY,GAEdC,QACE,uXACFC,SACE,gFACFC,YAAa,eAEjB,CAnCA/wF,EAAOC,QAAUgyG,EACjBA,EAAOxtG,YAAc,SACrBwtG,EAAOjhB,QAAU,0BCCjB,SAASkhB,EAAWnjB,GAClBA,EAAMY,UAAUuiB,WAAa,CAC3BlzF,QAAS,OACTylC,OAAQ,CACN,CACE1vC,QAAS,iCACTo8E,QAAQ,GAEV,CACEp8E,QAAS,UACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,cACTq8E,OAAQ,CACN8gB,WAAY,CACVn9F,QAAS,sBACT67E,YAAY,EACZQ,OAAQ,MAEV,4BAA6B,CAC3Br8E,QAAS,SACTg7E,MAAO,oBAOnB,aAAc,CACZ,CACEh7E,QAAS,iCACT67E,YAAY,GAEd,cAEFC,QACE,kJACFc,SAAU,SACVhvF,SAAU,CACRoS,QAAS,gCACT67E,YAAY,GAEdiB,SAAU,CACR98E,QACE,27BACFq8E,OAAQ,CACNL,YAAa,OAGjB1sF,QAAS,qBACTJ,OACE,6FACF6sF,SACE,2EACFC,YAAa,iBAEfhC,EAAMY,UAAUuiB,WAAWztD,OAAO,GAAG2sC,OAAOkF,cAAclF,OAAO8gB,WAAW9gB,OAC1ErC,EAAMY,UAAUuiB,WAClBnjB,EAAMY,UAAUwiB,KAAOpjB,EAAMY,UAAUuiB,UACzC,CA/DAlyG,EAAOC,QAAUiyG,EACjBA,EAAWztG,YAAc,aACzBytG,EAAWlhB,QAAU,CAAC,+BCCtB,SAASohB,EAAKrjB,GAEZA,EAAMY,UAAUyiB,KAAO,CACrBpzF,QAAS,CACPjK,QAAS,8BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,yCACTo8E,QAAQ,GAEV+B,WAAY,CACVn+E,QAAS,4BACTo8E,QAAQ,GAEV+E,UAAW,WAEXrF,QACE,wuCACFgB,SAAU,wBACVxtF,QAAS,sBACTJ,OAAQ,6DACR6sF,SACE,yIACFC,YAAa,gBAEjB,CA7BA/wF,EAAOC,QAAUmyG,EACjBA,EAAK3tG,YAAc,OACnB2tG,EAAKphB,QAAU,0BCCf,SAASqhB,EAAKtjB,GACZA,EAAMY,UAAU0iB,KAAOtjB,EAAMY,UAAUzvF,OAAO,aAAc,CAE1D2wF,QACE,oXAEJ9B,EAAMY,UAAU34C,aAAa,OAAQ,WAAY,CAE/Cg7C,WAAY,CACVj9E,QAAS,QACTg7E,MAAO,cAGXhB,EAAMY,UAAU2iB,MAAQvjB,EAAMY,UAAU0iB,IAC1C,CAjBAryG,EAAOC,QAAUoyG,EACjBA,EAAK5tG,YAAc,OACnB4tG,EAAKrhB,QAAU,CAAC,gCCChB,SAASuhB,EAAexjB,GACtBA,EAAMY,UAAU,mBAAqB,CACnC3wE,QAAS,gCACT6xE,QAAS,4CACTxsF,QAAS,qBACTwtF,SAAU,+BACV5tF,OAAQ,UACR6sF,SAAU,SACVC,YAAa,eAEjB,CAbA/wF,EAAOC,QAAUsyG,EACjBA,EAAe9tG,YAAc,iBAC7B8tG,EAAevhB,QAAU,0BCCzB,SAASwhB,EAAWzjB,IACjB,SAAWA,GACV,IAAI0jB,EAAgB,qBAChBC,EAAS,CACX,gBAAiB,CACf39F,QAAS,oBACTg7E,MAAO,YAET,mBAAoB,CAClBh7E,QAAS,WACT67E,YAAY,EACZb,MAAO,YAET,sBAAuB,CACrB,CACEh7E,QAAS09F,EACT1iB,MAAO,YAET,CACEh7E,QAAS,aACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAET,CACEh7E,QAAS,cACTg7E,MAAO,cA+Hb,SAAS4iB,EAAe5tF,GACtB,MAAqB,kBAAVA,EACFA,EACEjmB,MAAMF,QAAQmmB,GAChBA,EAAMooE,IAAIwlB,GAAgBlvG,KAAK,IAE/BkvG,EAAe5tF,EAAM5hB,QAEhC,CAnIA4rF,EAAMY,UAAU6iB,WAAa,CAE3BxzF,QAAS,CACPjK,QAAS,gBACT67E,YAAY,GAIdgiB,OAAQ,CACN79F,QAAS,QACTg7E,MAAO,MACPqB,OAAQ,CACNxxF,MAAO,CACLmV,QAAS,gCACT67E,YAAY,EACZb,MAAO,YAET7wF,IAAK,CACH6V,QAAS,UACT67E,YAAY,KAKlBriF,MAAO,CACLwG,QAAS,8BACT67E,YAAY,EACZb,MAAO,SAETgC,QAAS,CACPh9E,QAAS,8BACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACN,eAAgB,QAChBmF,WAAY,CACVxhF,QAAS09F,EACTthB,QAAQ,EACRpB,MAAO,YAET,iBAAkB,CAChBh7E,QAAS,eACTq8E,OAAQshB,KAKd,eAAgB,CACd39F,QAAS,wBACT67E,YAAY,EACZb,MAAO,cACPqB,OAAQ,CAEN,eAAgB,cAChBmF,WAAY,CACVxhF,QAAS09F,EACTthB,QAAQ,EACRpB,MAAO,YAET,iBAAkB,CAChBh7E,QAAS,2BACTo8E,QAAQ,EACRpB,MAAO,WACPqB,OAAQ,CACN,iBAAkB,CAChBr8E,QAAS,iCACT67E,YAAY,EACZQ,OAAQshB,GAEV,qBAAsB,CACpB39F,QAAS,iBACT67E,YAAY,EACZb,MAAO,QAET,kBAAmB,cAM7BhB,EAAMY,UAAUkjB,KAAO9jB,EAAMY,UAAsB,WAMnDZ,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAE7BA,EAAIF,OACVvsD,SAAQ,SAAU7e,GACvB,GAAqB,kBAAVA,GAAqC,iBAAfA,EAAMrjB,KAAyB,CAC9D,IAAIyB,EAAUwvG,EAAe5tF,IAYnC,SAA4BpgB,GAG1B,IAFA,IAAI8+F,EAAW,OACXhlE,EAAQ,GACHj+B,EAAI,EAAGA,EAAImE,EAAMlE,OAAQD,IAAK,CACrC,IAAIsyG,EAAUnuG,EAAMnE,GAChBuyG,EAAgBtP,EAAS5+F,QAAQiuG,GACrC,IAAuB,IAAnBC,EACF,GAAIA,EAAgB,IAAM,EACxBt0E,EAAMh9B,KAAKsxG,EAAgB,QACtB,GAAIt0E,EAAME,QAAUo0E,EACzB,OAAO,CAGb,CACA,OAAwB,IAAjBt0E,EAAMh+B,MACf,EA1BWuyG,CAAmB7vG,KACtB4hB,EAAMrjB,KAAO,WACbqjB,EAAM5hB,QAAUA,EAEpB,CACF,GACF,GAkCD,CAjKA,CAiKE4rF,EACL,CAtKA/uF,EAAOC,QAAUuyG,EACjBA,EAAW/tG,YAAc,aACzB+tG,EAAWxhB,QAAU,0BCCrB,SAASiiB,EAAKlkB,GACZA,EAAMY,UAAUsjB,KAAO,CACrBj0F,QAAS,QACTylC,OAAQ,oCACRl2C,MAAO,CACLwG,QAAS,kCACT67E,YAAY,EACZb,MAAO,YAETc,QAAS,CACP,0BACA,CACE97E,QAAS,8BACT67E,YAAY,GAEd,8BACA,6BAEFnB,SAAU,CACR16E,QACE,0GACFg7E,MAAO,YAET9rF,OACE,+MACF6sF,SAAU,uBAEd,CA9BA9wF,EAAOC,QAAUgzG,EACjBA,EAAKxuG,YAAc,OACnBwuG,EAAKjiB,QAAU,0BCCf,SAASkiB,EAAKnkB,GACZA,EAAMY,UAAUujB,KAAO,CACrBl0F,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV1vB,SAAU,CACR1sD,QACE,0HACF67E,YAAY,EACZb,MAAO,UAET7wF,IAAK,CACH6V,QAAS,6DACT67E,YAAY,EACZb,MAAO,UAET9rF,OAAQ,CACN8Q,QACE,mHACF67E,YAAY,GAEdvsF,QAAS,CACP0Q,QAAS,wDACT67E,YAAY,GAEdga,KAAM,CACJ71F,QAAS,2CACT67E,YAAY,EACZb,MAAO,WAETtrC,OAAQ,CACN1vC,QACE,qHACF67E,YAAY,EACZO,QAAQ,GAEVgiB,QAAS,CACPp+F,QACE,sHACF67E,YAAY,EACZb,MAAO,UAETgB,YAAa,gBAEjB,CAhDA/wF,EAAOC,QAAUizG,EACjBA,EAAKzuG,YAAc,OACnByuG,EAAKliB,QAAU,0BCCf,SAASoiB,EAAMrkB,GACbA,EAAMY,UAAUyjB,MAAQ,CACtBp0F,QAAS,oCACTylC,OAAQ,CACN1vC,QAAS,mDACTo8E,QAAQ,EACRC,OAAQ,CACN,eAAgB,gBAGpB9jE,UAAW,CACTvY,QAAS,0CACT67E,YAAY,GAEd77E,QAAS,CACPA,QACE,qHACF67E,YAAY,EACZQ,OAAQ,CACN,eAAgB,CACdr8E,QAAS,qBACTg7E,MAAO,cAETsjB,OAAQ,CACNt+F,QAAS,SACTq8E,OAAQ,CACN,aAAc,CACZr8E,QAAS,kBACTg7E,MAAO,YAETgB,YAAa,QACbD,SAAU,CACR/7E,QAAS,IACTg7E,MAAO,yBAMjB7uF,OAAQ,CACN6T,QAAS,iDACTg7E,MAAO,WACPa,YAAY,GAEdC,QACE,sEACF,mBAAoB,CAClB97E,QACE,kIACFq8E,OAAQ,CACN,wBAAyB,CACvBr8E,QAAS,mBACTg7E,MAAO,WAETujB,WAAY,CACVv+F,QAAS,mCACTg7E,MAAO,UAET,wBAAyB,CACvBh7E,QAAS,kBACTg7E,MAAO,WAETgB,YAAa,UAGjBuiB,WAAY,CACVv+F,QAAS,mCACTg7E,MAAO,UAETe,SAAU,CACR,CACE/7E,QAAS,IACTg7E,MAAO,eAET,CACEh7E,QAAS,IACTg7E,MAAO,eAET,CACEh7E,QAAS,IACTg7E,MAAO,aAET,CACEh7E,QAAS,KACTg7E,MAAO,eAET,CACEh7E,QAAS,QACTg7E,MAAO,cAET,CACEh7E,QAAS,OACTg7E,MAAO,aAET,CACEh7E,QAAS,OACTg7E,MAAO,YAET,CACEh7E,QAAS,UACTg7E,MAAO,SAGX,gBAAiB,CACf,CACEh7E,QACE,2HACF67E,YAAY,EACZQ,OAAQ,CACN,aAAc,CACZr8E,QAAS,kBACTg7E,MAAO,YAETwjB,MAAO,MAGX,CACEx+F,QAAS,sBACTq8E,OAAQ,CACN,aAAc,CACZr8E,QAAS,kBACTg7E,MAAO,YAETwjB,MAAO,OAIbxiB,YAAa,UACbtxF,KAAM,kBAEV,CArIAO,EAAOC,QAAUmzG,EACjBA,EAAM3uG,YAAc,QACpB2uG,EAAMpiB,QAAU,0BCChB,SAASwiB,EAAMzkB,IACZ,SAAWA,GACV,IAAI4C,EACF,gEACF5C,EAAMY,UAAU6jB,MAAQ,CACtBx0F,QAAS,CACPjK,QAAS,iBACT67E,YAAY,EACZO,QAAQ,GAEVqD,UAAW,CACTz/E,QACE,0GACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN3sC,OAAQ,CACN1vC,QACE,gEACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNrE,OAAQ,CACNh4E,QAAS,cACTg7E,MAAO,UAET4B,SAAUA,IAGd3yE,QAAS,CACPjK,QAAS,UACT67E,YAAY,EACZO,QAAQ,GAEVN,QAAS,CACP97E,QAAS,OACTo8E,QAAQ,GAGV9sF,QAAS,CACP0Q,QAAS,uBACT67E,YAAY,GAEd3sF,OAAQ,CACN8Q,QAAS,uBACT67E,YAAY,GAEde,SAAUA,IAGdZ,YAAa,QAEhB,CAnDA,CAmDEhC,EACL,CAxDA/uF,EAAOC,QAAUuzG,EACjBA,EAAM/uG,YAAc,QACpB+uG,EAAMxiB,QAAU,0BCChB,SAASyiB,EAAI1kB,GACXA,EAAMY,UAAU8jB,IAAM,CACpBz0F,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CAEN1vC,QACE,iGACFo8E,QAAQ,GAEVt5E,KAAM,CAEJ9C,QAAS,0CACTo8E,QAAQ,GAEVU,SAAU,CACR98E,QACE,oFACFo8E,QAAQ,EACRC,OAAQ,CACNN,SAAU,QAIdoC,WAAY,CACVn+E,QAAS,cACTo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,MAIjB9sF,OACE,iGACF4sF,QACE,8VACFC,SAAU,CAIR/7E,QACE,kJACF67E,YAAY,GAEdG,YAAa,kCAEjB,CAnDA/wF,EAAOC,QAAUwzG,EACjBA,EAAIhvG,YAAc,MAClBgvG,EAAIziB,QAAU,0BCCd,SAAS0iB,EAAI3kB,GACXA,EAAMY,UAAU+jB,IAAM,CACpB10F,QAAS,CACPjK,QAAS,uBACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,+DACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CAEbvhF,QAAS,mDACT67E,YAAY,EACZQ,OAAQ,QAIdpvB,IAAK,CACH,0CACA,CACEjtD,QACE,oFACF67E,YAAY,IAGhB+iB,cAAe,CACb5+F,QAAS,WACTg7E,MAAO,aAET9rF,OAAQ,UACR4sF,QAAS,mEACTgB,SACE,mgBACFxtF,QAAS,qBACTysF,SAAU,uCACVC,YAAa,iBAEfhC,EAAMY,UAAU+jB,IAAIjvD,OAAO2sC,OAAOkF,cAAclF,OAASrC,EAAMY,UAAU+jB,GAC3E,CA1CA1zG,EAAOC,QAAUyzG,EACjBA,EAAIjvG,YAAc,MAClBivG,EAAI1iB,QAAU,0BCCd,SAAS4iB,EAAK7kB,GAMZA,EAAMY,UAAUikB,KAAO,CACrB50F,QAAS,CACPjK,QAAS,uCACT67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,kCACTo8E,QAAQ,GAEVN,QAAS,CACP97E,QACE,kqEACF67E,YAAY,GAEdjuF,SACE,8tBACFsvF,SAAU,wCACVN,SAAU,cACV1tF,OAAQ,8DACR6sF,SAAU,8CACVC,YAAa,gBACbgE,UAAW,CACThgF,QACE,iTACF67E,YAAY,GAGlB,CArCA5wF,EAAOC,QAAU2zG,EACjBA,EAAKnvG,YAAc,OACnBmvG,EAAK5iB,QAAU,gCCHf,IAAI4F,EAAa70F,EAAQ,MAIzB,SAAS8xG,EAAW9kB,GAClBA,EAAMU,SAASmH,GACf7H,EAAMY,UAAUkkB,WAAa9kB,EAAMY,UAAUzvF,OAAO,IAAK,CACvDukD,OAAQ,CACN1vC,QAAS,wCACTo8E,QAAQ,GAEVN,QACE,wYACFC,SAAU,iEAEL/B,EAAMY,UAAUkkB,WAAW,cAClC9kB,EAAMY,UAAUmkB,KAAO/kB,EAAMY,UAAUkkB,UACzC,CAhBA7zG,EAAOC,QAAU4zG,EACjBA,EAAWpvG,YAAc,aACzBovG,EAAW7iB,QAAU,CAAC,+BCCtB,SAAS+iB,EAAMhlB,GAEbA,EAAMY,UAAUokB,MAAQ,CACtB/0F,QAAS,CACPjK,QAAS,mBACTo8E,QAAQ,GAEVt5E,KAAM,CACJ9C,QAAS,+CACTo8E,QAAQ,GAEV1sC,OAAQ,CACN,CACE1vC,QAAS,sCACTo8E,QAAQ,GAEV,CACEp8E,QAAS,8BACTo8E,QAAQ,IAGZltF,OAAQ,CAEN,uCACA,oEACA,qDAEFuwF,UAAW,CACTz/E,QAAS,SACTg7E,MAAO,YAETxhF,MAAO,CACLwG,QAAS,SACTg7E,MAAO,YAET,gBAAiB,CACfh7E,QAAS,SACTg7E,MAAO,YAETikB,QAAS,CACPj/F,QAAS,OACTg7E,MAAO,UAITc,QACE,gSACFxsF,QAAS,qBACT,4BAA6B,CAC3B0Q,QAAS,yBACTg7E,MAAO,eAGTe,SACE,wGACFC,YAAa,8BAEjB,CA5DA/wF,EAAOC,QAAU8zG,EACjBA,EAAMtvG,YAAc,QACpBsvG,EAAM/iB,QAAU,gCCHhB,IAAI4F,EAAa70F,EAAQ,MAIzB,SAASkyG,EAAOllB,GACdA,EAAMU,SAASmH,GACd,SAAW7H,GAEVA,EAAMY,UAAUskB,OAASllB,EAAMY,UAAUzvF,OAAO,IAAK,CAEnD2wF,QACE,ggBAIF5sF,OACE,qHACFI,QAAS,qBACT,yBAA0B,CACxB0Q,QACE,ukBACFg7E,MAAO,cAGXhB,EAAMY,UAAU34C,aAAa,SAAU,aAAc,CAGnD,eAAgB,CACdjiC,QACE,gVACFg7E,MAAO,aAGX,IAAI9lF,EAAa,CAEf,mBAAoB,CAClB8K,QACE,whBACFg7E,MAAO,WAET,sBAAuB,CACrBh7E,QAAS,wBACTg7E,MAAO,WAGT,uBAAwB,CACtBh7E,QACE,07KACFg7E,MAAO,YAGT,uBAAwB,CACtBh7E,QACE,4rCACFg7E,MAAO,aAIXhB,EAAMY,UAAU34C,aAAa,IAAK,UAAW/sC,GACzC8kF,EAAMY,UAAUiI,MAElB3tF,EAAW,wBAA0B,CACnC8K,QACE,+ZACFg7E,MAAO,WAEThB,EAAMY,UAAU34C,aAAa,MAAO,UAAW/sC,GAElD,CA9DA,CA8DE8kF,EACL,CApEA/uF,EAAOC,QAAUg0G,EACjBA,EAAOxvG,YAAc,SACrBwvG,EAAOjjB,QAAU,0BCCjB,SAASkjB,EAASnlB,GAEhBA,EAAMY,UAAUukB,SAAW,CACzBl1F,QAAS,0BACTylC,OAAQ,CACN1vC,QAAS,8BACTo8E,QAAQ,GAEVN,QACE,+NACF,aACE,6EACFgB,SAAU,8DACVI,SAAU,kDACVhuF,OAAQ,CACN8Q,QACE,4EACF67E,YAAY,GAEdE,SAAU,oDACVC,YAAa,kBAEfhC,EAAMY,UAAUwkB,KAAOplB,EAAMY,UAAUukB,QACzC,CA1BAl0G,EAAOC,QAAUi0G,EACjBA,EAASzvG,YAAc,WACvByvG,EAASljB,QAAU,CAAC,+BCCpB,SAASojB,EAAGrlB,GACVA,EAAMY,UAAUykB,GAAK,CACnBp1F,QAAS,CACPjK,QAAS,uBACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,yBACTo8E,QAAQ,GAEV+N,KAAM,CACJnqF,QAAS,yBACTo8E,QAAQ,EACRpB,MAAO,WAETc,QACE,gQACFgB,SAAU,CACR,2BACA,CACE98E,QAAS,yBACT67E,YAAY,IAGhB3sF,OACE,2EACF0tF,SAAU,oBACV,YAAa,2BACbb,SACE,+FACFC,YAAa,iBAEjB,CAnCA/wF,EAAOC,QAAUm0G,EACjBA,EAAG3vG,YAAc,KACjB2vG,EAAGpjB,QAAU,0BCCb,SAASqjB,EAAOtlB,GACdA,EAAMY,UAAU0kB,OAAS,CACvBr1F,QAAS,0BACTylC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAKVN,QAAU,WACR,IAAI0B,EAAW,CACb,aACA,QACA,WACA,UACA,SACA,QACA,eACA,SACA,SACA,UACA,WACA,UACA,cACA,SACA,MACA,QACA,KACA,QACA,KACA,OACA,SACA,QACA,SAOF,OALAA,EAAWA,EACRpF,KAAI,SAAU0D,GACb,OAAOA,EAAQ/zE,MAAM,IAAIrZ,KAAK,KAChC,IACCA,KAAK,KACD8tF,OAAO,SAAWgB,EAAW,OACtC,CAhCU,GAiCVV,SAAU,4BACV5tF,OAAQ,CAEN8Q,QACE,gHACF67E,YAAY,GAEdE,SACE,sLACFC,YAAa,kBAEjB,CAzDA/wF,EAAOC,QAAUo0G,EACjBA,EAAO5vG,YAAc,SACrB4vG,EAAOrjB,QAAU,0BCCjB,SAASvzD,EAAOsxD,IACb,SAAWA,GACV,IAAItxD,EAAUsxD,EAAMY,UAAUlyD,OAASsxD,EAAMY,UAAUzvF,OAAO,SAAU,CACtE2wF,QAAS,CACP97E,QACE,+GACF67E,YAAY,GAEde,SAAU,CACR58E,QAAS,4DACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,UAGjBc,SAAU,CACR98E,QAAS,iDACT67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,CACP97E,QAAS,oBACT67E,YAAY,GAEdG,YAAa,UAGjBhE,OAAQ,CACNh4E,QAAS,qCACTg7E,MAAO,WAETgB,YAAa,gBAEftzD,EAASsxD,EAAMY,UAAU34C,aAAa,SAAU,UAAW,CACzD,iBAAkB,CAChBjiC,QAAS,UACT67E,YAAY,EACZb,MAAO,WAETwG,WAAY,CAEVxhF,QAAS,uDACTo8E,QAAQ,EACRP,YAAY,EACZQ,OAAQ,CACN3sC,OAAQ,CACN1vC,QAAS,2CACT67E,YAAY,GAEdC,QAASpzD,EAAOozD,QAChBc,SAAUl0D,EAAOk0D,SACjBE,SAAUp0D,EAAOo0D,SACjBxtF,QAAS,qBACTJ,OAAQ,kDACR8oF,OAAQtvD,EAAOsvD,OACf+D,SACE,iGACFC,YAAatzD,EAAOszD,gBAI1BhC,EAAMY,UAAU34C,aACd,SACA,cACA,CACEu/C,WAAY94D,EAAO84D,WACnB1F,QAASpzD,EAAOozD,QAChBc,SAAUl0D,EAAOk0D,SACjBE,SAAUp0D,EAAOo0D,SACjB9E,OAAQtvD,EAAOsvD,OACf,qBAAsB,CACpBh4E,QAAS0oB,EAAOszD,YAChBhB,MAAO,gBAGXtyD,EAAY,IAAE2zD,OAAO,cAExB,CA3EA,CA2EErC,EACL,CAhFA/uF,EAAOC,QAAUw9B,EACjBA,EAAOh5B,YAAc,SACrBg5B,EAAOuzD,QAAU,0BCCjB,SAASsjB,EAAOvlB,GAKdA,EAAMY,UAAU2kB,OAAS,CACvB9f,UAAW,CACTz/E,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,CAAC,QAAS,aAEnB/wE,QAAS,CACPjK,QAAS,uCACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,wDACTo8E,QAAQ,GAEVojB,IAAK,CACHx/F,QAAS,qCACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,MAEVP,QAAS,CACP,CAEE97E,QACE,iTACF67E,YAAY,GAEd,CAEE77E,QAAS,+CACT67E,YAAY,GAEd,CAEE77E,QACE,mKACF67E,YAAY,GAEd,CAEE77E,QACE,mhBACF67E,YAAY,IAGhB3sF,OAAQ,CAEN,0BACA,kCAEF6sF,SAAU,CACR,+CACA,CACE/7E,QACE,0EACF67E,YAAY,IAGhBG,YAAa,0BAEfhC,EAAMY,UAAU2kB,OAAOC,IAAInjB,OAASrC,EAAMY,UAAUzvF,OAAO,SAAU,CACnEq0G,SAAKlxG,EACLwtF,aAASxtF,EACTytF,cAAUztF,IAEZ0rF,EAAMY,UAAU6kB,aAAezlB,EAAMY,UAAU2kB,MACjD,CA1EAt0G,EAAOC,QAAUq0G,EACjBA,EAAO7vG,YAAc,SACrB6vG,EAAOtjB,QAAU,CAAC,uCCClB,SAASyjB,EAAU1lB,IAChB,SAAWA,GAEV,IAAImc,EAAS,2CAA2C9sF,OACpD1c,EAAO,kCAAkC0c,OAAOxY,QAClD,aACA,WACE,OAAOslG,CACT,IAEEuJ,EAAa1lB,EAAMY,UAAU8kB,UAAY,CAC3Cz1F,QAAS,0BACTylC,OAAQ,CACN1vC,QAAS,8CACTo8E,QAAQ,GAEV,aAAc,CACZ,CACEp8E,QAASw8E,OACP,+BAA+BnzE,OAAOxY,QACpC,WACA,WACE,OAAOlE,CACT,IAEF,KAEFkvF,YAAY,EACZQ,OAAQ,MAEV,CACEr8E,QAASw8E,OACP,oBAAoBnzE,OAAOxY,QAAQ,WAAW,WAC5C,OAAOlE,CACT,IACA,KAEF0vF,OAAQ,MAEV,CACEr8E,QAASw8E,OACP,eAAenzE,OAAOxY,QAAQ,WAAW,WACvC,OAAOlE,CACT,KAEFkvF,YAAY,EACZQ,OAAQ,OAGZP,QAAS,CACP97E,QACE,mIACF67E,YAAY,GAEdvsF,QAAS,CACP0Q,QAAS,8BACT67E,YAAY,GAEdyC,QAAS,CACPt+E,QAAS,4DACT67E,YAAY,GAEdiB,SAAU,iBACV5tF,OAAQ,CAEN,6BACA,4CAEF6sF,SACE,2EACFC,YAAa,4BAEX0B,EAAkB,CACpB,UACA,UACA,UACA,WACA,eACAxvC,QAAO,SAAUyxD,EAAOx1G,GAExB,OADAw1G,EAAMx1G,GAAOu1G,EAAUv1G,GAChBw1G,CACT,GAAG,CAAC,GACJD,EAAU,cAAc7wE,SAAQ,SAAU6F,GACxCA,EAAE2nD,OAASqB,CACb,GACD,CApFA,CAoFE1D,EACL,CAzFA/uF,EAAOC,QAAUw0G,EACjBA,EAAUhwG,YAAc,YACxBgwG,EAAUzjB,QAAU,0BCCpB,SAAS2jB,EAAO5lB,GACdA,EAAMY,UAAUglB,OAAS,CACvBlwD,OAAQ,UACRosC,QAAS,CACP97E,QACE,qFACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNP,QAAS,aACTpiF,SAAU,CACRsG,QAAS,mBACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,UACbpuF,SAAU,WAGd,UAAW,CACToS,QAAS,kBACT67E,YAAY,EACZQ,OAAQ,CACN8E,UAAW,CACTnhF,QAAS,UACTg7E,MAAO,YAETgB,YAAa,gBAKrBD,SAAU,IACV8jB,MAAO,CACL7/F,QACE,uEACFo8E,QAAQ,EACRC,OAAQ,CACNS,SAAU,SACVlvF,SAAU,CACRoS,QAAS,iBACT67E,YAAY,GAEdnsC,OAAQ,UACRssC,YAAa,QACbD,SAAU,MAGdC,YAAa,OACb9sF,OAAQ,CACN8Q,QAAS,4BACT67E,YAAY,GAEdvsF,QAAS,UAEX0qF,EAAMY,UAAUklB,GAAK9lB,EAAMY,UAAUglB,MACvC,CA1DA30G,EAAOC,QAAU00G,EACjBA,EAAOlwG,YAAc,SACrBkwG,EAAO3jB,QAAU,CAAC,6BCClB,SAAS8jB,EAAW/lB,GAClBA,EAAMY,UAAUmlB,WAAa,CAC3B91F,QAASuyE,OACP,CAEE,mBAAmBnzE,OACnB,cAAcA,OACd,4DAA4DA,OAC5D,mBAAmBA,QACnB3a,KAAK,MAETghD,OAAQ,CACN1vC,QAAS,kDACTo8E,QAAQ,GAEVQ,SAAU,OACV,sBAAuB,CACrB58E,QAAS,2CACT67E,YAAY,EACZb,MAAO,YAET,aAAc,CACZh7E,QACE,iIACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,MAGjBF,QACE,gYACF,mBAAoB,CAClB97E,QAAS,sBACTg7E,MAAO,YAET8B,SAAU,sBACVxtF,QAAS,sBACTJ,OAAQ,oBACR6sF,SAAU,8BACVC,YAAa,eAEfhC,EAAMY,UAAUolB,MAAQhmB,EAAMY,UAAUmlB,UAC1C,CA7CA90G,EAAOC,QAAU60G,EACjBA,EAAWrwG,YAAc,aACzBqwG,EAAW9jB,QAAU,CAAC,+BCCtB,SAASgkB,EAAKjmB,IACX,SAAWA,GACV,IAAI0U,EACF,6GACGrlF,OACL2wE,EAAMY,UAAUqlB,KAAO,CACrBh2F,QAAS,CACP,CAEEjK,QAAS,2BACT67E,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS,gBACT67E,YAAY,EACZO,QAAQ,IAIZ1sC,OAAQ,CACN,CACE1vC,QAASw8E,OACP,qCAAqCnzE,OACnC,MACA,CAEE,mDAAmDA,OAEnD,2CAA2CA,OAI3CqlF,GACAhgG,KAAK,KACP,KAEJ0tF,QAAQ,GAEV,CACEp8E,QAAS,mCACTo8E,QAAQ,GAGV,CACEp8E,QAAS,wBACTo8E,QAAQ,IAGZiB,MAAO,CACL,CACEr9E,QAASw8E,OACP,+BAA+BnzE,OAC7B,MACA,CAEE,mDAAmDA,OAEnD,2CAA2CA,OAI3CqlF,GACAhgG,KAAK,KACP,IACA,mBAAmB2a,QAEvB+yE,QAAQ,GAEV,CACEp8E,QAASw8E,OACP,yCAAyCnzE,OACvC,MACA,CAGE,8EACGA,OAEH,sEACGA,OAKHqlF,EAAW,MAAMrlF,OAASqlF,GAC1BhgG,KAAK,KACP,IACA,qBAAqB2a,QAEzBwyE,YAAY,EACZO,QAAQ,GAKV,CACEp8E,QACE,4HACFo8E,QAAQ,IAIZQ,SAAU,CAER,sBACA,kBACA,kBACA,sDACA,aAEA,qDAEFsjB,WAAY,CAEVlgG,QAAS,uBACTg7E,MAAO,UAET,WAAY,CAEVh7E,QAAS,kCACTg7E,MAAO,UAET8B,SAAU,CACR98E,QAAS,mBACT67E,YAAY,GAEdC,QACE,6MACF5sF,OACE,8GACF6sF,SACE,gNACFC,YAAa,eAEhB,CAtIA,CAsIEhC,EACL,CA3IA/uF,EAAOC,QAAU+0G,EACjBA,EAAKvwG,YAAc,OACnBuwG,EAAKhkB,QAAU,+BCHf,IAAI6b,EAAe9qG,EAAQ,MAI3B,SAASmzG,EAAUnmB,GACjBA,EAAMU,SAASod,GACf9d,EAAMY,UAAU34C,aAAa,MAAO,WAAY,CAC9Cv7B,KAAM,CACJ1G,QAAS,WACTg7E,MAAO,WAETlB,OACE,uIACFh5E,MAAO,CACLd,QAAS,cACTq8E,OAAQ,CACNP,QAAS,6BACTE,YAAa,WAIrB,CApBA/wF,EAAOC,QAAUi1G,EACjBA,EAAUzwG,YAAc,YACxBywG,EAAUlkB,QAAU,gCCHpB,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAASgrG,EAAIhe,GACXA,EAAMU,SAAS6N,GAQd,SAAWvO,GACV,IAAI/vE,EAAU,oCACVizE,EAAW,CACb,CACEl9E,QAAS,sBACTg7E,MAAO,WAET,CACEh7E,QAAS,iCACTo8E,QAAQ,EACRP,YAAY,GAEd,CACE77E,QAAS,+CACTo8E,QAAQ,EACRP,YAAY,GAEd,gBACA,iCAEE3sF,EACF,6IACE6sF,EACF,wFACEC,EAAc,gBAClBhC,EAAMY,UAAUod,IAAM,CACpBjW,UAAW,CACT/hF,QAAS,6BACTg7E,MAAO,aAET/wE,QAASA,EACT2yE,SAAU,sBACVwjB,QAAS,CACPpgG,QACE,oEACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,wBAAyB,CACvBh8E,QAAS,4DACT67E,YAAY,EACZb,MAAO,cAET,sBAAuB,CACrBh7E,QAAS,qCACT67E,YAAY,EACZb,MAAO,YAETc,QAAS,CACP,CACE97E,QACE,8EACFg7E,MAAO,eACPoB,QAAQ,EACRP,YAAY,GAEd,CACE77E,QACE,sIACFg7E,MAAO,YACPoB,QAAQ,EACRP,YAAY,GAEd,CACE77E,QACE,6IACFg7E,MAAO,cACPoB,QAAQ,EACRP,YAAY,GAEd,CACE77E,QACE,2EACFg7E,MAAO,mBACPoB,QAAQ,GAEV,CACEp8E,QAAS,qDACTg7E,MAAO,mBACPoB,QAAQ,EACRP,YAAY,GAEd,CACE77E,QAAS,qCACTg7E,MAAO,iBACPoB,QAAQ,GAEV,CAEEp8E,QAAS,sBACT67E,YAAY,GAEd,aACA,CAKE77E,QACE,8hBACF67E,YAAY,IAGhB,gBAAiB,CACf77E,QAAS,qCACT67E,YAAY,GAEd,aAAc,CACZ,CACE77E,QACE,qGACFo8E,QAAQ,EACRP,YAAY,GAEd,CACE77E,QAAS,8BACTo8E,QAAQ,EACRP,YAAY,GAEd,CACE77E,QAAS,gCACTo8E,QAAQ,GAEV,CACEp8E,QAAS,gCACTg7E,MAAO,6BACPoB,QAAQ,EACRP,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,CACEh8E,QAAS,kCACTg7E,MAAO,6BACPoB,QAAQ,EACRC,OAAQ,CACNL,YAAa,OAGjB,CACEh8E,QACE,iHACFg7E,MAAO,6BACPoB,QAAQ,EACRP,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,CACEh8E,QAAS,wBACTg7E,MAAO,mBACPoB,QAAQ,GAEV,CACEp8E,QAAS,gCACTg7E,MAAO,CAAC,6BAA8B,oBACtCoB,QAAQ,EACRC,OAAQ,CACNL,YAAa,OAGjB,CACEh8E,QAAS,wBACTg7E,MAAO,iBACPoB,QAAQ,GAEV,CACEp8E,QAAS,gCACTg7E,MAAO,CAAC,6BAA8B,kBACtCoB,QAAQ,EACRC,OAAQ,CACNL,YAAa,OAGjB,CACEh8E,QAAS,gCACTg7E,MAAO,YACPoB,QAAQ,EACRP,YAAY,GAEd,CACE77E,QAAS,0CACTg7E,MAAO,CAAC,6BAA8B,aACtCoB,QAAQ,EACRP,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,CACEh8E,QAAS,4CACTg7E,MAAO,cACPoB,QAAQ,EACRP,YAAY,GAEd,CACE77E,QAAS,oDACTg7E,MAAO,CAAC,6BAA8B,eACtCoB,QAAQ,EACRP,YAAY,EACZQ,OAAQ,CACNL,YAAa,QAInBkB,SAAUA,EACVJ,SAAU,CACR98E,QAAS,+CACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjBpuF,SAAU,CACRoS,QAAS,aACT67E,YAAY,GAEd3sF,OAAQA,EACR6sF,SAAUA,EACVC,YAAaA,GAEf,IAAIqkB,EAAuB,CACzBrgG,QACE,6FACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUod,KAEtBtoD,EAAS,CACX,CACE1vC,QAAS,sCACTg7E,MAAO,gBACPoB,QAAQ,EACRC,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,2BACTg7E,MAAO,SACPqB,OAAQ,CACNL,YAAa,mBAKrB,CACEh8E,QACE,8EACFg7E,MAAO,iBACPoB,QAAQ,EACRC,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,yCACTg7E,MAAO,SACPqB,OAAQ,CACNL,YAAa,iBAGjBuF,cAAe8e,IAGnB,CACErgG,QAAS,yBACTg7E,MAAO,yBACPoB,QAAQ,GAEV,CACEp8E,QAAS,yBACTg7E,MAAO,uBACPoB,QAAQ,GAEV,CACEp8E,QAAS,yBACTg7E,MAAO,uBACPoB,QAAQ,EACRC,OAAQ,CACNkF,cAAe8e,KAIrBrmB,EAAMY,UAAU34C,aAAa,MAAO,WAAY,CAC9CyN,OAAQA,EACR9+C,UAAW,CACToP,QACE,4IACFo8E,QAAQ,EACRC,OAAQ,CACN,oBAAqB,CACnBr8E,QAAS,uBACT67E,YAAY,EAEZQ,OAAQ,CACNpyE,QAASA,EACTylC,OAAQA,EACR,uBAAwB,CACtB,CACE1vC,QAAS,+BACTg7E,MAAO,aACPoB,QAAQ,EACRP,YAAY,GAEd,CACE77E,QAAS,+BACTg7E,MAAO,CAAC,aAAc,8BACtBoB,QAAQ,EACRP,YAAY,EACZQ,OAAQ,CACNL,YAAa,QAInBkB,SAAUA,EACVhuF,OAAQA,EACR6sF,SAAUA,EACVC,YAAaA,IAGjB+F,UAAW,CACT/hF,QAAS,WACTg7E,MAAO,mBAKfhB,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3C,GAAK,MAAM5qF,KAAK4qF,EAAIp4E,MAApB,CAKA82E,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,MAHA,oKAFF,CAQF,IACAtB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,MACjE,GACD,CApVA,CAoVEtB,EACL,CAjWA/uF,EAAOC,QAAU8sG,EACjBA,EAAItoG,YAAc,MAClBsoG,EAAI/b,QAAU,gCCHd,IAAI6b,EAAe9qG,EAAQ,MACvB2kG,EAAuB3kG,EAAQ,MAInC,SAASszG,EAAOtmB,GACdA,EAAMU,SAASod,GACf9d,EAAMU,SAASiX,GACd,SAAW3X,GACV,IAAIgL,EAAiB,8BAA8B37E,OACnD2wE,EAAMY,UAAU0lB,OAAStmB,EAAMY,UAAUzvF,OAAO,cAAe,CAC7Dg2F,UAAW,CACTnhF,QAASw8E,OACP,0DACEwI,EACA,kBAEJnJ,YAAY,KAGhB7B,EAAMY,UAAU34C,aAAa,SAAU,UAAW,CAChD,aAAc,CACZ,CACEjiC,QAASw8E,OACP,yFACEwI,GAEJnJ,YAAY,EACZQ,OAAQ,CACNP,QACE,sHACFE,YAAa,kBAKrBhC,EAAMY,UAAUoX,YAAYC,WAAW,MAAOjY,EAAMY,UAAU0lB,OAC/D,CA7BA,CA6BEtmB,EACL,CApCA/uF,EAAOC,QAAUo1G,EACjBA,EAAO5wG,YAAc,SACrB4wG,EAAOrkB,QAAU,gCCJjB,IAAIqB,EAAetwF,EAAQ,MAI3B,SAASuzG,EAAMvmB,GACbA,EAAMU,SAAS4C,GACftD,EAAMY,UAAU2lB,MAAQvmB,EAAMY,UAAUzvF,OAAO,MAAO,CACpD8e,QAAS,CACPjK,QAAS,wBACTo8E,QAAQ,GAGVN,QACE,u6EAEFC,SAAU,+CAEZ/B,EAAMY,UAAU34C,aAAa,QAAS,WAAY,CAChDzoC,MAAO,CACLwG,QAAS,gBACTg7E,MAAO,WAGb,CAtBA/vF,EAAOC,QAAUq1G,EACjBA,EAAM7wG,YAAc,QACpB6wG,EAAMtkB,QAAU,0BCChB,SAASukB,EAAWxmB,GAElBA,EAAMY,UAAU4lB,WAAa,CAC3Bv2F,QAAS,CACPjK,QAAS,uCACT67E,YAAY,EACZO,QAAQ,GAEV,oBAAqB,CACnBp8E,QAAS,2BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,iCACTo8E,QAAQ,GAEVc,SAAU,CACR,wEACA,iEACA,qCACA,sCACA,+DACA,6CACA,+BACA,4EACA,kCACA,yCACA,gGACA,+BACA,oCACA,0CACA,0EACA,+IACA,YAEF5tF,QAAS,qBACTwsF,QACE,6MACFgB,SAAU,CACR98E,QAAS,oCACT67E,YAAY,GAEd,YAAa,CACX77E,QACE,6HACFg7E,MAAO,cAET9rF,OAAQ,CACN8Q,QACE,uFACF67E,YAAY,GAEdE,SAAU,0CACVC,YAAa,gBAEfhC,EAAMY,UAAU6lB,GAAKzmB,EAAMY,UAAsB,WACjDZ,EAAMY,UAAU8lB,QAAU1mB,EAAMY,UAAsB,UACxD,CA5DA3vF,EAAOC,QAAUs1G,EACjBA,EAAW9wG,YAAc,aACzB8wG,EAAWvkB,QAAU,0BCCrB,SAAS0kB,EAAW3mB,IACjB,SAAWA,GACV,IAAI2mB,EAAc3mB,EAAMY,UAAU+lB,WAAa,CAC7C12F,QAAS,CACP,CACEjK,QAAS,uBACT67E,YAAY,GAEd,CACE77E,QAAS,cACT67E,YAAY,IAGhBnsC,OAAQ,CACN,CACE1vC,QAAS,uBACTo8E,QAAQ,EACRC,OAAQ,MAEV,CACEr8E,QAAS,iBACTo8E,QAAQ,IAKZ7jE,UAAW,qDACXjpB,QAAS,sBACTstF,SAAU,UAIVE,SAAU,CACR,wrBACA,ycAGFhB,QACE,kPACFC,SAAU,CACR/7E,QACE,wJACF67E,YAAY,GAEdG,YAAa,iBAEf2kB,EAAWjxD,OAAO,GAAG2sC,OAAS,CAC5BS,SAAU,CAER98E,QAAS,uDACT67E,YAAY,EACZQ,OAAQskB,GAEVrxG,QAASqxG,EAAWrxG,QACpBstF,SAAU+jB,EAAW/jB,SAExB,CAvDA,CAuDE5C,EACL,CA5DA/uF,EAAOC,QAAUy1G,EACjBA,EAAWjxG,YAAc,aACzBixG,EAAW1kB,QAAU,0BCCrB,SAAS2kB,EAAW5mB,GAClBA,EAAMY,UAAUgmB,WAAa5mB,EAAMY,UAAUzvF,OAAO,QAAS,CAC3D2wF,QACE,qKAEFgB,SAAU,iBACVf,SAAU,8CAEZ/B,EAAMY,UAAU34C,aAAa,aAAc,SAAU,CAEnDi7C,SAAU,8BACVvwF,KAAM,CACJqT,QAAS,4DACTg7E,MAAO,eAGb,CAnBA/vF,EAAOC,QAAU01G,EACjBA,EAAWlxG,YAAc,aACzBkxG,EAAW3kB,QAAU,0BCCrB,SAASmb,EAAOpd,GACdA,EAAMY,UAAUwc,OAAS,CAEvBntF,QAAS,CACPjK,QAAS,uBACTo8E,QAAQ,GAGV1sC,OAAQ,CACN1vC,QAAS,4DACTo8E,QAAQ,GAEVkC,QAAS,6BAETxB,SAAU,6BACV5tF,OAAQ,kBAER6sF,SAAU,iDACVC,YAAa,cAEjB,CAvBA/wF,EAAOC,QAAUksG,EACjBA,EAAO1nG,YAAc,SACrB0nG,EAAOnb,QAAU,0BCCjB,SAAS4kB,EAAO7mB,IAGb,SAAWA,GAGV,IAeI8mB,EAAiB,CACnB,KACA,WACA,cACA,aACA,KACA,WAIEtjB,EAzBe,CACjB,MACA,MACA,MACA,MACA,QACA,SACA,SACA,QACA,eACA,UACA,OACA,YAa0B5uF,OAAOkyG,EADd,CAAC,WAEtB9mB,EAAMY,UAAUimB,OAAS,CACvB52F,QAAS,CACPjK,QAAS,gBACT67E,YAAY,GAEd,eAAgB,CAEd77E,QAAS,IAAIw8E,OACX,OAASskB,EAAepyG,KAAK,KAAO,qBAEtCmtF,YAAY,EACZQ,OAAQ,CACN,YAAa,CACXr8E,QAAS,YACTg7E,MAAO,aAETgB,YAAa,UAGjB,iBAAkB,CAChBh8E,QAAS,aACTq8E,OAAQ,CACN,YAAa,CACXr8E,QAAS,gCACTg7E,MAAO,aAET,cAAe,CACbh7E,QAAS,qCACTo8E,QAAQ,EACRpB,MAAO,cAETgB,YAAa,sBAGjB,gBAAiB,CACf,CACEh8E,QAAS,eAETq8E,OAAQ,CACNL,YAAa,UACb,iBAAkB,CAChBh8E,QAAS,+BACTg7E,MAAO,YAIb,CACEh7E,QAAS,mBAET67E,YAAY,EACZQ,OAAQ,CACN,iBAAkB,CAChBr8E,QAAS,+BACTg7E,MAAO,aAKfc,QAAS,IAAIU,OAAO,SAAWgB,EAAS9uF,KAAK,KAAO,OAAQ,KAC5DouF,SAAU,wBACV5tF,OACE,oFACF6sF,SAAU,mDACVC,YAAa,gBAEhB,CA9FA,CA8FEhC,EACL,CArGA/uF,EAAOC,QAAU21G,EACjBA,EAAOnxG,YAAc,SACrBmxG,EAAO5kB,QAAU,0BCCjB,SAASpvF,EAAWmtF,GAClBA,EAAMY,UAAU/tF,WAAa,CAC3Bod,QAAS,kBACT,aAAc,CACZjK,QACE,gGACF67E,YAAY,GAEd,YAAa,uDACbG,YAAa,OAEjB,CAdA/wF,EAAOC,QAAU2B,EACjBA,EAAW6C,YAAc,aACzB7C,EAAWovF,QAAU,yBCCrB,SAAS8kB,EAAS/mB,IACf,SAAWA,GACV,IAAIgnB,EACF,4EACFhnB,EAAMY,UAAUmmB,SAAW/mB,EAAMY,UAAUzvF,OAAO,QAAS,CACzD,aAAc,CACZ,CACE6U,QACE,8DACF67E,YAAY,GAEd,CACE77E,QACE,6FACF67E,YAAY,IAGhBC,QACE,2KACFgB,SAAU,0BAEZ9C,EAAMY,UAAU34C,aAAa,WAAY,WAAY,CACnDm2C,IAAK,CACHp4E,QAAS,2DACTg7E,MAAO,aACPqB,OAAQ,CACNL,YAAa,SACbsC,QAAS0iB,IAGb1iB,QAAS0iB,EACT,wBAAyB,CACvBhhG,QAAS,+DACTg7E,MAAO,aACPqB,OAAQ,CACNL,YAAa,OAGjBiB,WAAY,CACVj9E,QAAS,4BACT67E,YAAY,IAGjB,CA1CA,CA0CE7B,EACL,CA/CA/uF,EAAOC,QAAU61G,EACjBA,EAASrxG,YAAc,WACvBqxG,EAAS9kB,QAAU,yBCCnB,SAASglB,EAAIjnB,GACXA,EAAMY,UAAUqmB,IAAM,CACpBh3F,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,oBACTo8E,QAAQ,EACRC,OAAQ,CACN1sC,OAAQ,mBAGZ,iBAAkB,CAChB3vC,QAAS,4CACTg7E,MAAO,SACPoB,QAAQ,GAEVN,QACE,uJACFoB,SACE,8fACF5tF,QAAS,gEACTstF,SAAU,qCACV0B,QAAS,CACPt+E,QACE,wwDACFg7E,MAAO,oBAET,mBAAoB,CAClBh7E,QACE,6EACF67E,YAAY,EACZO,QAAQ,GAEVU,SAAU,0BACV5tF,OAAQ,qCACR6sF,SAAU,+DACVC,YAAa,eAEjB,CA3CA/wF,EAAOC,QAAU+1G,EACjBA,EAAIvxG,YAAc,MAClBuxG,EAAIhlB,QAAU,0BCCd,SAASilB,EAAIlnB,IACV,SAAWA,GAQVA,EAAMY,UAAUsmB,IAAM,CAGpBj3F,QAAS,CACPjK,QAAS,+CACT67E,YAAY,GAId,mBAAoB,CAClB77E,QACE,sFACF67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,YAG1B2I,OAAQ,CACNzoF,QACE,uEACF67E,YAAY,EACZQ,OAAQ,CACN,cAAe,CACbr8E,QAAS,WACTg7E,MAAO,YAET/1E,KAAM,cAGV,uBAAwB,CACtBjF,QACE,qFACF67E,YAAY,GAEdzB,OAAQ,CACNp6E,QAAS,gBACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUR,QAE1BtoD,QAAS,CACP9xB,QAAS,kCACT67E,YAAY,GAGd,eAAgB,CACd77E,QACE,sEACF67E,YAAY,EACZQ,OAAQ,CACN8kB,KAAM,CACJnhG,QAAS,iBACTq8E,OAAQ,CACNP,QAAS,kBACTE,YAAa,MAGjBolB,OAAQ,CACNphG,QAAS,gDACTg7E,MAAO,WAETsE,KAAMtF,EAAMY,UAAUkF,aAG1BhE,QAAS,CACP97E,QAAS,yDACT67E,YAAY,GAEdptC,MAAO,CAEL,CACEzuC,QAAS,qBACT67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,SACTgB,SAAU,oBACVd,YAAa,WAGjB,CACEh8E,QAAS,iBACT67E,YAAY,EACZQ,OAAQ,CACN3xF,KAAM,CACJsV,QAAS,SACTg7E,MAAO,YAETsE,KAAMtF,EAAMY,UAAUkF,cAI5B5/C,OAAQ,CACNlgC,QAAS,mDACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,YAE1B,aAAc,CACZ9/E,QACE,oEACF67E,YAAY,GAEd/mC,IAAK,CACH90C,QAAS,+DACT67E,YAAY,EACZQ,OAAQ,CACNnnF,WAAY,CACV,CACE8K,QAAS,kBACTq8E,OAAQrC,EAAMY,UAAUkF,YAE1B,CACE9/E,QAAS,YACTq8E,OAAQ,CACN,aAAc,CACZr8E,QAAS,uCACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,YAE1B,YAAa,2BACb9D,YAAa,cAInBA,YAAa,IACb,UAAW,WACX,aAAc,cAGlB94E,KAAM,CACJ,CACElD,QAAS,wBACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUkF,aAG5B9D,YAAa,aA0Bf,IAxBA,IAAIqlB,EACF,iFACGh4F,OACDklF,EAAU,CACZ,CACE9F,OAAQ,OACR/uF,SAAU,QAEZ,CACE+uF,OAAQ,SACR/uF,SAAU,gBAEZ,MACA,aACA,OACA,aACA,WACA,CACE+uF,OAAQ,OACR/uF,SAAU,QAEZ,UAEE80F,EAAc,CAAC,EACV/iG,EAAI,EAAGgpD,EAAI85C,EAAQ7iG,OAAQD,EAAIgpD,EAAGhpD,IAAK,CAC9C,IAAIg9F,EAAS8F,EAAQ9iG,GACrBg9F,EACoB,kBAAXA,EACH,CACEA,OAAQA,EACR/uF,SAAU+uF,GAEZA,EACFzO,EAAMY,UAAU6N,EAAO/uF,YACzB80F,EAAY,UAAY/F,EAAOA,QAAU,CACvCzoF,QAASw8E,OACP6kB,EAAexwG,QAAQ,iBAAiB,WACtC,OAAO43F,EAAOA,MAChB,IACA,KAEF5M,YAAY,EACZQ,OAAQ,CACN,cAAe,CACbr8E,QAAS,WACTg7E,MAAO,YAET/1E,KAAM,CACJjF,QAAS,YACTg7E,MAAO,CAACyN,EAAO/uF,SAAU,YAAc+uF,EAAO/uF,UAC9C2iF,OAAQrC,EAAMY,UAAU6N,EAAO/uF,aAKzC,CACAsgF,EAAMY,UAAU34C,aAAa,MAAO,SAAUusD,EAC/C,CAxMA,CAwMExU,EACL,CA7MA/uF,EAAOC,QAAUg2G,EACjBA,EAAIxxG,YAAc,MAClBwxG,EAAIjlB,QAAU,0BCCd,SAASqlB,EAAOtnB,IACb,SAAWA,GACVA,EAAMY,UAAU0mB,OAAS,CACvBrS,QAAS,CAEP,CACEjvF,QACE,qHACF67E,YAAY,EACZb,MAAO,SACPqB,OAAQ,CAENL,YAAa,sBAGjB,CACEh8E,QACE,mHACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CAENL,YAAa,sBAGjB,CACEh8E,QAAS,8CACTg7E,MAAO,SACPqB,OAAQ,CACNL,YAAa,CACXh8E,QAAS,gBACT67E,YAAY,MAKpB,oBAAqB,CACnB77E,QAAS,4BACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,WAETqC,MAAO,CAELr9E,QACE,uFACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CAEN,iBAAkB,CAChBr8E,QAAS,yCACTq8E,OAAQ,CACNpyE,QAAS,UAKjBA,QAAS,CACPjK,QAAS,eACT67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CAEN1vC,QACE,kGACFo8E,QAAQ,EACRC,OAAQ,CACN,gBAAiB,CACfr8E,QAAS,cACTq8E,OAAQ,CACN,KAKRO,SAAU,CACR58E,QAAS,yBACTq8E,OAAQ,CACNL,YAAa,OAGjB,YAAa,wBACbc,SAAU,CACR,CACE98E,QAAS,gBACT67E,YAAY,GAEd,oGAEF3sF,OAAQ,+CACRI,QAAS,qBAETwsF,QACE,6IACF2F,SAAU,CACRzhF,QACE,gNACFg7E,MAAO,UAETe,SACE,mFACFC,YAAa,oBAEf,IAAIuF,EAAgB,CAClB,CAEEvhF,QACE,0EACF67E,YAAY,EACZQ,OAAQ,CACN,iBAAkB,CAEhBr8E,QAAS,uCACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACNL,YAAa,OAGjB+F,UAAW,CACT/hF,QAAS,MACTg7E,MAAO,YAETsE,KAAMtF,EAAMY,UAAU0mB,SAG1B,CACEthG,QAAS,kCACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACNL,YAAa,QAInBhC,EAAMY,UAAU0mB,OAAgB,QAAE,GAAGjlB,OAAOkF,cAAgBA,EAC5DvH,EAAMY,UAAU0mB,OAAe,OAAEjlB,OAC/B,iBACAA,OAAOkF,cAAgBA,CAC1B,CA7IA,CA6IEvH,EACL,CAlJA/uF,EAAOC,QAAUo2G,EACjBA,EAAO5xG,YAAc,SACrB4xG,EAAOrlB,QAAU,0BCCjB,SAASslB,EAAKvnB,IACX,SAAWA,GAEVA,EAAMY,UAAU2mB,KAAO,CACrBt3F,QAAS,CACP,CACEjK,QAAS,4BACT67E,YAAY,GAEd,CACE77E,QAAS,mBACT67E,YAAY,GAEd,QAEF,cAAe,CACb77E,QAAS,eACTo8E,QAAQ,EACRC,OAAQ,CACN5iF,KAAM,CACJuG,QAAS,qBACT67E,YAAY,EACZb,MAAO,WAET+G,UAAW,CACT/hF,QAAS,YACTg7E,MAAO,iBAIbtrC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEVltF,OAAQ,CAEN8Q,QACE,kGACF67E,YAAY,GAEdC,QACE,0SACFgB,SACE,00DACF6M,QAAS,CACP3pF,QAAS,kBACTg7E,MAAO,WAITe,SACE,8GAEFC,YAAa,iBAEf,IAQIwlB,EAAmB,sCAAsCn4F,OARvC,CACpB,IACA,CACE5P,KAAM,MACNuhF,MAAO,OAET,WAGcnsD,SAAQ,SAAUp1B,GAChC,IAAIuhF,EAAQvhF,EAKZ,GAJoB,kBAATA,IACTuhF,EAAQvhF,EAAKuhF,MACbvhF,EAAOA,EAAKA,MAEVugF,EAAMY,UAAUI,GAAQ,CAC1B,IAAIqE,EAAI,CAAC,EACTA,EAAE,eAAiBrE,GAAS,CAC1Bh7E,QAASw8E,OACPglB,EAAiB3wG,QACf,SACA4I,EAAK5I,QAAQ,wBAAyB,SAExC,KAEFwrF,OAAQrC,EAAMe,KAAKxvF,MAAMyuF,EAAMY,UAAU2mB,KAAK,eAAellB,SAE/DgD,EAAE,eAAiBrE,GAAOqB,OAAOiD,KAAOtF,EAAMe,KAAKxvF,MACjDyuF,EAAMY,UAAUI,IAElBhB,EAAMY,UAAU34C,aAAa,OAAQ,cAAeo9C,EACtD,CACF,IACIrF,EAAMY,UAAU5wE,IAClBgwE,EAAMY,UAAU2mB,KAAK,eAAellB,OAAOiD,KAAOtF,EAAMe,KAAKxvF,MAC3DyuF,EAAMY,UAAU5wE,GAGrB,CA5FA,CA4FEgwE,EACL,CAjGA/uF,EAAOC,QAAUq2G,EACjBA,EAAK7xG,YAAc,OACnB6xG,EAAKtlB,QAAU,0BCCf,SAASwlB,EAAUznB,GAQjBA,EAAMY,UAAU6mB,UAAYznB,EAAMY,UAAUzvF,OAAO,QAAS,CAC1D8e,QAAS,MACT6xE,QACE,u8BACFgB,SAAU,2BACV5tF,OAAQ,8DACR6sF,SACE,2EAEJ/B,EAAMY,UAAU34C,aAAa,YAAa,UAAW,CACnD6S,IAAK,UACL0qD,IAAK,CACHx/F,QAAS,gBACT67E,YAAY,EACZb,MAAO,MACPqB,OAAQ,CACNpyE,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,oCACTo8E,QAAQ,GAGV,4BAA6B,CAC3Bp8E,QAAS,yBACT67E,YAAY,EACZb,MAAO,cAGT,4BAA6B,CAC3Bh7E,QAAS,yCACT67E,YAAY,EACZb,MAAO,cAETc,QAAS,CACP,kCACA,+BAEFgB,SAAU,CACR98E,QAAS,kCACT67E,YAAY,GAEd,kBAAmB,CACjB77E,QAAS,wBACT67E,YAAY,EACZb,MAAO,YAETxhF,MAAO,CACLwG,QAAS,8CACT67E,YAAY,EACZb,MAAO,cAETN,SACE,gHACFxrF,OACE,kMACF6sF,SAAU,oCAIT/B,EAAMY,UAAU6mB,UAAU,qBAC1BznB,EAAMY,UAAU6mB,UAAmB,QAC1CznB,EAAMY,UAAU8mB,OAAS1nB,EAAMY,UAAqB,SACtD,CAzEA3vF,EAAOC,QAAUu2G,EACjBA,EAAU/xG,YAAc,YACxB+xG,EAAUxlB,QAAU,gCCHpB,IAAIuU,EAAmBxjG,EAAQ,MAI/B,SAAS20G,EAAW3nB,GAClBA,EAAMU,SAAS8V,GACfxW,EAAMY,UAAU+mB,WAAa3nB,EAAMY,UAAUzvF,OAAO,UAAW,CAC7D2wF,QACE,wIACF,mBAAoB,CAIlB97E,QACE,6GACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,2BACTE,YAAa,OAIjBsC,QACE,uSACFvC,SAAU,CAER/B,EAAMY,UAAUgU,QAAQ7S,SAAS,GACjC/B,EAAMY,UAAUgU,QAAQ7S,SAAS,GAGjC,k7CAGJ/B,EAAMY,UAAUgnB,KAAO5nB,EAAMY,UAAU+mB,UACzC,CAjCA12G,EAAOC,QAAUy2G,EACjBA,EAAWjyG,YAAc,aACzBiyG,EAAW1lB,QAAU,CAAC,+BCCtB,SAAS4lB,EAAO7nB,GACdA,EAAMY,UAAUinB,OAAS,CACvB53F,QAAS,CACPjK,QAAS,eACT67E,YAAY,EACZO,QAAQ,GAEV,uBAAwB,CACtBp8E,QACE,sEACFo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CAEbvhF,QACE,sFACF67E,YAAY,EACZQ,OAAQ,CACN,cAAe,CACbr8E,QAAS,sBACT67E,YAAY,GAEd,oBAAqB,CACnB77E,QAAS,kBACTg7E,MAAO,eAETsE,KAAM,OAGV5vC,OAAQ,YAGZ,uBAAwB,CACtB1vC,QAAS,uCACTo8E,QAAQ,EACRpB,MAAO,UAETtrC,OAAQ,CACN1vC,QAAS,mDACTo8E,QAAQ,GAEVU,SAAU,CACR98E,QAAS,4CACT67E,YAAY,GAEd,aAAc,CACZ77E,QAAS,mBACT67E,YAAY,GAEd6F,UAAW,CACT1hF,QAAS,2BACT67E,YAAY,EACZb,MAAO,CAAC,aAAc,eACtBqB,OAAQ,CACNL,YAAa,OAGjBF,QACE,wNACFwC,QACE,shBACFhvF,QAAS,0BACTJ,OACE,mJACF6sF,SAAU,uDACVC,YAAa,iBAEfhC,EAAMY,UAAUinB,OAAO,wBAAwBxlB,OAC9B,cACfA,OAAOiD,KAAOtF,EAAMY,UAAUinB,OAChC7nB,EAAMY,UAAUknB,GAAK9nB,EAAMY,UAAUinB,MACvC,CA1EA52G,EAAOC,QAAU22G,EACjBA,EAAOnyG,YAAc,SACrBmyG,EAAO5lB,QAAU,CAAC,6BCClB,SAASlhB,EAAEif,GACTA,EAAMY,UAAU7f,EAAI,CAClBrrB,OAAQ,wBACRzlC,QAAS,CAGP,CACEjK,QAAS,kBACT67E,YAAY,EACZO,QAAQ,GAMV,CACEp8E,QACE,oGACF67E,YAAY,EACZO,QAAQ,GAGV,CACEp8E,QAAS,gCACTo8E,QAAQ,GAEV,CACEp8E,QAAS,SACTo8E,QAAQ,IAGZzsC,OAAQ,mBACR+c,SAAU,CACR1sD,QACE,6IACFg7E,MAAO,UAIT9rF,OACE,0FACF4sF,QACE,u4BACFwV,OAAQ,CACNtxF,QAAS,qBACTg7E,MAAO,YAETmW,KAAM,CACJnxF,QAAS,8DACTg7E,MAAO,YAETgB,YAAa,eAEjB,CAxDA/wF,EAAOC,QAAU6vE,EACjBA,EAAErrE,YAAc,IAChBqrE,EAAEkhB,QAAU,0BCCZ,SAAS8lB,EAAI/nB,IACV,SAAWA,GAWV,IAVA,IAAIgoB,EAAW,8CAA8C34F,OACzD44F,EAAY,yCAAyC54F,OACrD64F,EACF,iGAAiG74F,OAC9FxY,QAAQ,aAAa,WACpB,OAAOmxG,CACT,IACCnxG,QAAQ,cAAc,WACrB,OAAOoxG,CACT,IACKx2G,EAAI,EAAGA,EAAI,EAAGA,IACrBy2G,EAASA,EAAOrxG,QAAQ,WAAW,WACjC,OAAOqxG,CACT,IAEFA,EAASA,EAAOrxG,QAAQ,UAAW,aACnCmpF,EAAMY,UAAUmnB,IAAM,CACpB93F,QAAS,CACPjK,QAAS,0BACTo8E,QAAQ,GAEV,sBAAuB,CACrBp8E,QAASw8E,OACP,2GAA2GnzE,OAAOxY,QAChH,SACA,WACE,OAAOqxG,CACT,IAEF,KAEFrmB,YAAY,EACZO,QAAQ,EACRpB,MAAO,sBACPqB,OAAQrC,EAAMY,UAAUkF,YAE1B,aAAc,CACZ9/E,QAAS,sDACT67E,YAAY,GAEdjuF,SAAU,CACR,CACEoS,QAAS,oDACT67E,YAAY,GAEd,CACE77E,QACE,2FACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,YACTluF,SAAU,mBAIhB,wBAAyB,CACvBoS,QAASw8E,OACP,0CAA0CnzE,OAAOxY,QAC/C,SACA,WACE,OAAOqxG,CACT,IAEF,KAEFrmB,YAAY,EACZO,QAAQ,EACRpB,MAAO,sBACPqB,OAAQrC,EAAMY,UAAUkF,YAE1BpwC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEVN,QAAS,uBACTE,YAAa,aAEhB,CA9EA,CA8EEhC,EACL,CAnFA/uF,EAAOC,QAAU62G,EACjBA,EAAIryG,YAAc,MAClBqyG,EAAI9lB,QAAU,0BCCd,SAASkmB,EAAKnoB,GACZA,EAAMY,UAAUunB,KAAOnoB,EAAMY,UAAUzvF,OAAO,QAAS,CACrD8e,QAAS,CACPjK,QAAS,6CACT67E,YAAY,GAGdnsC,OAAQ,CACN1vC,QAAS,mCACTo8E,QAAQ,GAEVN,QACE,udACFxsF,QAAS,sBACTwtF,SAAU,uBACV5tF,OACE,6GACF6sF,SAAU,CACR/7E,QACE,8FACF67E,YAAY,GAEde,SAAU,iBAEd,CA3BA3xF,EAAOC,QAAUi3G,EACjBA,EAAKzyG,YAAc,OACnByyG,EAAKlmB,QAAU,0BCCf,SAASmmB,EAAOpoB,IACb,SAAWA,GAWV,SAASnpF,EAAQmP,EAASmgF,GACxB,OAAOngF,EAAQnP,QAAQ,cAAc,SAAUiZ,EAAGvd,GAChD,MAAO,MAAQ4zF,GAAc5zF,GAAS,GACxC,GACF,CAOA,SAASsd,EAAG7J,EAASmgF,EAAchiC,GACjC,OAAOq+B,OAAO3rF,EAAQmP,EAASmgF,GAAehiC,GAAS,GACzD,CA2BA,IAAIq/B,EAAWhB,OAFN,UAND,sHASgC,IANpC,kQAGsBvsF,OAAOY,QAAQ,KAAM,KAAO,QAMlDwxG,EAAgBxxG,EAAQ,0BAA0BwY,OAAQ,CAD7C,mBAAmBA,SAEhC47E,EAAa,CACfnJ,QAAS0B,EACTxB,YAAa,iBAEXkJ,EAAgB,oBAAoB77E,OACxC2wE,EAAMY,UAAUwnB,OAASpoB,EAAMY,UAAUzvF,OAAO,QAAS,CACvD8e,QAAS,SACTylC,OAAQ,CACN,CACE1vC,QAAS6J,EAAG,kBAAkBR,OAAQ,CAAC67E,IACvCrJ,YAAY,EACZO,QAAQ,IAGZ,aAAc,CACZ,CAGEp8E,QAAS6J,EAAG,2CAA2CR,OAAQ,CAC7Dg5F,IAEFxmB,YAAY,EACZQ,OAAQ4I,GAEV,CAEEjlF,QAAS6J,EAAG,iCAAiCR,OAAQ,CAACg5F,IACtDxmB,YAAY,EACZQ,OAAQ4I,IAGZnJ,QAAS0B,EACTtuF,OACE,qFACF6sF,SACE,+GACFC,YAAa,qBAEfhC,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/C6qB,MAAO,CACL9sD,QAAS,OACTg7E,MAAO,cAGX,IAAIsnB,EApEJ,SAAgBtiG,EAASokF,GACvB,IAAK,IAAI34F,EAAI,EAAGA,EAAI24F,EAAW34F,IAC7BuU,EAAUA,EAAQnP,QAAQ,aAAa,WACrC,MAAO,MAAQmP,EAAU,GAC3B,IAEF,OAAOA,EAAQnP,QAAQ,YAAa,YACtC,CA6DwBszF,CACtBtzF,EAAQ,iCAAiCwY,OAAQ,CAAC67E,IAClD,GAEFlL,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/C,uBAAwB,CACtBjiC,QAAS6J,EAAG,6BAA6BR,OAAQ,CAACi5F,IAClDlmB,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS6J,EAAG,8BAA8BR,OAAQ,CAChDi5F,IAEFzmB,YAAY,EACZQ,OAAQ,CACNL,YAAa,UACbwF,WAAY,CACVxhF,QAAS,UACTg7E,MAAO,kBACPqB,OAAQrC,EAAMY,UAAUwnB,UAI9B1yD,OAAQ,aAIf,CA/HA,CA+HEsqC,GACHA,EAAMY,UAAU2nB,GAAKvoB,EAAMY,UAAUwnB,MACvC,CArIAn3G,EAAOC,QAAUk3G,EACjBA,EAAO1yG,YAAc,SACrB0yG,EAAOnmB,QAAU,CAAC,6BCClB,SAASjhB,EAAEgf,GACTA,EAAMY,UAAU5f,EAAI,CAClB/wD,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,mCACTo8E,QAAQ,GAEV,mBAAoB,CAGlBp8E,QAAS,YACTg7E,MAAO,YAET1rF,QAAS,qBACTkzG,SAAU,iBACVtzG,OAAQ,CACN,kBACA,iFAEF4sF,QACE,iHACFC,SAAU,qDACVC,YAAa,eAEjB,CA3BA/wF,EAAOC,QAAU8vE,EACjBA,EAAEtrE,YAAc,IAChBsrE,EAAEihB,QAAU,gCCHZ,IAAIkc,EAAkBnrG,EAAQ,MAI9B,SAASy1G,EAAOzoB,GACdA,EAAMU,SAASyd,GACfne,EAAMY,UAAU6nB,OAASzoB,EAAMY,UAAUzvF,OAAO,SAAU,CACxD,mBAAoB,CAGlB6U,QAAS,qCACT67E,YAAY,KAGhB7B,EAAMY,UAAU34C,aAAa,SAAU,SAAU,CAC/CxoC,KAAM,CACJuG,QAAS,YACTo8E,QAAQ,EACRpB,MAAO,aAGXhB,EAAMY,UAAU8nB,IAAM1oB,EAAMY,UAAU6nB,MACxC,CArBAx3G,EAAOC,QAAUu3G,EACjBA,EAAO/yG,YAAc,SACrB+yG,EAAOxmB,QAAU,CAAC,8BCClB,SAASvyE,EAAOswE,GACdA,EAAMY,UAAUlxE,OAASswE,EAAMY,UAAUzvF,OAAO,QAAS,CACvDukD,OAAQ,CACN1vC,QAAS,sCACTo8E,QAAQ,GAGV,aAAc,aACdN,QACE,4RACFC,SACE,wGAEJ/B,EAAMY,UAAU34C,aAAa,SAAU,aAAc,CACnDn/B,KAAM,CACJ9C,QAAS,gEACTo8E,QAAQ,GAGV9xF,YAAa,wBACbkP,MAAO,CACLwG,QAAS,mBACTg7E,MAAO,mBAGJhB,EAAMY,UAAUlxE,OAAOozE,QAChC,CA7BA7xF,EAAOC,QAAUwe,EACjBA,EAAOha,YAAc,SACrBga,EAAOuyE,QAAU,0BCCjB,SAASoB,EAAMrD,IACZ,SAAWA,GACV,IAAI2oB,EAAgB,CAClB3iG,QAAS,uBACTg7E,MAAO,UAELhD,EACF,6FASE4qB,EAAY,eAAiB5qB,EAAO3uE,OAAS,IAC7CyjD,EAAQ0vB,OAAOomB,EAAY,IAAMA,GACjCC,EAAY,CACd7iG,QAAS,wBACT67E,YAAY,EACZb,MAAO,YAEThB,EAAMY,UAAUyC,MAAQ,CACtB,aAAc,CACZr9E,QAAS,kDACT67E,YAAY,EACZQ,OAAQ,CACN,sBAAuB,CACrBr8E,QAAS,UACT67E,YAAY,EACZb,MAAO,YAET,yBAA0B,CACxBh7E,QAAS,UACTg7E,MAAO,eAETluB,MAAO,CACL9sD,QAAS8sD,EACTuvB,OAAQ,CACNrE,OAAQA,EACR,oBAAqB,CACnBh4E,QAAS,IACTg7E,MAAO,cAIb,iBAAkB2nB,EAClB,WApCkB,CACtB3iG,QAAS,yBACTg7E,MAAO,cAmCHhD,OAAQA,IAGZ,iBAAkB2qB,EAClB,WA7CY,CACZ3iG,QAAS,4BACTg7E,MAAO,cA4CP8nB,cAAe,CACb,CAEE9iG,QAAS,2BACTg7E,MAAO,WAET,CACEh7E,QAAS,eACTg7E,MAAO,UACPqB,OAAQ,CACN,aAAcwmB,KAIpBE,OAAQ,CACN/iG,QAAS,kBACTg7E,MAAO,YAEThD,OAAQA,EACRgrB,MAAO,CACL,CAIEhjG,QACE,8EACFg7E,MAAO,cACPqB,OAAQ,CACN,aAAcwmB,IAGlB,CACE7iG,QAAS,KACTg7E,MAAO,gBAGXujB,WAAY,CACVv+F,QAAS,kCACTg7E,MAAO,UAETioB,YAAa,CACXjjG,QAAS,KACTg7E,MAAO,WAGZ,CAlGA,CAkGEhB,EACL,CAvGA/uF,EAAOC,QAAUmyF,EACjBA,EAAM3tF,YAAc,QACpB2tF,EAAMpB,QAAU,0BCChB,SAASinB,EAAKlpB,GAEZA,EAAMY,UAAUsoB,KAAO,CACrBj5F,QAAS,MACTrc,SAAU,CACRoS,QACE,0EACF67E,YAAY,EACZO,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,yCACT67E,YAAY,EACZO,QAAQ,GAEVN,QACE,yEACFxsF,QAAS,qBACTwtF,SAAU,CACR98E,QAAS,oDACTq8E,OAAQ,CACN9jE,UAAW,mBACXyjE,YAAa,OAGjB9sF,OAAQ,qCACR6sF,SAAU,8BACVC,YAAa,gBAEjB,CAhCA/wF,EAAOC,QAAUg4G,EACjBA,EAAKxzG,YAAc,OACnBwzG,EAAKjnB,QAAU,0BCCf,SAASknB,EAAMnpB,GACbA,EAAMY,UAAUuoB,MAAQ,CACtBl5F,QAAS,CACPjK,QAAS,eACT67E,YAAY,GAEdnsC,OAAQ,CACN1vC,QACE,iGACFo8E,QAAQ,GAEVU,SAAU,qBACVlvF,SACE,+lFACFknD,IAAK,uPACLgnC,QACE,41BACFxsF,QAAS,2BACTJ,OACE,kFACF6sF,SACE,+EACFC,YAAa,iBAEfhC,EAAMY,UAAUwoB,IAAMppB,EAAMY,UAAUuoB,KACxC,CA5BAl4G,EAAOC,QAAUi4G,EACjBA,EAAMzzG,YAAc,QACpByzG,EAAMlnB,QAAU,CAAC,8BCCjB,SAASqD,EAAKtF,GACZA,EAAMY,UAAU0E,KAAO,CACrBV,MAAO,CACL,CACE5+E,QACE,oFACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,sBAGjB,CACEh8E,QACE,0FACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,WAKnB,mBAAoB,CAClBh8E,QAAS,wDACT67E,YAAY,EACZQ,OAAQ,CACN6U,aAAc,CACZlxF,QAAS,oCACTg7E,MAAO,aACPqB,OAAQ,CACNL,YAAa,YAGjByD,UAAW,CACTz/E,QAAS,kBACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACNL,YAAa,UAKrB,cAAe,CACb,CACEh8E,QAAS,4BACT67E,YAAY,EACZb,MAAO,SACPqB,OAAQ,CACNL,YAAa,YAGjB,CACEh8E,QAAS,+CACT67E,YAAY,EACZb,MAAO,SACPqB,OAAQ,CACNL,YAAa,WAInByD,UAAW,CACTz/E,QAAS,yBACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACNL,YAAa,QAGjB/xE,QAAS,CAEPjK,QACE,yEACF67E,YAAY,GAEdh6E,MAAO,CAEL,CACE7B,QACE,gFACFq8E,OAAQ,CACNL,YACE,sFACFgE,UAAW,OAGf,CACEhgF,QACE,8FACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,4CACbgE,UAAW,QAIjBtkC,GAAI,CACF17C,QACE,sFACF67E,YAAY,EACZb,MAAO,eAET+W,MAAO,CACL/xF,QAAS,6BACT67E,YAAY,EACZb,MAAO,aAET,sBAAuB,CACrBh7E,QACE,mLACF67E,YAAY,EACZb,MAAO,UAET,gBAAiB,CACfh7E,QAAS,4DACTq8E,OAAQ,CACN,4BAA6B,CAC3Br8E,QAAS,MACTg7E,MAAO,iBAIb,uBAAwB,CACtBh7E,QACE,oFACFq8E,OAAQ,CACN,4BAA6B,CAC3Br8E,QAAS,wDACTg7E,MAAO,iBAIb,cAAe,CACbh7E,QACE,wGACF67E,YAAY,EACZb,MAAO,eAET,gBAAiB,CACfh7E,QAAS,sCACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,SAGjBiD,OAAQ,CACN,CACEj/E,QACE,sHACF67E,YAAY,EACZQ,OAAQ,CACN8C,KAAM,CACJn/E,QAAS,qBACT67E,YAAY,GAEdqD,OAAQ,CACNl/E,QAAS,iBACT67E,YAAY,GAEd,iBAAkB,CAChB77E,QAAS,iBACT67E,YAAY,EACZb,MAAO,UAEThmF,KAAM,CACJgL,QAAS,oBACTg7E,MAAO,WACPqB,OAAQ,CACNL,YAAa,UAGjB,mBAAoB,CAClBh8E,QAAS,eACT67E,YAAY,EACZb,MAAO,cAETkW,aAAc,CACZlxF,QAAS,iBACT67E,YAAY,EACZb,MAAO,cAETgB,YAAa,kBAInBl4E,KAAM,CACJ,CACE9D,QAAS,4CACTg7E,MAAO,SACPqB,OAAQ,CACNL,YAAa,aAGjB,CACEh8E,QACE,wFACFg7E,MAAO,SACPqB,OAAQ,CACNL,YAAa,oBAQnBA,YAAa,CACXh8E,QAAS,6DACT67E,YAAY,GAGlB,CArNA5wF,EAAOC,QAAUo0F,EACjBA,EAAK5vF,YAAc,OACnB4vF,EAAKrD,QAAU,0BCCf,SAASonB,EAAIrpB,GACXA,EAAMY,UAAUyoB,IAAM,CACpBp5F,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEVt5E,KAAM,CACJ9C,QAAS,mCACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,kCACTo8E,QAAQ,GAEViB,MAAO,CACLr9E,QACE,iFACF67E,YAAY,EACZO,QAAQ,GAEVN,QACE,kFACFwC,QAAS,eACThvF,QAAS,qBACTm9D,KAAM,wBACNO,KAAM,wBACNN,SAAU,0CACV/c,OAAQ,6DACRzgD,OAAQ,4BACR8sF,YAAa,qCACbhwE,UAAW,4DAEf,CAnCA/gB,EAAOC,QAAUm4G,EACjBA,EAAI3zG,YAAc,MAClB2zG,EAAIpnB,QAAU,0BCCd,SAASqnB,EAAStpB,GAChBA,EAAMY,UAAU0oB,SAAW,CACzBr5F,QAAS,MACT6xE,QAAS,CACP97E,QACE,gFACF67E,YAAY,GAEd0nB,UAAW,CACTvjG,QAAS,qBACTg7E,MAAO,YAETptF,SAAU,qBACV/C,MAAO,CACLmV,QAAS,2BACT67E,YAAY,EACZb,MAAO,cAETwoB,SAAU,CACRxjG,QAAS,eACTg7E,MAAO,WAETyoB,SAAU,CACRzjG,QAAS,SACT67E,YAAY,EACZb,MAAO,YAETgB,YAAa,YAEjB,CAhCA/wF,EAAOC,QAAUo4G,EACjBA,EAAS5zG,YAAc,WACvB4zG,EAASrnB,QAAU,yBCCnB,SAASynB,EAAe1pB,IACrB,SAAWA,GACV,IAAI/vE,EAAU,CACZjK,QAAS,wBACT67E,YAAY,EACZO,QAAQ,GAENQ,EAAW,CACb58E,QAAS,iEACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,kBAGjB,SAAS2nB,EAAcj5G,EAAM2xF,GAC3B,IAAIunB,EAAiB,CACrBA,iBAAmC,CACjC5jG,QAAS,mBACTg7E,MAAO,YAET,IAAK,IAAIhrE,KAASqsE,EAChBunB,EAAe5zF,GAASqsE,EAAOrsE,GAWjC,OATA4zF,EAAoB,IAAI,CACtB5jG,QAAS,sCACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,UAGjB4nB,EAAyB,SAAIhnB,EAC7BgnB,EAAwB,QAAI35F,EACrB,CACLjK,QAASw8E,OACP,wDAAwDnzE,OAAOxY,QAC7D,WACA,WACE,OAAOnG,CACT,IAEF,MAEFswF,MAAO,UACPqB,OAAQunB,EAEZ,CACA,IAAIC,EAAS,CACX7jG,QACE,gFACF67E,YAAY,EACZb,MAAO,UAEL8oB,EAAe,CACjB9jG,QAAS,qCACT67E,YAAY,EACZb,MAAO,WACPqB,OAAQ,CACNO,SAAUA,IAGVmnB,EAAmB,CACrB/jG,QAAS,6DACT67E,YAAY,EACZQ,OAAQ,CACNO,SAAUA,IAGd5C,EAAMY,UAA0B,eAAI,CAClClvE,SAAUi4F,EAAc,WAAY,CAClCK,cAAe,CACbhkG,QACE,oFACF67E,YAAY,EACZb,MAAO,UAETptF,SAAU,CACRoS,QAAS,2CACT67E,YAAY,KAGhBooB,UAAWN,EAAc,aACzB,aAAcA,EAAc,aAAc,CACxC,YAAaG,EACbE,cAAeH,EACfj2G,SAAUm2G,IAEZvmB,SAAUmmB,EAAc,WAAY,CAClC,eAAgBG,EAChBE,cAAeH,EACfj2G,SAAUm2G,IAEZG,MAAOP,EAAc,QAAS,CAC5B,YAAaG,EACbE,cAAeH,EACfj2G,SAAUm2G,IAEZ95F,QAASA,GAEX+vE,EAAMY,UAAUupB,MAAQnqB,EAAMY,UAA0B,cACzD,CAlGA,CAkGEZ,EACL,CAvGA/uF,EAAOC,QAAUw4G,EACjBA,EAAeh0G,YAAc,iBAC7Bg0G,EAAeznB,QAAU,yBCCzB,SAAS+H,EAAKhK,IAOX,SAAWA,GACVA,EAAMY,UAAUoJ,KAAOhK,EAAMY,UAAUzvF,OAAO,QAAS,CACrD8e,QAAS,CACPjK,QAAS,8BACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QACE,4EACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,UAGjBF,QACE,mSACFC,SACE,4EACFC,YAAa,iBAEfhC,EAAMY,UAAU34C,aAAa,OAAQ,WAAY,CAC/C,eAAgB,CACdjiC,QAAS,KACTg7E,MAAO,iBAGX,IAAIuG,EAAgB,CAClBvhF,QAAS,oDACT67E,YAAY,EACZQ,OAAQ,CACNjuF,QAAS,CACP4R,QAAS,uBACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAUoJ,MAE1BjC,UAAW,CACT/hF,QAAS,WACTg7E,MAAO,wBAINhB,EAAMY,UAAUoJ,KAAKlH,SAC5B,IAAIsnB,EACF,MACA,CACE,oDAAoD/6F,OACpD,sDAAsDA,OACtD,sDAAsDA,OACtD,0DAA0DA,OAC1D,kDAAkDA,QAClD3a,KAAK,KACP,IACE21G,EACF,sEACGh7F,OACL2wE,EAAMY,UAAU34C,aAAa,OAAQ,UAAW,CAC9C,gBAAiB,CACf,CACEjiC,QAASw8E,OACP,KAAKnzE,OAAS+6F,EAAoB,mBAAmB/6F,QAEvD+yE,QAAQ,EACRC,OAAQ,CACNkF,cAAeA,EACflE,MAAO,YAGX,CACEr9E,QACE,iGACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAeA,EACflE,MAAO,aAIbT,SAAU,+BACVjtC,OAAQ,CACN,CACE3vC,QAASw8E,OAAO,YAAYnzE,OAASg7F,GACrCxoB,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAASw8E,OACP,oBAAoBnzE,OAASg7F,EAAa,aAAah7F,QAEzDwyE,YAAY,EACZO,QAAQ,IAGZ,oBAAqB,CACnBp8E,QAAS,gCACT67E,YAAY,EACZQ,OAAQ,CACNS,SAAU,SACVhB,QAAS,UACT,aAAc,OACdE,YAAa,SAInBhC,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAC7C,iBAAkB,CAChB,CACEjiC,QAASw8E,OAAO,cAAcnzE,OAAS+6F,GACvChoB,QAAQ,EACRC,OAAQ,CACNkF,cAAeA,EACf7xC,OAAQ,YAGZ,CACE1vC,QACE,oEACFo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAeA,EACf7xC,OAAQ,YAGZ,CACE1vC,QAAS,kDACTg7E,MAAO,iBACPoB,QAAQ,EACRC,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,kCACTq8E,OAAQ,CACN1sC,OAAQ,QACRqsC,YAAa,aAGjBuF,cAAeA,EACf7xC,OAAQ,YAGZ,CACE1vC,QAAS,oDACTg7E,MAAO,iBACPoB,QAAQ,EACRC,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,oCACTq8E,OAAQ,CACN1sC,OAAQ,QACRqsC,YAAa,iBAGjBtsC,OAAQ,aAId,kBAAmB,CACjB,CACE1vC,QAASw8E,OAAO,KAAKnzE,OAAS+6F,GAC9BhoB,QAAQ,EACRC,OAAQ,CACNkF,cAAeA,EACfvE,QAAS,CACPh9E,QAAS,UACTg7E,MAAO,YAIb,CACEh7E,QAAS,0DACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAeA,EACfvE,QAAS,CACPh9E,QAAS,UACTg7E,MAAO,sBAMVhB,EAAMY,UAAUoJ,KAAKt0C,OAC5BsqC,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAC7Cq8C,QACE,+OACFpB,SAAU,iCAEZlD,EAAMY,UAAU1/B,GAAK8+B,EAAMY,UAAUoJ,IACtC,CA3LA,CA2LEhK,EACL,CAtMA/uF,EAAOC,QAAU84F,EACjBA,EAAKt0F,YAAc,OACnBs0F,EAAK/H,QAAU,CAAC,6BCChB,SAASqoB,EAAKtqB,IACX,SAAWA,GAEV,IADA,IAAIuqB,EAAmB,8CAA8Cl7F,OAC5D5d,EAAI,EAAGA,EAAI,EAAGA,IAErB84G,EAAmBA,EAAiB1zG,QAAQ,WAAW,WACrD,OAAO0zG,CACT,IAEFA,EAAmBA,EAAiB1zG,QAAQ,WAAW,WACrD,MAAO,UAAUwY,MACnB,IACA2wE,EAAMY,UAAU0pB,KAAO,CACrBr6F,QAAS,CACP,CACEjK,QAASw8E,OAAO,YAAYnzE,OAASk7F,GACrC1oB,YAAY,EACZO,QAAQ,GAEV,CACEp8E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN1vC,QAAS,wDACTo8E,QAAQ,GAEVt5E,KAAM,CACJ9C,QACE,2EACFo8E,QAAQ,GAEVxrF,UAAW,CACToP,QAAS,8CACTo8E,QAAQ,EACRpB,MAAO,YACPqB,OAAQ,CACN3sC,OAAQ,OAIZ,iBAAkB,CAChB1vC,QAAS,2DACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACN,sBAAuB,CACrBr8E,QAAS,UACTg7E,MAAO,eAETsE,KAAM,OAGV,sBAAuB,CACrBt/E,QAAS,OACTg7E,MAAO,UAET,qBAAsB,CACpBh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,eAET4B,SAAU,QACV,sBAAuB,CACrB58E,QAAS,eACT67E,YAAY,EACZb,MAAO,YAET,kBAAmB,CACjBh7E,QAAS,6CACT67E,YAAY,EACZb,MAAO,cAET,qBAAsB,CACpB,CACEh7E,QAAS,qCACT67E,YAAY,EACZb,MAAO,aAET,CACEh7E,QACE,wFACF67E,YAAY,EACZb,MAAO,YACPqB,OAAQ,CACNL,YAAa,QAInBF,QAAS,CAEP,8RAEA,gEAKFgB,SAAU,kCACVkC,MAAO,CACLh/E,QAAS,SACTg7E,MAAO,YAETkC,SAAU,sBACV,aAAc,eACd3kE,UAAW,CACTvY,QAAS,6DACTq8E,OAAQ,CACNL,YAAa,OAIjB9sF,OACE,6KACFI,QAAS,qBACT0sF,YAAa,mCACbD,SAAU,uDAEZ/B,EAAMY,UAAU0pB,KAAK,kBAAkBjoB,OAAOiD,KAAOtF,EAAMY,UAAU0pB,KACrEtqB,EAAMY,UAAU0pB,KAAgB,UAAEjoB,OAAe,OAC/CrC,EAAMY,UAAU0pB,KAAa,MAChC,CA1HA,CA0HEtqB,EACL,CA/HA/uF,EAAOC,QAAUo5G,EACjBA,EAAK50G,YAAc,OACnB40G,EAAKroB,QAAU,0BCCf,SAASuoB,EAAIxqB,IACV,SAAWA,GACV,IAAIqW,EAAgB,8CAA8ChnF,OAC9Dna,EAAS,mDACTu1G,EAAkB,CACpBzkG,QAASw8E,OAAO6T,EAAgB,QAChCrV,MAAO,UAEL0pB,EAAgB,CAClB1kG,QAAS,eAEP2kG,EAAe,CACjB3kG,QACE,kVACF67E,YAAY,EACZb,MAAO,WAELr5E,EAAO,CACT3B,QAAS,6CACTg7E,MAAO,UACPa,YAAY,GAEV5xE,EAAU,CACZ,mBACA,CACEjK,QAAS,0BACT67E,YAAY,IAGZnsC,EAAS,CACX1vC,QAASw8E,OAAO6T,GAChBjU,QAAQ,GAENJ,EAAc,qBACdvsC,EAAO,CACTzvC,QAAS,gBACTg7E,MAAO,WAELiJ,EAAO,CACTnH,SAAUrtC,EACV,YAAa,CACXzvC,QAAS,kBACT67E,YAAY,GAEdE,SAAU,IACV,iBAAkB2oB,EAClBpL,IAAK,CACHt5F,QAAS,UACTg7E,MAAO,WAET9rF,OAAQA,EACR,mBAAoBu1G,EACpBzoB,YAAaA,EACbtsC,OAAQA,GAENk1D,EAAS,CACX5kG,QAAS,gCACTq8E,OAAQ,CACNP,QAAS,wBACT+oB,OAAQ,IACRD,OAAQ,CACN5kG,QAAS,oBACTg7E,MAAO,YAIT8pB,EAAY,CACd9kG,QAAS,iDACTq8E,OAAQ,CACNP,QAAS,mBACT8oB,OAAQ,CACN5kG,QAAS,cACTg7E,MAAO,YAIT+pB,EAAmB,CACrB/kG,QACE,iLACF67E,YAAY,EACZb,MAAO,WAELgqB,EAAkB,CACpBhlG,QAAS,gEACT67E,YAAY,EACZb,MAAO,WAELiqB,EACF,+iCACG57F,OACD67F,EAAa,CACfllG,QAASw8E,OACP,+CAA+CnzE,OAAOxY,QACpD,UACA,WACE,OAAOo0G,CACT,IAEF,KAEFppB,YAAY,EACZQ,OAAQ,CACNP,QAASU,OACP,sBAAsBnzE,OAAOxY,QAAQ,UAAU,WAC7C,OAAOo0G,CACT,IACA,KAEFrvG,OAAQ,CACNoK,QAAS,cACTg7E,MAAO,WAET/wE,QAASA,EACT6yE,SAAUrtC,EACV,YAAaw0C,EAAK,aAClBlI,SAAUkI,EAAKlI,SACfsE,SAAU4D,EAAKqV,IACfpqG,OAAQA,EACR,mBAAoBu1G,EACpBzoB,YAAaA,EACbtsC,OAAQA,IAGR8tC,EAAW,CACbx9E,QACE,yxBACF67E,YAAY,GAEd7B,EAAMY,UAAU4pB,IAAM,CACpBW,UAAW,CACTnlG,QAAS,uDACT67E,YAAY,EACZb,MAAO,SACPqB,OAAQ,CACNP,QAAS,CACP97E,QAAS,8BAEXg8E,YAAa,MAGjB,WAAY,CACVh8E,QACE,+FACF67E,YAAY,EACZQ,OAAQ,CACNsB,IAAK,CACH39E,QAASw8E,OACP,oKAAoKnzE,OAAOxY,QACzK,UACA,WACE,OAAOw/F,CACT,IAEF,MAEFrV,MAAO,eACPqB,OAAQrC,EAAMY,UAAU+C,KAE1B,oBAAqBonB,EACrB,iBAAkB,CAChB/kG,QACE,mFACF67E,YAAY,EACZb,MAAO,WAET9rF,OAAQA,EACR,mBAAoBu1G,EACpBzoB,YAAaA,EACbtsC,OAAQA,IAGZ,cAAe,CACb1vC,QACE,0FACF67E,YAAY,EACZQ,OAAQ,CACNpyE,QAASA,EACTokF,OAAQ,CACNruF,QAASw8E,OACP,iFAAiFnzE,OAAOxY,QACtF,UACA,WACE,OAAOw/F,CACT,IAEF,MAEFxU,YAAY,EACZb,MAAO,kBACPqB,OAAQrC,EAAMY,UAAUyT,QAE1BvS,QAAS0B,EACT,mBAAoBwnB,EACpB,oBAAqBD,EACrB71G,OAAQA,EACR,mBAAoBu1G,EACpBzoB,YAAaA,EACbtsC,OAAQA,IAGZ,WAAY,CACV1vC,QACE,uFACF67E,YAAY,EACZQ,OAAQ,CACNpyE,QAASA,EACTygF,IAAK,CACH1qF,QAASw8E,OACP,iFAAiFnzE,OAAOxY,QACtF,UACA,WACE,OAAOw/F,CACT,IAEF,MAEFxU,YAAY,EACZb,MAAO,eACPqB,OAAQrC,EAAMY,UAAU8P,KAE1B5O,QAAS0B,EACT,mBAAoBwnB,EACpB,oBAAqBD,EACrB71G,OAAQA,EACR,mBAAoBu1G,EACpBzoB,YAAaA,EACbtsC,OAAQA,IAGZ,WAAY,CACV1vC,QACE,mFACF67E,YAAY,EACZQ,OAAQ,CACNpyE,QAASA,EACT,gBAAiB,CACfjK,QAAS,kCACT67E,YAAY,EACZQ,OAAQ,CACNyB,UAAW,CACT99E,QAAS,qBACTq8E,OAAQ,CACNP,QAAS,qBAGbwD,KAAM2E,IAGV,cAAeihB,EACfpnB,UAAW,CACT99E,QACE,6DACF67E,YAAY,EACZQ,OAAQ4H,GAEVtiF,KAAMA,EACNm6E,QAAS0B,EACTV,SAAUrtC,EACVm1D,OAAQA,EACRE,UAAWA,EACX,oBAAqBC,EACrB71G,OAAQA,EACR,mBAAoBu1G,EACpBzoB,YAAaA,EACbtsC,OAAQA,IAGZ,YAAa,CACX1vC,QAASw8E,OACP,2CAA2CnzE,OAAOxY,QAChD,UACA,WACE,OAAOw/F,CACT,IAEF,MAEFxU,YAAY,EACZQ,OAAQ4H,GAGV,gBAAiB0gB,EACjB,iBAAkBD,EAClB,yBAA0B,CACxB1kG,QACE,2EACF67E,YAAY,EACZQ,OAAQ,CACNS,SAAU,CACR98E,QAAS,gDACTg7E,MAAO,WAET,gBAAiB2pB,EACjB,iBAAkBD,EAClB,eAAgB,CACd1kG,QAAS,uBAEXg8E,YAAaA,IAGjB,oBAAqB,CACnBh8E,QAAS,sBACTq8E,OAAQ,CACNP,QAAS,YAGb,YAAa,CACX97E,QAAS,qBACTq8E,OAAQ,CACNP,QAAS,WAIbkD,MAAO,CACLh/E,QAAS,cACTg7E,MAAO,WAETprF,MAAO,CACLoQ,QAAS,2BACTq8E,OAAQ,CACNzsF,MAAO,CACLorF,MAAO,UACPh7E,QAAS,WAEXiK,QAASA,EACT/a,OAAQA,EACR,mBAAoBu1G,IAGxB,eAAgB,CACdzkG,QAAS,0CACT67E,YAAY,EACZQ,OAAQ4H,GAEV,cAAeihB,EACfj7F,QAASA,EACT6yE,SAAUrtC,EACVm1D,OAAQA,EACRE,UAAWA,EACX,mBAAoBL,EACpB/3C,SAAU,CAER1sD,QAASw8E,OAAO6T,EAAgB,aAChCrV,MAAO,UAETtrC,OAAQA,EACR/tC,KAAMA,EACNm6E,QAAS0B,EAET,mBAAoB,CAClBx9E,QAAS,oCACTg7E,MAAO,YAGT9rF,OAAQA,EACR6sF,SAAU,8DACVC,YAAaA,EAEhB,CArWA,CAqWEhC,EACL,CA1WA/uF,EAAOC,QAAUs5G,EACjBA,EAAI90G,YAAc,MAClB80G,EAAIvoB,QAAU,yBCCd,SAASmpB,EAAKprB,IACX,SAAWA,GACVA,EAAMY,UAAUwqB,KAAOprB,EAAMY,UAAUzvF,OAAO,MAAO,CAEnD8e,QAAS,CACPjK,QAAS,gDACT67E,YAAY,EACZO,QAAQ,KAGZpC,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAE7C,cAAe,CAEbjiC,QAAS,sBACTo8E,QAAQ,EACRC,OAAQ,CACNkL,OAAQ,8BAIPvN,EAAMY,UAAUwqB,KAAK7d,OAC5B,IAAI3K,EAAW,yBACXb,EAAW,CACb,2CACA,CACE/7E,QAAS,cACT67E,YAAY,IAGhB7B,EAAMY,UAAU34C,aAAa,OAAQ,WAAY,CAE/C,gBAAiB,CACfjiC,QAAS,eACTo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,IACbY,SAAUA,EACVb,SAAUA,IAId,gBAAiB,CACf/7E,QAAS,qCACTo8E,QAAQ,EACRC,OAAQ,CACNzuF,SAAU,CACR,kBACA,CACEoS,QAAS,aACT67E,YAAY,IAGhBG,YAAa,IACbY,SAAUA,EACVb,SAAUA,EACViE,UAAWhG,EAAMY,UAAUwqB,KAAKplB,qBAI/BhG,EAAMY,UAAUwqB,KAAKx3G,gBACrBosF,EAAMY,UAAUwqB,KAAKplB,UAE5BhG,EAAMY,UAAU34C,aAAa,OAAQ,cAAe,CAClDr2C,SAAU,CACRoU,QACE,yHACF67E,YAAY,EACZO,QAAQ,IAGb,CAtEA,CAsEEpC,EACL,CA3EA/uF,EAAOC,QAAUk6G,EACjBA,EAAK11G,YAAc,OACnB01G,EAAKnpB,QAAU,gCCHf,IAAIyV,EAAgB1kG,EAAQ,MAI5B,SAASq4G,EAAMrrB,GACbA,EAAMU,SAASgX,GACf1X,EAAMY,UAAUyqB,MAAQrrB,EAAMY,UAAUzvF,OAAO,OAAQ,CACrD,uBAAwB,CACtB6U,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,UAETtrC,OAAQ,CACN1vC,QAAS,kCACTo8E,QAAQ,GAEVN,QACE,qPACF5sF,OACE,0EACFovF,QACE,8FACF3uC,OAAQ,kBAEVqqC,EAAMY,UAAU34C,aAAa,QAAS,uBAAwB,CAC5D,uBAAwB,CACtBjiC,QACE,6HACFo8E,QAAQ,EACRC,OAAQ,CACN7vF,GAAI,CACFwT,QAAS,OACTo8E,QAAQ,EACRpB,MAAO,YAEThD,OAAQ,CACNh4E,QAAS,eACTo8E,QAAQ,EACRpB,MAAO,UAETuG,cAAe,CACbvhF,QAAS,sCACTo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,aACbwF,WAAY,CACVxhF,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUyqB,SAI9B31D,OAAQ,qBAIPsqC,EAAMY,UAAUyqB,MAAM,qBACtBrrB,EAAMY,UAAUyqB,MAAgB,QACzC,CAxDAp6G,EAAOC,QAAUm6G,EACjBA,EAAM31G,YAAc,QACpB21G,EAAMppB,QAAU,0BCChB,SAASp3E,EAAOm1E,IACb,SAAWA,GACVA,EAAMY,UAAU/1E,OAAS,CAMvBoF,QACE,yIACFylC,OAAQ,CACN1vC,QAAS,oBACTo8E,QAAQ,GAEVzsC,OAAQ,CACN3vC,QAAS,kBACTo8E,QAAQ,GAEVt5E,KAAM,CACJ9C,QACE,0EACFo8E,QAAQ,GAEV,mBAAoB,CAElB,CACEp8E,QACE,qEACF67E,YAAY,GAEd,CACE77E,QAAS,+CACT67E,YAAY,IAGhBC,QAAS,CACP97E,QACE,qZACF67E,YAAY,GAEdyC,QAAS,CAEPt+E,QACE,0oDACF67E,YAAY,GAEdE,SAAU,CACR/7E,QAAS,4DACT67E,YAAY,GAEd3sF,OAAQ,CA0BN8Q,QAASw8E,OA+Cb,SAAmB7B,GACjB,IAAK,IAAIxwF,KAAOwwF,EACdA,EAAQxwF,GAAOwwF,EAAQxwF,GAAK0G,QAAQ,cAAc,SAAU1G,GAC1D,MAAO,MAAQwwF,EAAQxwF,GAAK8F,OAAS,GACvC,IAEF,OAAO0qF,EAAQxwF,EACjB,CArDMm7G,CAAU,CACR,cACE,2DAA2Dj8F,OAC7D,aAAc,sCAAsCA,OACpD,kBAAmB,uCAAuCA,OAC1D,gBACE,6DACGA,OACL,YAAa,8CAA8CA,OAC3D,cAAe,4BAA4BA,OAC3C,aAAc,sCAAsCA,OACpD,kBAAmB,uCAAuCA,OAC1D,gBACE,6DACGA,OACL,YAAa,iDACVA,OACH,WAAY,wDACTA,SAEL,KAEFwyE,YAAY,GAEdvsF,QAAS,CACP0Q,QAAS,qDACT67E,YAAY,GAEdiB,SAAU,CACR98E,QACE,4EACF67E,YAAY,GAEdsC,WAAY,CACVn+E,QAAS,oDACT67E,YAAY,EACZO,QAAQ,GAEVJ,YAAa,YAgBhB,CAlIA,CAkIEhC,EACL,CAvIA/uF,EAAOC,QAAU2Z,EACjBA,EAAOnV,YAAc,SACrBmV,EAAOo3E,QAAU,0BCCjB,SAASspB,EAAKvrB,GACZA,EAAMY,UAAU2qB,KAAOvrB,EAAMY,UAAUzvF,OAAO,MAAO,CACnD8e,QAAS,CACPjK,QAAS,uCACT67E,YAAY,GAEd0L,OAAQ,CACNvnF,QAAS,sDACTq8E,OAAQ,CACNI,KAAM,YAIVxvB,IAAK,0BAQLrhE,SAAU,CAERoU,QACE,iGACFq8E,OAAQ,CACN3vD,OAAQ,CACN1sB,QAAS,IACTg7E,MAAO,aAET96E,YAAa,UACb08E,SAAU,2BAGdhvF,SAAU,CACRoS,QAAS,2CACTq8E,OAAQ,CACNO,SAAU,6BAIhB5C,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAC7C65C,QAAS,CACP,oHACA,CACE97E,QAAS,2BACT67E,YAAY,MAIlB7B,EAAMY,UAAU34C,aAAa,OAAQ,YAAa,CAEhD26C,SAAU,2BAEZ5C,EAAMY,UAAU34C,aAAa,OAAQ,WAAY,CAC/C,kBAAmB,CACjBjiC,QAAS,6BACTg7E,MAAO,WAET96E,YAAa,CACXF,QAAS,UACTg7E,MAAO,YAET8C,UAAW,CACT99E,QAAS,6BACTg7E,MAAO,WAET1rF,QAAS,qBACTumG,KAAM,CACJ71F,QAAS,WACTg7E,MAAO,WAETe,SAAU,CACR/7E,QAAS,kDACT67E,YAAY,KAGhB7B,EAAMY,UAAU2qB,KAAa,OAAElpB,OAAOiD,KAAOtF,EAAMY,UAAU2qB,IAC/D,CAjFAt6G,EAAOC,QAAUq6G,EACjBA,EAAK71G,YAAc,OACnB61G,EAAKtpB,QAAU,gCCHf,IAAIupB,EAAgBx4G,EAAQ,MAI5B,SAASy4G,EAAazrB,GACpBA,EAAMU,SAAS8qB,GACd,SAAWxrB,GAGV,IAAI0rB,EAAU,CAEZ,wDAAwDr8F,OACxD,UAAUA,OACV,2BAA2BA,OAE3B,0CAA0CA,QAC1C3a,KAAK,KACPsrF,EAAMY,UAAU,iBAAmB,CACjCoC,QAAS,CACPh9E,QAASw8E,OAEP,IAAInzE,OACF,MACC,kEACEA,OACD,IAGA,+BAA+BA,OACjC,KACA,cAAcA,OACd,qFAAqFA,OAAOxY,QAC1F,YACA,WACE,OAAO60G,CACT,IAEJ,KAEFtpB,QAAQ,EACRC,OAAQ,CACNhuF,KAAM,CAIJ2R,QAAS,WACTg7E,MAAO,cACPqB,OAAQ,CACNspB,KAAM,uCACN3pB,YAAa,IACb8O,KAAM,YAGVrK,KAAM,CACJzgF,QAAS,uBACT67E,YAAY,EACZb,MAAO,gBACPqB,OAAQrC,EAAMY,UAAU6F,MAE1B,eAAgB,CACdzgF,QAAS,SACTg7E,MAAO,eAIb7uE,OAAQ,yBAEV6tE,EAAMY,UAAU,cAAgBZ,EAAMY,UAAwB,aAC5DZ,EAAMY,UAAU,gBACnB,CA/DA,CA+DEZ,EACL,CArEA/uF,EAAOC,QAAUu6G,EACjBA,EAAa/1G,YAAc,eAC3B+1G,EAAaxpB,QAAU,0BCCvB,SAAS2pB,EAAM5rB,GAGbA,EAAMY,UAAUgrB,MAAQ,CACtB37F,QAAS,MACTylC,OAAQ,CACN1vC,QAAS,gEACTo8E,QAAQ,GAEV,aAAc,CACZp8E,QACE,oEACF67E,YAAY,EACZQ,OAAQ,CACN,aAAc,CACZr8E,QAAS,iCACT67E,YAAY,GAEdtjE,UAAW,CACTvY,QAAS,iCACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjBsC,QAAS,OAGbA,QAAS,CACP,CAEEt+E,QAAS,wBACT67E,YAAY,GAEd,CAEE77E,QAAS,uBACT67E,YAAY,IAGhBC,QAAS,CACP,CACE97E,QAAS,mBACT67E,YAAY,GAEd,CACE77E,QAAS,4BACT67E,YAAY,GAEd,CACE77E,QACE,0JACF67E,YAAY,IAGhBiB,SAAU,CACR98E,QAAS,qCACT67E,YAAY,GAEdkW,MAAO,CACL/xF,QAAS,cACTg7E,MAAO,YAETN,SAAU,CACR16E,QAAS,8BACT67E,YAAY,EACZb,MAAO,YAET1rF,QAAS,CACP0Q,QAAS,sCACT67E,YAAY,GAEd3sF,OAAQ,CACN8Q,QACE,sJACF67E,YAAY,GAEdriF,MAAO,CACLwG,QAAS,SACT67E,YAAY,EACZb,MAAO,YAETe,SAAU,gBACVC,YAAa,YAEjB,CAxFA/wF,EAAOC,QAAU06G,EACjBA,EAAMl2G,YAAc,QACpBk2G,EAAM3pB,QAAU,0BCChB,SAAS4pB,EAAU7rB,GACjBA,EAAMY,UAAUirB,UAAY,CAC1B57F,QAAS,CACPjK,QAAS,iBACTo8E,QAAQ,GAEVt5E,KAAM,CACJ9C,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,iBACTo8E,QAAQ,GAEVzsC,OAAQ,oDACR,kBAAmB,CACjB3vC,QAAS,oBACT67E,YAAY,EACZQ,OAAQ,CACNO,SAAU,aACVZ,YAAa,OAGjB,sBAAuB,CACrBh8E,QAAS,YACTq8E,OAAQ,CACNO,SAAU,YACVZ,YAAa,OAGjBF,QAAS,6BACTxsF,QAAS,qBACTJ,OAAQ,CACN,2CACA,8BAEF6sF,SAAU,iDACVC,YAAa,iBAEjB,CA1CA/wF,EAAOC,QAAU26G,EACjBA,EAAUn2G,YAAc,YACxBm2G,EAAU5pB,QAAU,gCCHpB,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAAS84G,EAAO9rB,GACdA,EAAMU,SAAS6N,GACd,SAAWvO,GACVA,EAAMY,UAAUkrB,OAAS,CACvB77F,QAAS,CACPjK,QAAS,oBACTo8E,QAAQ,GAEV,eAAgB,CACdp8E,QAAS,4BACTo8E,QAAQ,EACRC,OAAQ,CACNypB,OAAQ,CACN9lG,QAAS,sBACTq8E,OAAQ,MAEV2b,IAAK,CACHh4F,QAAS,UACTg7E,MAAO,eACPqB,OAAQrC,EAAMY,UAAUod,OAI9BtoD,OAAQ,CACN,CACE1vC,QAAS,wBACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,qBACTq8E,OAAQ,CACN,4BAA6B,CAC3Br8E,QAAS,cACTg7E,MAAO,eAETwG,WAAY,CACVxhF,QAAS,UACTq8E,OAAQ,QAIdO,SAAU,UAGd,CACE58E,QAAS,wBACTo8E,QAAQ,IAGZN,QAAS,CACP97E,QAAS,6BACT67E,YAAY,EACZO,QAAQ,GAEV2F,UAAW,CACT/hF,QAAS,aACTo8E,QAAQ,EACRpB,MAAO,eAET9rF,OAAQ,+DACR0tF,SAAU,CACR,cACA,cACA,CACE58E,QAAS,kCACT67E,YAAY,GAEd,CACE77E,QAAS,sBACT67E,YAAY,IAGhBiB,SAAU,CACR98E,QAAS,wCACT67E,YAAY,GAEd,YAAa,uBACbvsF,QAAS,mCACT0sF,YAAa,oBACbD,SAAU,CACR,mCACA,iDACA,mDAGJ/B,EAAMY,UAAUkrB,OAAO,gBAAgBzpB,OAAOypB,OAAOzpB,OACnDrC,EAAMY,UAAUkrB,OAClB9rB,EAAMY,UAAUkrB,OAAOp2D,OAAO,GAAG2sC,OAAOkF,cAAclF,OAAOmF,WAAWnF,OACtErC,EAAMY,UAAUkrB,OAClB,IAAIp2D,EAAS,8CACTq2D,EAAgBvpB,OAElB,mBAAmBnzE,OACjB,IACA,2BAA2BA,OAC3B,IACA,uEAAuEA,OAAOxY,QAC5E,UACA,WACE,OAAO6+C,EAAOrmC,MAChB,IAEJ,KAEF2wE,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3C,IAEI0qB,GAAoB,EACxBhsB,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,SACAyqB,GACA,SAAU/5G,GAKR,MAXmB,eAQfA,IACFg6G,GAAoB,IAEjBA,IAZgB,cAafh6G,IACFg6G,GAAoB,IAEf,EAGX,GAEJ,IACAhsB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,SACjE,GACD,CAhIA,CAgIEtB,EACL,CAtIA/uF,EAAOC,QAAU46G,EACjBA,EAAOp2G,YAAc,SACrBo2G,EAAO7pB,QAAU,0BCCjB,SAASgqB,EAAIjsB,IAGV,SAAWA,GACV,IAAIwD,EACF,0PACFxD,EAAMY,UAAUqrB,IAAM,CAEpBh8F,QACE,4EACFylC,OAAQ,CACN1vC,QAAS,sBACTo8E,QAAQ,GAEV,aAAc,CACZ,CAOEp8E,QAASw8E,OACP,2HAA2HnzE,OACxHxY,QAAQ,eAAe,WACtB,MAAO,iBAAiBwY,MAC1B,IACCxY,QAAQ,eAAe,WACtB,MAAO,6FACJwY,MACL,IACCxY,QAAQ,cAAc,WACrB,MAAO,+BAA+BwY,MACxC,IACCxY,QAAQ,cAAc,WACrB,OAAO2sF,EAASn0E,MAClB,IACF,KAEFwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,MAEV,CACEr8E,QACE,yFACF67E,YAAY,IAGhBiB,SAAU,CACR98E,QAAS,qCACT67E,YAAY,GAEdC,QAAS0B,EACTZ,SAAU,CACR58E,QAAS,oBACT67E,YAAY,GAEd3sF,OAAQ,iDACRg3G,KAAM,CACJlmG,QAAS,2BACTg7E,MAAO,YAET1rF,QAAS,sBACTysF,SAAU,4CACVC,YAAa,kBAEfhC,EAAMY,UAAUqrB,IAAI,cAAc,GAAG5pB,OAASrC,EAAMY,UAAUqrB,IAC9DjsB,EAAMY,UAAUurB,MAAQnsB,EAAMY,UAAUqrB,GACzC,CAlEA,CAkEEjsB,EACL,CAzEA/uF,EAAOC,QAAU+6G,EACjBA,EAAIv2G,YAAc,MAClBu2G,EAAIhqB,QAAU,CAAC,gCCCf,SAASmqB,EAASpsB,GAChBA,EAAMY,UAAUwrB,SAAWpsB,EAAMY,UAAUzvF,OAAO,QAAS,CACzD,aAAc,CACZ6U,QACE,0EACF67E,YAAY,GAEdC,QACE,+YACFC,SAAU,qEAEZ/B,EAAMY,UAAU34C,aAAa,WAAY,UAAW,CAClDq8C,QACE,gMAEJtE,EAAMY,UAAU34C,aAAa,WAAY,SAAU,CACjD78B,QAAS,CACPpF,QAAS,6BACT67E,YAAY,EACZb,MAAO,YAGXhB,EAAMY,UAAUyrB,IAAMrsB,EAAMY,UAAUwrB,QACxC,CA1BAn7G,EAAOC,QAAUk7G,EACjBA,EAAS12G,YAAc,WACvB02G,EAASnqB,QAAU,CAAC,8BCCpB,SAASqqB,EAAatsB,IACnB,SAAWA,GACV,IAAIusB,EAAO,CAETvmG,QAAS,+DACTg7E,MAAO,WACPqB,OAAQ,CACNL,YAAa,SAGjBhC,EAAMY,UAAU,iBAAmB,CACjC3wE,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,0BACTo8E,QAAQ,EACRC,OAAQ,CACNkqB,KAAMA,IAGVhiG,OAAQ,CAMNvE,QACE,kHACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,WAETptF,SAAU,CACRoS,QAAS,kDACT67E,YAAY,EACZQ,OAAQ,CACNkqB,KAAMA,IAGVA,KAAMA,EACNr3G,OAAQ,oBACRI,QAAS,qBACTysF,SAAU,IACVC,YAAa,SAEfhC,EAAMY,UAAe,IAAIZ,EAAMY,UAAU,gBAC1C,CA/CA,CA+CEZ,EACL,CApDA/uF,EAAOC,QAAUo7G,EACjBA,EAAa52G,YAAc,eAC3B42G,EAAarqB,QAAU,+BCHvB,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAASw5G,EAAIxsB,GACXA,EAAMU,SAAS6N,GACd,SAAWvO,GACV,IAAIqW,EAAgB,iDAChBoW,EAAgB,oDACpBzsB,EAAMY,UAAU4rB,IAAM,CACpBv8F,QAAS,CACP,mBACA,CACEjK,QAAS,aACT67E,YAAY,EACZO,QAAQ,IAGZ,cAAe,CACbp8E,QACE,0FACF67E,YAAY,EACZb,MAAO,SACPqB,OAAQ,CACNL,YAAa,OAGjBmF,UAAW,CACTnhF,QAAS,oCACT67E,YAAY,EACZb,MAAO,YAETc,QAAS,CACP,CACE97E,QACE,mNACF67E,YAAY,GAEd,2FAEFkG,UAAW,CACT/hF,QAAS,kBACTg7E,MAAO,eAETptF,SAAU,WACVgvF,SAAU,CACR58E,QAAS,2CACTq8E,OAAQ,CACN3sC,OAAQ,CACN1vC,QAASqwF,EACTjU,QAAQ,GAEVltF,OAAQu3G,EACRzqB,YAAa,aAGjBtsC,OAAQ,CACN1vC,QAASqwF,EACTjU,QAAQ,GAEVU,SAAU,CACR,YACA,CACE98E,QAAS,oBACT67E,YAAY,IAGhBvsF,QAAS,qBACTJ,OAAQu3G,EACR1qB,SAAU,iDACVC,YAAa,kBAEfhC,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3C,IAGIorB,GAAkB,EACtB1sB,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,MANe,kDAQf,SAAUtvF,GAKR,MAXiB,eAQbA,IACF06G,GAAkB,IAEfA,IAZc,cAab16G,IACF06G,GAAkB,IAEb,EAGX,GAEJ,IACA1sB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,MACjE,GACD,CA7FA,CA6FEtB,EACL,CAnGA/uF,EAAOC,QAAUs7G,EACjBA,EAAI92G,YAAc,MAClB82G,EAAIvqB,QAAU,gCCHd,IAAI0qB,EAAkB35G,EAAQ,KAI9B,SAAS45G,EAAO5sB,GACdA,EAAMU,SAASisB,GACf3sB,EAAMY,UAAUgsB,OAAS5sB,EAAMY,UAAUzvF,OAAO,SAAU,CACxDmE,QAAS,sBACTstF,SAAU,CACR58E,QAAS,UACTo8E,QAAQ,KAGZpC,EAAMY,UAAU34C,aAAa,SAAU,cAAe,CACpD65C,QAAS,CACP,8SACA,0YACA,gCAGJ9B,EAAMY,UAAUisB,GAAK7sB,EAAMY,UAAUgsB,MACvC,CApBA37G,EAAOC,QAAU07G,EACjBA,EAAOl3G,YAAc,SACrBk3G,EAAO3qB,QAAU,CAAC,4BCClB,SAAS6qB,EAAU9sB,GACjBA,EAAMY,UAAU,cAAgB,CAC9B3wE,QAAS,iCACTylC,OAAQ,CACN1vC,QAAS,oBACTo8E,QAAQ,GAGVN,QACE,8tCACF,gBAAiB,CACf97E,QAAS,gCACTg7E,MAAO,YAET8B,SAAU,iBACVlvF,SAAU,qBACV6+D,KAAM,CAEJzsD,QAAS,oDACTg7E,MAAO,UAET9rF,OAAQ,oBACRI,QAAS,0BACTysF,SAAU,mBACVC,YAAa,WAEjB,CA7BA/wF,EAAOC,QAAU47G,EACjBA,EAAUp3G,YAAc,YACxBo3G,EAAU7qB,QAAU,0BCCpB,SAAS8qB,EAAI/sB,GACXA,EAAMY,UAAUmsB,IAAM/sB,EAAMY,UAAUzvF,OAAO,QAAS,CACpDukD,OAAQ,CACN1vC,QAAS,sCACTo8E,QAAQ,GAEVN,QACE,mRACFxsF,QAAS,sBACTwtF,SACE,+h/BACF5tF,OACE,qEACF6sF,SAAU,2DACV,iBAAkB,CAChB/7E,QACE,oJACFg7E,MAAO,WAETkC,SAAU,2BAEZlD,EAAMY,UAAU34C,aAAa,MAAO,SAAU,CAC5C+8C,MAAO,CACLh/E,QAAS,oDACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,WACPqB,OAAQ,CACNoD,UAAW,CACTz/E,QAAS,aACTg7E,MAAO,WAET/wE,QAAS+vE,EAAMY,UAAUmsB,IAAI98F,mBAI5B+vE,EAAMY,UAAUmsB,IAAI,aAC7B,CAxCA97G,EAAOC,QAAU67G,EACjBA,EAAIr3G,YAAc,MAClBq3G,EAAI9qB,QAAU,0BCCd,SAAS0B,EAAI3D,GACXA,EAAMY,UAAU+C,IAAM,CACpB1zE,QAAS,CACPjK,QAAS,gDACT67E,YAAY,GAEde,SAAU,CACR,CACE58E,QAAS,sCACTo8E,QAAQ,GAEV,YAEF1sC,OAAQ,CACN1vC,QAAS,kDACTo8E,QAAQ,EACRP,YAAY,GAEdsC,WAAY,CACVn+E,QAAS,sCACTo8E,QAAQ,EACRP,YAAY,EACZQ,OAAQ,CACNL,YAAa,UAGjBc,SACE,4FAEFhB,QACE,u9EACFxsF,QAAS,2BACTJ,OAAQ,4CACR6sF,SACE,+HACFC,YAAa,cAEjB,CAxCA/wF,EAAOC,QAAUyyF,EACjBA,EAAIjuF,YAAc,MAClBiuF,EAAI1B,QAAU,0BCCd,SAAS+qB,EAAShtB,GAChBA,EAAMY,UAAUosB,SAAWhtB,EAAMY,UAAUzvF,OAAO,QAAS,CACzD8e,QAAS,CACP+vE,EAAMY,UAAUN,MAAe,QAAE,GACjC,CACEt6E,QAAS,yBACT67E,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN1vC,QAAS,6DACT67E,YAAY,EACZO,QAAQ,GAEV,aAAc,CACZp8E,QAAS,wDACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjBF,QACE,yOACF5sF,OAAQ,wDACR6sF,SAAU,0DACVC,YAAa,kBAEfhC,EAAMY,UAAU34C,aAAa,WAAY,SAAU,CACjDn/B,KAAM,CACJ9C,QAAS,6DACT67E,YAAY,EACZO,QAAQ,KAGZpC,EAAMY,UAAU34C,aAAa,WAAY,WAAY,CACnD,wBAAyB,CACvBjiC,QAAS,UACTg7E,MAAO,aAEToe,OAAQ,CACNp5F,QAAS,UACTg7E,MAAO,aAGb,CAhDA/vF,EAAOC,QAAU87G,EACjBA,EAASt3G,YAAc,WACvBs3G,EAAS/qB,QAAU,0BCCnB,SAASgrB,EAAKjtB,IACX,SAAWA,GAEV,IAAIktB,EACF,8MACFltB,EAAMY,UAAUqsB,KAAO,CACrBh9F,QAAS,yCACTylC,OAAQ,CAGN1vC,QAAS,kCACTo8E,QAAQ,GAEVqD,UAAW,CACTz/E,QAAS,yBACT67E,YAAY,EACZb,MAAO,YAET,eAAgB,CACdh7E,QAASw8E,OACP,IACE0qB,EAAqB79F,OACrB,WAAWA,OACX,IACA,cAAcA,QAElBwyE,YAAY,EACZb,MAAO,YAETmsB,WAAY,CACVnnG,QAAS,uDACT67E,YAAY,EACZQ,OAAQ,CACNmF,WAAY,CACVxhF,QAAS,sDACT67E,YAAY,EACZQ,OAAQ,MAEVzuF,SAAU,sBACVmuF,SAAU,IACVC,YAAa,YAGjBF,QAAS,CACP,CACE97E,QACE,sFACFg7E,MAAO,iBAET,mQACAksB,GAEFpqB,SAAU,uBACV5tF,OACE,8FACFI,QAAS,qBACTysF,SAAU,8CACVC,YAAa,gBAEfhC,EAAMY,UAAUqsB,KAAKE,WAAW9qB,OAAOmF,WAAWnF,OAChDrC,EAAMY,UAAUqsB,IACnB,CA5DA,CA4DEjtB,EACL,CAjEA/uF,EAAOC,QAAU+7G,EACjBA,EAAKv3G,YAAc,OACnBu3G,EAAKhrB,QAAU,0BCCf,SAASmrB,EAAOptB,IACb,SAAWA,GACV,IAAIqN,EAAO,CACTrnF,QAAS,sBACT67E,YAAY,GAEV3sF,EAAS,CACX8Q,QAAS,uCACT67E,YAAY,GAEVQ,EAAS,CACXpyE,QAAS,CACPjK,QAAS,uCACT67E,YAAY,GAEd5uB,IAAK,CACHjtD,QAAS,yBACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,gDACTo8E,QAAQ,GAEVmF,cAAe,KAEf9xC,KAAM,KAENuwC,UAAW,+BACXlE,QAAS,CACP97E,QAAS,2DACT67E,YAAY,GAEdyL,QAAS,iBACTjkF,MAAO,CACL,q5CACA,CACErD,QACE,4JACFq8E,OAAQ,CACNgL,KAAMA,EACNn4F,OAAQA,EACR4tF,SAAU,eACVd,YAAa,WAInBjxE,OAAQ,kBACRs8E,KAAMA,EACN/3F,QAAS,qBACTysF,SAAU,CAGR,wGAEF7sF,OAAQA,EACR8sF,YAAa,iBAEfK,EAAsB,cAAI,CACxBr8E,QAAS,iBACTg7E,MAAO,WACPqB,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,UACTg7E,MAAO,eAETsE,KAAMjD,IAGVA,EAAa,KAAI,CACfr8E,QAAS,oBACTq8E,OAAQ,CACNS,SAAU,SACVwC,KAAMjD,IAGVrC,EAAMY,UAAUwsB,OAAS,CACvB,qBAAsB,CACpBpnG,QAAS,gBACT67E,YAAY,EACZQ,OAAQ,CACNkL,OAAQ,WACRjI,KAAMjD,IAGV,uBAAwB,CACtBr8E,QAAS,qDACT67E,YAAY,EACZQ,OAAQ,CACNO,SAAU,OACV0C,KAAMjD,IAGVyB,UAAW,CACT99E,QAAS,iDACT67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,OACTwD,KAAMjD,IAKV,uBAAwB,CACtBr8E,QACE,6HACF67E,YAAY,EACZQ,OAAQ,CACNzuF,SAAU,CACRoS,QAAS,WACTq8E,OAAQ,CACNkF,cAAelF,EAAOkF,gBAG1BjC,KAAMjD,IAMVzwF,SAAU,CACRoU,QACE,wOACF67E,YAAY,EACZQ,OAAQ,CACNkF,cAAelF,EAAOkF,cACtBt3E,QAASoyE,EAAOpyE,QAChB+xE,YAAa,UAGjBvsC,KAAM4sC,EAAO5sC,KACbC,OAAQ2sC,EAAO3sC,OACfzlC,QAAS,CACPjK,QAAS,uCACT67E,YAAY,EACZO,QAAQ,GAEVmF,cAAelF,EAAOkF,cACtBvF,YAAa,gBAEhB,CA1IA,CA0IEhC,EACL,CA/IA/uF,EAAOC,QAAUk8G,EACjBA,EAAO13G,YAAc,SACrB03G,EAAOnrB,QAAU,yBCCjB,SAASorB,EAAMrtB,GACbA,EAAMY,UAAUysB,MAAQ,CACtBp9F,QAAS,CAEPjK,QACE,uFACF67E,YAAY,EACZO,QAAQ,GAEV,iBAAkB,CAEhB,CACEp8E,QAASw8E,OACP,YAAYnzE,OACV,MACA,8DACGA,OACH,IACA,iEACGA,OACH,IACA,WAAWA,QAEfwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,oCACT67E,YAAY,EACZQ,OAAQ,MAEV,4BAA6B,CAC3Br8E,QAAS,YACTg7E,MAAO,eAETgB,YAAa,eACbtsC,OAAQ,YAGZ,CACE1vC,QAASw8E,OACP,gBAAgBnzE,OACd,MACA,gEACGA,OACH,IACA,2DAA2DA,OAL7D,QASFwyE,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,sCACT67E,YAAY,EACZQ,OAAQ,MAEV,4BAA6B,CAC3Br8E,QAAS,cACTg7E,MAAO,eAETtrC,OAAQ,aAId+vC,UAAW,CAETz/E,QAASw8E,OACP,IAAInzE,OACF,MACC,kBAAkBA,OACjB,YAGA,8GACGA,OAPP,MAUE,mBAAmBA,OACnB,KAEJ2xE,MAAO,WACPqB,OAAQ,CACN,iBAAkB,QAClB/sF,QAAS,qBACTJ,OAAQ,oBACR6sF,SAAU,mBACVC,YAAa,UAGjBoiB,QAAS,CACPp+F,QACE,2FACFg7E,MAAO,YAET,kBAAmB,CACjBh7E,QAAS,SACTg7E,MAAO,YAETpqF,UAAW,CACToP,QAAS,OACTg7E,MAAO,UAET,sBAAuB,CACrBh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,YAETxhF,MAAO,CAELwG,QACE,2EACF67E,YAAY,EACZb,MAAO,aAETc,QACE,unBACFxsF,QAAS,qBACTg4G,IAAK,CACHtnG,QAAS,UACTg7E,MAAO,YAET,iBAAkB,UAClBusB,KAAM,CACJvnG,QAAS,QACTg7E,MAAO,WAET9rF,OACE,kFAEF,aAAc,kCACd4tF,SAAU,wBACVI,SAAU,sCAIVnB,SAAU,0CACVC,YAAa,mBAEfhC,EAAMY,UAAUysB,MAAM,kBAAkBx4E,SAAQ,SAAU4tD,GACxDA,EAAKJ,OAAsB,cAAEA,OAASrC,EAAMY,UAAUysB,KACxD,GACF,CAlJAp8G,EAAOC,QAAUm8G,EACjBA,EAAM33G,YAAc,QACpB23G,EAAMprB,QAAU,0BCChB,SAASurB,EAAQxtB,IAEd,SAAWA,GACV,IAAI/vE,EAAU,CACZjK,QAAS,WACTo8E,QAAQ,GAENqrB,EAAe,4CAA4Cp+F,OAC/D2wE,EAAMY,UAAU4sB,QAAU,CACxBv9F,QAASA,EACT4/E,QAAS,CACP7pF,QAAS,gCACTo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,UACb,eAAgB,CACdh8E,QAAS,UACTg7E,MAAO,cAIb7wF,IAAK,CACH6V,QAAS,uBACTo8E,QAAQ,EACRpB,MAAO,aAETnwF,MAAO,CAMLmV,QAASw8E,OACP,kBAAkBnzE,OAChB,MACAo+F,EAFF,oBAKG,UAAUp+F,OAAV,wBAGCo+F,EAHD,KAMC,sCAAsCp+F,OACxC,MAEJwyE,YAAY,EACZO,QAAQ,EACRpB,MAAO,aACPqB,OAAQ,CACNpyE,QAASA,EACTy0E,OAAQ,CACN1+E,QAASw8E,OAAO,SAASnzE,OAASo+F,GAClC5rB,YAAY,EACZO,QAAQ,GAEVJ,YAAa,OACb1sF,QAAS,CACP0Q,QAAS,iCACTo8E,QAAQ,KAIdJ,YAAa,IAEhB,CAhEA,CAgEEhC,EACL,CAtEA/uF,EAAOC,QAAUs8G,EACjBA,EAAQ93G,YAAc,UACtB83G,EAAQvrB,QAAU,gCCHlB,IAAIyrB,EAAwB16G,EAAQ,KAChC2yF,EAAkB3yF,EAAQ,MAI9B,SAAS26G,EAAK3tB,GACZA,EAAMU,SAASgtB,GACf1tB,EAAMU,SAASiF,GACf3F,EAAMY,UAAUgtB,GAAK5tB,EAAMY,UAAU,SACnCZ,EAAMY,UAAU,iBAAiBitB,SAAS,SAC9C,CARA58G,EAAOC,QAAUy8G,EACjBA,EAAKj4G,YAAc,OACnBi4G,EAAK1rB,QAAU,yBCAf,SAAS6rB,EAAa9tB,IACnB,SAAWA,GACV,SAAS+tB,EAAYliG,EAAQw2E,EAAQ2rB,GACnC,MAAO,CACLhoG,QAASw8E,OAAO,KAAO32E,EAAS,gBAChCm1E,MAAO,QACPqB,OAAQ,CACN0F,UAAW,CACT/hF,QAASw8E,OAAO,MAAQ32E,EAAS,QACjCm1E,MAAO,aAET5sF,QAAS,CACP4R,QAAS,UACTq8E,OAAQA,EACRrB,MAAOgtB,IAIf,CAyBAhuB,EAAMY,UAAU,iBAAmBvxF,OAAOK,eAAe,CAAC,EAAG,WAAY,CACvEmB,MAzBF,SAAkBo9G,GAChB,IAAIttB,EAAUX,EAAMY,UAAUqtB,GAC1Bx7G,EAAY,YAAcw7G,EAC9B,MAAO,CACLrhB,MAAO,CACL5mF,QAAS,eACTq8E,OAAQ,CACNoD,UAAWsoB,EAAY,IAAK,CAC1B,aAAc,CACZ/nG,QAAS,mDACTq8E,OAAQ,CACNL,YAAa,mBAGjBF,QAAS,cACT,YAAa,UAEf0F,WAAYumB,EAAY,IAAKptB,EAASluF,GACtC,gBAAiBs7G,EAAY,MAAOptB,EAASluF,GAC7Cy7G,SAAUH,EAAY,GAAIptB,EAASluF,KAI3C,GAID,CA7CA,CA6CEutF,EACL,CAlDA/uF,EAAOC,QAAU48G,EACjBA,EAAap4G,YAAc,eAC3Bo4G,EAAa7rB,QAAU,gCCHvB,IAAIyrB,EAAwB16G,EAAQ,KAChCm7G,EAAiBn7G,EAAQ,MAI7B,SAASo7G,EAAKpuB,GACZA,EAAMU,SAASgtB,GACf1tB,EAAMU,SAASytB,GACfnuB,EAAMY,UAAU,SAAWZ,EAAMY,UAAU,iBAAiBitB,SAAS,QACvE,CAPA58G,EAAOC,QAAUk9G,EACjBA,EAAK14G,YAAc,OACnB04G,EAAKnsB,QAAU,gCCJf,IAAIosB,EAAgBr7G,EAAQ,MAI5B,SAASs7G,EAAItuB,GACXA,EAAMU,SAAS2tB,GAEfruB,EAAMY,UAAU0tB,IAAM,CACpBC,KAAM,mBACNC,KAAM,eACNC,OAAQ,oBACRC,QAAS,eACTtjG,QAAS,mBACTujG,KAAM,yBACNC,QAAS,CACP5oG,QAAS,qBACTo8E,QAAQ,GAEVJ,YAAa,OACbyD,UAAW,MACXopB,QAAS,CACP7oG,QAAS,2CACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAU+f,KACxB3f,MAAO,iBAGb,CA1BA/vF,EAAOC,QAAUo9G,EACjBA,EAAI54G,YAAc,MAClB44G,EAAIrsB,QAAU,0BCCd,SAAS6sB,EAAI9uB,GACXA,EAAMY,UAAUkuB,IAAM,CACpB7+F,QAAS,CACPjK,QAAS,eACT67E,YAAY,GAEdnsC,OAAQ,CACN1vC,QAAS,sCACTo8E,QAAQ,GAEVQ,SAAU,CACR,CACE58E,QAAS,oCACT67E,YAAY,GAEd,CACE77E,QAAS,gBACT67E,YAAY,GAEd,CACE77E,QAAS,mDACT67E,YAAY,IAGhBiB,SAAU,CACR98E,QAAS,0BACT67E,YAAY,GAEdyC,QAAS,CACP,CACEt+E,QACE,+FACF67E,YAAY,GAEd,uBAEF/6E,MAAO,CACLd,QAAS,wCACT67E,YAAY,EACZb,MAAO,YAETc,QAAS,CACP97E,QACE,mvBACF67E,YAAY,GAEdE,SACE,sEACFC,YAAa,aAEjB,CArDA/wF,EAAOC,QAAU49G,EACjBA,EAAIp5G,YAAc,MAClBo5G,EAAI7sB,QAAU,0BCCd,SAAS8sB,EAAQ/uB,IACd,SAAWA,GAGV,IAAIgvB,EAAgB,yCAAyC3/F,OAEzD4/F,EAAmB,uBAAuB5/F,OAK9C,SAAS6/F,EAAa7/F,EAAQ80C,GAC5B,OAAOq+B,OACLnzE,EACGxY,QAAQ,UAAU,WACjB,MAAO,MAAQm4G,EAAgB,GACjC,IACCn4G,QAAQ,UAAU,WACjB,MAAO,MAAQo4G,EAAmB,GACpC,IACF9qD,GAAS,GAEb,CACA,IAAIgrD,EAAiB,CACnB9uB,IAAK,CACHr6E,QAAS,aACTq8E,OAAQ,CACNiD,KAAMtF,EAAMY,UAAUP,MAG1B,WAAY,CACVr6E,QAAS,mBACT67E,YAAY,EACZb,MAAO,cAETvhF,KAAM,CACJuG,QAAS,qBACT67E,YAAY,EACZb,MAAO,cAGTgB,YAAa,gBAEX+sB,EAAW/uB,EAAMY,UAAUmuB,QAAU/uB,EAAMY,UAAUzvF,OAAO,SAAU,CACxEi+G,OAAQ,CACNppG,QAAS,2CACT67E,YAAY,EACZQ,OAAQ,CAEN,YAAa,CACXr8E,QAASkpG,EAAa,oCAAoC7/F,QAC1DgzE,OAAQ,CACNgtB,SAAU,CACRrpG,QAASkpG,EACP,0CAA0C7/F,QAE5CwyE,YAAY,EACZQ,OAAQ8sB,GAEVr0D,IAAK,YACLknC,YAAa,QAKjBriF,KAAM,CACJqG,QAASkpG,EAAa,sBAAsB7/F,OAAQ,KACpDgzE,OAAQ,CACNgtB,SAAU,CACRrpG,QAASkpG,EAAa,iBAAiB7/F,QACvCwyE,YAAY,EACZQ,OAAQ8sB,GAEVntB,YAAa,WAIjB4C,MAAO,CAGL5+E,QAASkpG,EACP,qIACG7/F,OACH,KAEFgzE,OAAQ,CACNgtB,SAAU,CAGRrpG,QAASkpG,EACP,8DACG7/F,QAELwyE,YAAY,EACZQ,OAAQ8sB,GAEVntB,YAAa,WAGjBiD,OAAQ,CAENj/E,QAASkpG,EACP,qEACG7/F,QAELwyE,YAAY,EACZQ,OAAQ,CAGN8C,KAAM,CAEJn/E,QAASkpG,EAAa,4BAA4B7/F,QAClDwyE,YAAY,GAGdqD,OAAQ,CAENl/E,QAASkpG,EAAa,0BAA0B7/F,QAChDwyE,YAAY,GAGdllF,KAAM,CAEJqJ,QAASkpG,EAAa,2BAA2B7/F,QACjDwyE,YAAY,EACZb,MAAO,UAGT93E,KAAM,CAEJlD,QAASkpG,EAAa,qBAAqB7/F,QAC3CwyE,YAAY,EACZb,MAAO,WAGTiI,SAAU,CAERjjF,QAASkpG,EAAa,uBAAuB7/F,QAC7CwyE,YAAY,GAGd+Q,QAAS,CAEP5sF,QAASkpG,EAAa,qBAAqB7/F,QAC3CwyE,YAAY,GAGdv6E,KAAM,CAEJtB,QAASkpG,EAAa,qBAAqB7/F,QAC3CwyE,YAAY,GAEdwtB,SAAU,CACRrpG,QAASkpG,EACP,oCAAoC7/F,QAEtCwyE,YAAY,EACZQ,OAAQ8sB,GAEVntB,YAAa,kBAIjB,WAAY,CACVh8E,QAAS,mBACTq8E,OAAQ,CACN3sC,OAAQ,CACN1vC,QAAS,oBACT67E,YAAY,GAEd5uB,IAAK,CACHjtD,QAAS,YACT67E,YAAY,GAEdG,YAAa,WAKjBl4E,KAAM,CAEJ9D,QAASkpG,EACP,uCAAuC7/F,QAEzCgzE,OAAQ,CACNp3E,KAAM,CAEJjF,QAASkpG,EAAa,uBAAuB7/F,QAC7CwyE,YAAY,GAEdwtB,SAAU,CACRrpG,QAASkpG,EAAa,aAAa7/F,QACnCwyE,YAAY,EACZQ,OAAQ8sB,GAEVl8C,IAAK,CACHjtD,QAAS,QACT67E,YAAY,GAEdG,YAAa,SAKjBstB,MAAO,CACLtpG,QAASkpG,EACP,uFACG7/F,QAELgzE,OAAQ,CACNhzE,OAAQ,CACNrJ,QAASkpG,EACP,kEACG7/F,QAELwyE,YAAY,EACZb,MAAO,OAETquB,SAAU,CACRrpG,QAASkpG,EAAa,6BAA6B7/F,QACnDwyE,YAAY,EACZQ,OAAQ8sB,GAEVl8C,IAAK,CACHjtD,QAAS,QACT67E,YAAY,GAEdG,YAAa,SAIjButB,SAAU,CACRvpG,QAAS,YACTg7E,MAAO,UACPqB,OAAQ,CACNL,YAAa,UAIjBwtB,QAAS,CACPxpG,QAAS,sBACTq8E,OAAQ,CACNpyE,QAAS,CACPjK,QAAS,mBACT67E,YAAY,GAEdG,YAAa,SAIjBv1E,KAAM,CACJzG,QAAS,mBACTg7E,MAAO,UACPqB,OAAQ,CACNL,YAAa,aAMnBytB,EAAeV,EAAgB,OAAE1sB,OACjCqtB,EAAiB,CACnBzqB,OAAQwqB,EAAqB,OAC7B3lG,KAAM2lG,EAAmB,KACzBH,MAAOG,EAAoB,MAC3BF,SAAUE,EAAuB,SACjCD,QAASC,EAAsB,QAC/BhjG,KAAMgjG,EAAmB,MAE3BV,EAAQj0D,IAAI90C,QACV,qGACF,IAAI2pG,EAAqBF,EAAqB,OAAEptB,OAChDstB,EAAyB,KAAEttB,OAASqtB,EACpCC,EAA2B,OAAEttB,OAASqtB,EACtCC,EAA6B,SAAEttB,OAASqtB,EACxCC,EAA4B,QAAEttB,OAASqtB,EACvCC,EAAyB,KAAEttB,OAASqtB,EACpC,IAAIE,EAAoBH,EAAoB,MAAEptB,OAC9CutB,EAA0B,OAAIF,EAAuB,OACrDE,EAAwB,KAAIF,EAAqB,KACjDE,EAAyB,MAAIF,EAAsB,MACnDE,EAA4B,SAAIF,EAAyB,SACzDE,EAA2B,QAAIF,EAAwB,QACvDE,EAAwB,KAAIF,EAAqB,IAClD,CA3RA,CA2RE1vB,EACL,CAhSA/uF,EAAOC,QAAU69G,EACjBA,EAAQr5G,YAAc,UACtBq5G,EAAQ9sB,QAAU,0BCClB,SAAS4tB,EAAK7vB,IACX,SAAWA,GACV,IAAI7vF,EAAM,+CAA+Ckf,OAIzD,SAASygG,EAAU9pG,GACjB,OAAOA,EAAQnP,QAAQ,OAAO,WAC5B,OAAO1G,CACT,GACF,CACA6vF,EAAMY,UAAUivB,KAAO,CACrB5/F,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEVwC,MAAO,CACL5+E,QAASw8E,OACPstB,EACE,qDAAqDzgG,QAEvD,KAEFwyE,YAAY,EACZO,QAAQ,EACRpB,MAAO,cAET7wF,IAAK,CACH6V,QAASw8E,OACPstB,EAAU,6CAA6CzgG,QACvD,KAEFwyE,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAETtrC,OAAQ,CACN1vC,QACE,8EACFo8E,QAAQ,GAEV3vB,KAAM,CACJ,CAEEzsD,QACE,oFACFg7E,MAAO,UAET,CAEEh7E,QAAS,kCACTg7E,MAAO,WAGX9rF,OACE,2KACFI,QAAS,qBACT0sF,YAAa,aAEhB,CA1DA,CA0DEhC,EACL,CA/DA/uF,EAAOC,QAAU2+G,EACjBA,EAAKn6G,YAAc,OACnBm6G,EAAK5tB,QAAU,0BCCf,SAAS8tB,EAAO/vB,IACb,SAAWA,GACVA,EAAMY,UAAUmvB,OAAS,CACvB9/F,QAAS,CACPjK,QAAS,gDACT67E,YAAY,GAEd,sBAAuB,KAEvBmuB,UAAW,CACThqG,QAAS,oDACTo8E,QAAQ,EACRC,OAAQ,CACNgB,MAAO,CACLr9E,QAAS,iBACT67E,YAAY,GAEdiB,SAAU,OACVjyF,MAAO,cAGXszF,WAAY,CACVn+E,QAAS,UACTo8E,QAAQ,GAEVU,SAAU,oCACVhB,QACE,mUACFxsF,QAAS,2BACTJ,OACE,+EACF,sBAAuB,CACrB8Q,QAAS,aACTg7E,MAAO,eAETe,SACE,wFACFC,YAAa,uBAEf,IAAI4X,EACF,kEAAkEvqF,OACpE2wE,EAAMY,UAAUmvB,OAAO,uBAAyB,CAC9C/pG,QAASw8E,OACP,YAAYnzE,OAAZ,YAGE,mCAAmCA,OACnC,IACAuqF,EALF,aASE,wCAAwCvqF,OACxC,IACAuqF,EAXF,QAeF/X,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAASw8E,OAAOoX,GAChBvX,OAAQ,CACNL,YAAa,WACbwF,WAAY,CACVxhF,QAAS,UACTq8E,OAAQrC,EAAMY,UAAUmvB,UAI9Br6D,OAAQ,YAGZsqC,EAAMY,UAAUqvB,KAAOjwB,EAAMY,UAAkB,OAC/CZ,EAAMY,UAAUsvB,QAAUlwB,EAAMY,UAAkB,MACnD,CA3EA,CA2EEZ,EACL,CAhFA/uF,EAAOC,QAAU6+G,EACjBA,EAAOr6G,YAAc,SACrBq6G,EAAO9tB,QAAU,gCCHjB,IAAIkuB,EAAen9G,EAAQ,MACvByoG,EAAsBzoG,EAAQ,MAIlC,SAASqoG,EAAIrb,GACXA,EAAMU,SAASyvB,GACfnwB,EAAMU,SAAS+a,GACd,SAAWzb,GACV,IAAImb,EAAanb,EAAMe,KAAKxvF,MAAMyuF,EAAMY,UAAUua,YAClDnb,EAAMY,UAAUya,IAAMrb,EAAMY,UAAUzvF,OAAO,MAAOgqG,UAC7Cnb,EAAMY,UAAUya,IAAe,iBAC/Brb,EAAMY,UAAUya,IAAI,oBAG3B,IAAIvgD,EAAMklC,EAAMY,UAAUya,IAAIvgD,IAC9BA,EAAI90C,QAAUw8E,OACZ,qBAAqBnzE,OAAS,MAAQyrC,EAAI90C,QAAQqJ,OAAS,IAC3DyrC,EAAI90C,QAAQm+C,OAEdrJ,EAAI+mC,YAAa,CAClB,CAbA,CAaE7B,EACL,CApBA/uF,EAAOC,QAAUmqG,EACjBA,EAAI3lG,YAAc,MAClB2lG,EAAIpZ,QAAU,gCCJd,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAASo9G,EAAIpwB,GACXA,EAAMU,SAAS6N,GACd,SAAWvO,GACVA,EAAMY,UAAUwvB,IAAMpwB,EAAMY,UAAUzvF,OAAO,QAAS,CACpD8e,QAAS,sBACT6xE,QACE,0NACFE,YAAa,eAEfhC,EAAMY,UAAU34C,aAAa,MAAO,SAAU,CAC5C85C,SAAU,iDACVa,SAAU,CACR58E,QAAS,mDAGbg6E,EAAMY,UAAU34C,aAAa,MAAO,UAAW,CAC7C8/C,UAAW,CACT/hF,QAAS,uBACTg7E,MAAO,iBAGXhB,EAAMY,UAAU34C,aAAa,MAAO,SAAU,CAC5C,uBAAwB,CACtBjiC,QAAS,gCACTo8E,QAAQ,EACRpB,MAAO,UAET,uBAAwB,CACtBh7E,QAAS,gCACTo8E,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACNO,SAAU,CACR58E,QAAS,wDAKVg6E,EAAMY,UAAUwvB,IAAI16D,OAC3BsqC,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAE3CtB,EAAMY,UAAU,qBAAqB+N,kBACnCrN,EACA,MAHe,kBAMnB,IACAtB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,MACjE,GACD,CAhDA,CAgDEtB,EACL,CAtDA/uF,EAAOC,QAAUk/G,EACjBA,EAAI16G,YAAc,MAClB06G,EAAInuB,QAAU,yBCCd,SAASouB,EAAOrwB,GACdA,EAAMY,UAAUyvB,OAAS,CACvBpgG,QAAS,CACPjK,QAAS,MACTo8E,QAAQ,GAEV,mBAAoB,CAClBp8E,QACE,sEACFo8E,QAAQ,EACRpB,MAAO,SACPqB,OAAQ,CACNpyE,QAAS,QAGbylC,OAAQ,CACN1vC,QAAS,8CACTo8E,QAAQ,GAEVnvB,IAAK,CACHjtD,QACE,oEACFo8E,QAAQ,EACRC,OAAQ,CACNL,YAAa,SAGjBc,SAAU,CACR98E,QACE,kGACFq8E,OAAQ,CACN,aAAc,CACZr8E,QAAS,kBACT67E,YAAY,GAEdh2E,OAAQ,CACN7F,QAAS,UACTq8E,OAAQ,CACNL,YAAa,QAKrB9sF,OAAQ,sCACR8sF,YAAa,oBACb1sF,QAAS,qBACTwsF,QAAS,CAAC,4BAA6B,8BACvChnC,IAAK,CACH90C,QAAS,yBACTq8E,OAAQ,CACNL,YAAa,OAInBhC,EAAMY,UAAU0vB,KAAOtwB,EAAMY,UAAkB,MACjD,CA1DA3vF,EAAOC,QAAUm/G,EACjBA,EAAO36G,YAAc,SACrB26G,EAAOpuB,QAAU,gCCHjB,IAAIsM,EAA4Bv7F,EAAQ,MAIxC,SAASu9G,EAAKvwB,GACZA,EAAMU,SAAS6N,GACfvO,EAAMY,UAAU2vB,KAAO,CACrBtgG,QAAS,mBACT,WAAY,CACVjK,QAAS,iBACT67E,YAAY,EACZb,MAAO,WAET+G,UAAW,CACT/hF,QAAS,sBACTg7E,MAAO,eAETtrC,OAAQ,CACN1vC,QAAS,kCACTq8E,OAAQ,CACNL,YAAa,gBAGjBF,QAAS,sBACTxsF,QAAS,0BACTJ,OAAQ,+DACR6sF,SAAU,CACR,CACE/7E,QACE,wFACF67E,YAAY,GAEd,uCAEFG,YAAa,iBAEfhC,EAAMyB,MAAMzqC,IAAI,mBAAmB,SAAUsqC,GAC3C,GAAqB,SAAjBA,EAAI5hF,SAAR,CAIAsgF,EAAMY,UAAU,qBAAqB+N,kBAAkBrN,EAAK,OAD9C,8CADd,CAGF,IACAtB,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GAC1CtB,EAAMY,UAAU,qBAAqBgO,qBAAqBtN,EAAK,OACjE,GACF,CA7CArwF,EAAOC,QAAUq/G,EACjBA,EAAK76G,YAAc,OACnB66G,EAAKtuB,QAAU,0BCCf,SAASkZ,EAAWnb,IACjB,SAAWA,GACVA,EAAMY,UAAUua,WAAanb,EAAMY,UAAUzvF,OAAO,aAAc,CAChE,aAAc,CACZ6U,QACE,+KACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,MAEViC,QACE,0FAEJtE,EAAMY,UAAUua,WAAWrZ,QAAQpvF,KACjC,qDACA,2FACA,qCAEKstF,EAAMY,UAAUua,WAAsB,iBACtCnb,EAAMY,UAAUua,WAAW,oBAClC,IAAIlQ,EAAajL,EAAMY,UAAUzvF,OAAO,aAAc,CAAC,UAChD85F,EAAW,cAClBjL,EAAMY,UAAUua,WAAW,cAAc9Y,OAAS4I,EAClDjL,EAAMY,UAAU34C,aAAa,aAAc,WAAY,CACrDy/C,UAAW,CACT1hF,QAAS,qBACTq8E,OAAQ,CACNmuB,GAAI,CACFxqG,QAAS,KACTg7E,MAAO,YAET8B,SAAU,aAGd,mBAAoB,CAElB98E,QACE,yGACFo8E,QAAQ,EACRC,OAAQ,CACNS,SAAU,4DACV+G,QAAS,CACP7jF,QAAS,WAETg7E,MAAO,aACPqB,OAAQ4I,OAKhBjL,EAAMY,UAAUwa,GAAKpb,EAAMY,UAAUua,UACtC,CAlDA,CAkDEnb,EACL,CAvDA/uF,EAAOC,QAAUiqG,EACjBA,EAAWzlG,YAAc,aACzBylG,EAAWlZ,QAAU,CAAC,6BCCtB,SAASwuB,EAAWzwB,IACjB,SAAWA,GACV,IAAIwD,EACF,gcACFxD,EAAMY,UAAU6vB,WAAa,CAC3BxgG,QAAS,CACP,CAEEjK,QAAS,kCACT67E,YAAY,GAEd,CAGE77E,QAAS,2CACT67E,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS,eACT67E,YAAY,EACZO,QAAQ,IAGZU,SAAU,CACR,CAEE98E,QACE,sEACFq8E,OAAQ,CACN3sC,OAAQ,CACN1vC,QAAS,0BACTq8E,OAAQ,CACNP,QAAS0B,IAGb1B,QAAS,CACP97E,QAAS,wBAIf,CAEEA,QAAS,wCACTq8E,OAAQ,CACN3sC,OAAQ,6BAIdA,OAAQ,CACN1vC,QAAS,+BACT67E,YAAY,EACZQ,OAAQ,CACNS,SAAU,WAEVhB,QAAS0B,EACTtuF,OAAQ,QACR8sF,YAAa,UAGjBF,QAAS0B,EACTtuF,OAAQ,CAEN8Q,QAAS,gBACTq8E,OAAQ,CACNN,SAAU,UAGdjnC,IAAK,CACH90C,QAAS,iBACTq8E,OAAQ,CACNL,YAAa,OAGjBA,YAAa,iBACbD,SAAU,gDAEZ/B,EAAMY,UAAU8vB,SAAW1wB,EAAMY,UAAU6vB,UAC5C,CA9EA,CA8EEzwB,EACL,CAnFA/uF,EAAOC,QAAUu/G,EACjBA,EAAW/6G,YAAc,aACzB+6G,EAAWxuB,QAAU,CAAC,mCCCtB,SAAS0uB,EAAa3wB,GACpBA,EAAMY,UAAU+vB,aAAe,CAC7B1gG,QAAS,0BACTylC,OAAQ,CACN1vC,QAAS,iDACTo8E,QAAQ,GAEVwuB,SAAU,CACR5qG,QACE,kEACF67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAET4G,SAAU,CACR5hF,QAAS,+DACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNzuF,SAAU,gBACVmuF,SAAU,IACVC,YAAa,UAGjBgD,MAAO,CACLh/E,QAAS,OACTg7E,MAAO,YAET,aAAc,CACZh7E,QACE,0EACF67E,YAAY,GAEdC,QACE,k2BACFgB,SAAU,wBACVxtF,QAAS,qBACTJ,OAAQ,4DAER6sF,SACE,2FACFC,YAAa,gBAEfhC,EAAMY,UAAUh6B,GAAKo5B,EAAMY,UAAUiwB,QAAU7wB,EAAMY,UAAU+vB,YACjE,CA/CA1/G,EAAOC,QAAUy/G,EACjBA,EAAaj7G,YAAc,eAC3Bi7G,EAAa1uB,QAAU,CAAC,KAAM,kCCC9B,SAAS6uB,EAAQ9wB,GACfA,EAAMY,UAAUkwB,QAAU,CACxB,eAAgB,CACd9qG,QAAS,MACTg7E,MAAO,UACPoB,QAAQ,GAEV,gBAAiB,CACfp8E,QAAS,SACTg7E,MAAO,UACPoB,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,kCACTq8E,OAAQ,CACNL,YAAa,eAEfI,QAAQ,GAEV,gBAAiB,CACfp8E,QACE,6TACFg7E,MAAO,YAET,kBAAmB,CACjBh7E,QACE,0rBACFg7E,MAAO,YAET,WAAY,CACVh7E,QAAS,iBACT67E,YAAY,EACZb,MAAO,WAET+G,UAAW,CACT/hF,QAAS,sBACTg7E,MAAO,eAET8B,SACE,yfACFhB,QACE,uGACFxsF,QAAS,0BACTJ,OAAQ,+DACR6sF,SAAU,CACR,CACE/7E,QACE,wFACF67E,YAAY,GAEd,uCAEFG,YAAa,gBAEjB,CAzDA/wF,EAAOC,QAAU4/G,EACjBA,EAAQp7G,YAAc,UACtBo7G,EAAQ7uB,QAAU,0BCClB,SAASuT,EAAIxV,GAEXA,EAAMY,UAAU4U,IAAM,CACpB3qF,OAAQ,CACN7E,QAAS,wBACTo8E,QAAQ,EACRC,OAAQ,CACN,mBAAoB,OAGxB98C,SAAU,CACRv/B,QAAS,6BACTq8E,OAAQ,CACN,qBAAsB,OAG1B0uB,MAAO,CACL/qG,QAAS,8BACTq8E,OAAQ,CACN,kBAAmB,CACjBr8E,QAAS,MACTo8E,QAAQ,GAEV,iBAAkB,OAClB4uB,KAAM,CACJhrG,QAAS,eACTq8E,OAAQ,CACNlyF,IAAK,SACLU,MAAO,CACLmV,QAAS,cACT67E,YAAY,OAMtBovB,UAAW,CACTjrG,QAASw8E,OACP,QAAQnzE,OACN,+BAA+BA,OAC9B,MACC,kEACGA,OACH,IACA,wBAAwBA,OACxB,IACF,YAAYA,OACd,KAEFgzE,OAAQ,CACN,sBAAuB,QACvB,oBAAqB,CACnBr8E,QAAS,2BACTq8E,OAAQ,CACN,sBAAuB,KACvB,YAAa,4BAGjB,eAAgB,CACdr8E,QAAS,QACTq8E,OAAQ,CACN,iBAAkB,KAClB6uB,KAAM,SAGV5jF,KAAM,CACJtnB,QAAS,UACTq8E,OAAQ,CACN,aAAc,CACZr8E,QAAS,gBACTq8E,OAAQ,CACN,uBAAwB,UACxB,aAAc,YACd,eAAgB,aAGpB,eACE,oEAKVyO,KAAM,CACJ9qF,QAAS,6BACTq8E,OAAQ,CACN,iBAAkB,QAIxBrC,EAAMY,UAAU3tB,IAAM+sB,EAAMY,UAAU4U,GACxC,CA7FAvkG,EAAOC,QAAUskG,EACjBA,EAAI9/F,YAAc,MAClB8/F,EAAIvT,QAAU,CAAC,4BCCf,SAAS1qC,EAAEyoC,IACR,SAAWA,GACV,IAAIsoB,EAAoB,CACtBtiG,QAAS,UACTq8E,OAAQ,MAEVrC,EAAMY,UAAUrpC,EAAIyoC,EAAMY,UAAUzvF,OAAO,QAAS,CAClDukD,OAAQ,CACN1vC,QAAS,mDACTg7E,MAAO,gBACPoB,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QACE,sFACF67E,YAAY,EACZQ,OAAQ,CACN,yBAA0B,CACxBr8E,QAAS,gBACTg7E,MAAO,YAET,4BAA6B,CAC3Bh7E,QAAS,YACTg7E,MAAO,eAET,2BAA4BsnB,MAKpC,aAAc,CACZtiG,QAAS,mDACT67E,YAAY,GAEdC,QACE,sRACF5sF,OACE,4GACF6sF,SACE,8FACFuC,QACE,sIAEJgkB,EAAkBjmB,OAASrC,EAAMY,UAAUrpC,EAC3CyoC,EAAMY,UAAU34C,aAAa,IAAK,SAAU,CAC1Cn/B,KAAM,CACJ9C,QAAS,yBAETg7E,MAAO,UAGXhB,EAAMY,UAAU34C,aAAa,IAAK,WAAY,CAC5CrxC,UAAW,CACToP,QACE,+GACF67E,YAAY,EACZb,MAAO,aACPqB,OAAQ,CACNL,YAAa,SACbF,QAAS,QAGb+H,QAAS,CACP7jF,QAAS,qBACTq8E,OAAQ,CACNL,YAAa,OACb,aAAc,UAIpBhC,EAAMY,UAAU34C,aAAa,IAAK,WAAY,CAC5C,mBAAoB,CAElBjiC,QAAS,sBACTq8E,OAAQ,CACNS,SAAU,OACV+G,QAAS,CACP7jF,QAAS,QACTq8E,OAAQrC,EAAMY,UAAUrpC,EAAEsyC,QAAQxH,WAK3C,CAlFA,CAkFErC,EACL,CAvFA/uF,EAAOC,QAAUqmD,EACjBA,EAAE7hD,YAAc,IAChB6hD,EAAE0qC,QAAU,0BCCZ,SAASkvB,EAAKnxB,GACZA,EAAMY,UAAUuwB,KAAOnxB,EAAMY,UAAUzvF,OAAO,QAAS,CAErD,aAAc,CACZ,CAEE6U,QAAS,kDACTq8E,OAAQ,CACNL,YAAa,OAGjB,CAEEh8E,QAAS,2BACT67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,CAEEh8E,QACE,0EACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,OAGjB,CAEEh8E,QACE,oFACF67E,YAAY,EACZQ,OAAQ,CACNL,YAAa,QAInBF,QACE,4kBACFgB,SAAU,iBACV5tF,OACE,2EACF6sF,SACE,oEACFC,YAAa,gBACbkB,SAAU,mBAEZlD,EAAMY,UAAU34C,aAAa,OAAQ,SAAU,CAC7C,aAAc,CACZjiC,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,UAET,kBAAmB,CACjBh7E,QAAS,cACTo8E,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CACbvhF,QAAS,8BACTq8E,OAAQ,CACN0F,UAAW,CACT/hF,QAAS,aACTg7E,MAAO,eAETsE,KAAMtF,EAAMY,UAAUuwB,OAG1Bz7D,OAAQ,cAIdsqC,EAAMY,UAAU34C,aAAa,OAAQ,UAAW,CAC9Co7C,MAAO,CACLr9E,QACE,0FACFo8E,QAAQ,EACRC,OAAQ,CACN,eAAgB,CACdr8E,QAAS,4BACT67E,YAAY,EACZb,MAAO,iBACPqB,OAAQrC,EAAMY,UAAUyC,OAE1B,kBAAmB,MACnB,cAAe,cAIvB,CA5FApyF,EAAOC,QAAUigH,EACjBA,EAAKz7G,YAAc,OACnBy7G,EAAKlvB,QAAU,gCCHf,IAAImvB,EAAiBp+G,EAAQ,MAI7B,SAASq+G,EAAMrxB,GACbA,EAAMU,SAAS0wB,GACfpxB,EAAMY,UAAUywB,MAAQrxB,EAAMY,UAAUzvF,OAAO,QAAS,CACtD8e,QAAS,CACP,CACEjK,QAAS,iBACTq8E,OAAQ,CACNP,QAAS,UAGb,CACE97E,QAAS,gBACT67E,YAAY,EACZO,QAAQ,IAGZ1sC,OAAQ,CACN1vC,QAAS,8BACT67E,YAAY,EACZO,QAAQ,GAEVN,QACE,86CACFE,YAAa,aAEjB,CA5BA/wF,EAAOC,QAAUmgH,EACjBA,EAAM37G,YAAc,QACpB27G,EAAMpvB,QAAU,0BCChB,SAASqvB,EAAStxB,IACf,SAAWA,GACVA,EAAMY,UAAU0wB,SAAWtxB,EAAMY,UAAUzvF,OAAO,SAAU,CAAC,GAC7D,IAAImgH,EAAW,CACb1uB,SAAU,CACR58E,QACE,4GACF67E,YAAY,EACZQ,OAAQ,CAAC,GAEX3sC,OAAQ,CACN1vC,QAAS,kBACTo8E,QAAQ,GAEVltF,OAAQ,UACRI,QAAS,qBACTysF,SACE,iEACFC,YAAa,gBAEfsvB,EAAS1uB,SAASP,OAAS,CACzB3sC,OAAQ47D,EAAiB,OACzBxuB,SAAU,CACR98E,QAAS,4BACT67E,YAAY,GAEd3sF,OAAQo8G,EAAiB,OACzBh8G,QAASg8G,EAAkB,QAC3BtvB,YAAasvB,EAAsB,aAErCtxB,EAAMY,UAAU34C,aAAa,WAAY,UAAW,CAClDspE,SAAU,CACRvrG,QAAS,8BACT67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNL,YAAa,kBAGjB,mBAAoB,CAClB,CACEh8E,QAAS,0BACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,WAET,CACEh7E,QAAS,gBACT67E,YAAY,EACZO,QAAQ,EACRpB,MAAO,YAGXyE,UAAW,CACTz/E,QACE,yFACF67E,YAAY,EACZQ,OAAQ,CACNP,QAAS,CACP97E,QAAS,6CACTq8E,OAAQ,CACNL,YAAa,SAGjBsD,KAAMgsB,IAGV1uB,SAAU0uB,EAAmB,WAE/BtxB,EAAMY,UAAU0wB,SAAc,IAAEjvB,OAAO,cAAcA,OAAOiD,KAC1DtF,EAAMY,UAAU0wB,QACnB,CAtEA,CAsEEtxB,EACL,CA3EA/uF,EAAOC,QAAUogH,EACjBA,EAAS57G,YAAc,WACvB47G,EAASrvB,QAAU,0BCCnB,SAASuvB,EAAQxxB,GACfA,EAAMY,UAAU4wB,QAAU,CACxBvhG,QAAS,CACPjK,QAAS,0BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,sCACTo8E,QAAQ,GAEV,kBAAmB,CAEjBp8E,QAAS,YACTg7E,MAAO,YAGTkC,SAAU,WACVJ,SAAU,cAEVhB,QACE,gmDAEFkE,UAAW,4DAEX9wF,OACE,4EACF6sF,SAAU,uBACVC,YAAa,cAEjB,CAhCA/wF,EAAOC,QAAUsgH,EACjBA,EAAQ97G,YAAc,UACtB87G,EAAQvvB,QAAU,0BCClB,SAASwvB,EAAKzxB,GACZA,EAAMY,UAAU6wB,KAAO,CACrBxhG,QAAS,OAET,eAAgB,CACdjK,QAAS,qCACTg7E,MAAO,UAGT,kBAAmB,CACjBh7E,QAAS,eACTg7E,MAAO,YAETtrC,OAAQ,sCACRwtC,SAAU,uBAEVpB,QACE,mzBACFxsF,QAAS,sBACTwtF,SAAU,YAEV5tF,OAAQ,+DACR6sF,SACE,+FACFC,YAAa,gBAEjB,CA7BA/wF,EAAOC,QAAUugH,EACjBA,EAAK/7G,YAAc,OACnB+7G,EAAKxvB,QAAU,0BCCf,SAASyvB,EAAI1xB,GACXA,EAAMY,UAAU8wB,IAAM,CACpBh8D,OAAQ,2CACRzlC,QAAS,MACT6yE,SAAU,cACVhB,QACE,4xJACFwC,QACE,40RACFpvF,OAAQ,oCACR6sF,SACE,4EACFC,YAAa,eAEjB,CAjBA/wF,EAAOC,QAAUwgH,EACjBA,EAAIh8G,YAAc,MAClBg8G,EAAIzvB,QAAU,0BCCd,SAAS0vB,EAAY3xB,GACnBA,EAAMY,UAAU,gBAAkB,CAChC3wE,QAAS,CACPjK,QAAS,yDACTq8E,OAAQ,CACNP,QAAS,UAGb2D,UAAW,CACTz/E,QACE,oGACFg7E,MAAO,WACPoB,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,+EACTo8E,QAAQ,GAEV3vB,KAAM,CACJzsD,QACE,qKACFg7E,MAAO,UAET9rF,OACE,+EACFI,QAAS,8BACTwsF,QACE,6gCACFC,SAAU,0CACVC,YAAa,cAEfhC,EAAMY,UAAU1+B,GAAK89B,EAAMY,UAAU,gBACrCZ,EAAMY,UAAUgxB,IAAM5xB,EAAMY,UAAU,eACxC,CApCA3vF,EAAOC,QAAUygH,EACjBA,EAAYj8G,YAAc,cAC1Bi8G,EAAY1vB,QAAU,0BCCtB,SAAS4vB,EAAW7xB,GAClBA,EAAMY,UAAUixB,WAAa,CAC3B5hG,QAAS,8BACTylC,OAAQ,CACN1vC,QACE,yEACFo8E,QAAQ,GAEVQ,SAAU,QACVoC,MAAO,CACLh/E,QAAS,OACTg7E,MAAO,YAITc,QACE,+JACF5sF,OACE,iFACFI,QAAS,yBACT0sF,YAAa,kBAGbD,SACE,qEAEN,CA7BA9wF,EAAOC,QAAU2gH,EACjBA,EAAWn8G,YAAc,aACzBm8G,EAAW5vB,QAAU,0BCCrB,SAAS6vB,EAAK9xB,GACZA,EAAMY,UAAUkxB,KAAO,CACrB7hG,QAAS,CACP,iBACA,CACEjK,QAAS,OACTo8E,QAAQ,IAGZ1sC,OAAQ,CACN1vC,QAAS,yBACTo8E,QAAQ,GAEVN,QAAS,CACP,CACE97E,QAAS,sBACTq8E,OAAQ,CACNN,SAAU,MAGd,CACE/7E,QACE,ibACFq8E,OAAQ,CACNL,YAAa,OAGjB,4QAEFY,SAAU,kCACV1tF,OACE,kNACF8sF,YAAa,OAEjB,CArCA/wF,EAAOC,QAAU4gH,EACjBA,EAAKp8G,YAAc,OACnBo8G,EAAK7vB,QAAU,0BCCf,SAAS8vB,EAAO/xB,IACb,SAAWA,GACV,IAAIxtF,EAAK,wCAAwC6c,OAC7C1c,EACF,MACA,yCAAyC0c,OACzC,IACA,gDAAgDA,OAChD,IACA,kCAAkCA,OAClC7c,EACA,gCAAgC6c,OAChC,IACA,aAAaA,OACX47E,EAAa,CAAC,EAwFlB,IAAK,IAAI96F,KAvFT6vF,EAAMY,UAAU,WAAa,CAC3B3wE,QAAS,CACPjK,QAAS,0BACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,UACTo8E,QAAQ,GAEV7jE,UAAW,CACTvY,QAASw8E,OAAO,mBAAmBnzE,OAAS7c,GAC5CqvF,YAAY,GAEd,aAAc,CACZ,CACE77E,QACE,iEACF67E,YAAY,EACZQ,OAAQ4I,GAEV,CACEjlF,QAASw8E,OACP,4DAA4DnzE,OAC1D1c,GAEJkvF,YAAY,EACZQ,OAAQ4I,GAEV,CAEEjlF,QAASw8E,OACP,IAAM,gBAAgBnzE,OAAS7c,EAAK,UAAU6c,OAAS,IAAM1c,GAE/DkvF,YAAY,EACZQ,OAAQ4I,GAEV,CAEEjlF,QAASw8E,OAAO,mBAAmBnzE,OAAS1c,GAC5CkvF,YAAY,EACZQ,OAAQ4I,GAEV,CACEjlF,QAASw8E,OACP,sFACGnzE,OAAS7c,GAEdqvF,YAAY,GAEd,CAEE77E,QAASw8E,OAAO,SAASnzE,OAAS7c,GAClCqvF,YAAY,GAEdW,OAAOhwF,EAAK,mCAAmC6c,QAC/C,CACErJ,QAASw8E,OAAO,iCAAiCnzE,OAAS7c,GAC1DqvF,YAAY,GAEd,CAEE77E,QAASw8E,OACP7vF,EACE,MACA,mBAAmB0c,OACnB7c,EACA,aAAa6c,OACb,KAEJgzE,OAAQ4I,IAGZ3G,QACE,8OACFxC,QAAS,CACP,oOACA,gJAEFxsF,QAAS,qBACTJ,OAAQ,CACN8Q,QACE,2FACF67E,YAAY,GAEdE,SAAU,iBACVC,YAAa,gBAEChC,EAAMY,UAAU,WAClB,eAARzwF,IACF86F,EAAW96F,GAAO6vF,EAAMY,UAAU,WAAWzwF,IAGjD6vF,EAAMY,UAAkB,OAAIZ,EAAMY,UAAU,UAC7C,CA3GA,CA2GEZ,EACL,CAhHA/uF,EAAOC,QAAU6gH,EACjBA,EAAOr8G,YAAc,SACrBq8G,EAAO9vB,QAAU,0BCCjB,SAAS+vB,EAAKhyB,GACZA,EAAMY,UAAUoxB,KAAOhyB,EAAMY,UAAUzvF,OAAO,SAAU,CACtD,gBAAiB,CACf6U,QAAS,4BACT67E,YAAY,EACZb,MAAO,WAETge,QAAS,CACPh5F,QAAS,sBACTq8E,OAAQ,CACNL,YAAa,UACbgE,UAAW,OAGfisB,SAAU,CAERjsG,QAAS,gBACTq8E,OAAQ,CACN,cAAe,CACbr8E,QAAS,mBACT67E,YAAY,EACZb,MAAO,CAAC,OAAQ,WAElBmE,KAAM,CACJn/E,QAAS,8BACT67E,YAAY,GAEdqD,OAAQ,CACNl/E,QAAS,6BACT67E,YAAY,GAEdG,YAAa,cAGjBtgC,GAAI,CACF17C,QAAS,UACTg7E,MAAO,eAET/tB,IAAK,CACH,iEACA,uBAEF2vB,SAAU,CACR,aAEA,gBACA,eAEFjtC,OAAQ,CAAC,eAAgB,UAKzB,YAAa,CACX3vC,QAAS,qCACT67E,YAAY,EACZQ,OAAQ,CACN,YAAa,CACXr8E,QAAS,MACTg7E,MAAO,eAETsE,KAAMtF,EAAMY,UAAUR,OAAY,IAAEiC,SAGxCL,YAAa,yCAEfhC,EAAMY,UAAU34C,aAAa,OAAQ,MAAO,CAE1CiqE,OAAQ,CACNlsG,QAAS,8CACTq8E,OAAQ,CACNvnC,IAAK,CACH90C,QAAS,4DACTq8E,OAAQrC,EAAMY,UAAUR,OAAY,IAAEiC,WAKhD,CAjFApxF,EAAOC,QAAU8gH,EACjBA,EAAKt8G,YAAc,OACnBs8G,EAAK/vB,QAAU,0BCCf,SAASkwB,EAAQnyB,GACfA,EAAMY,UAAUuxB,QAAU,CAExBliG,QAAS,0DACTylC,OAAQ,CACN1vC,QAAS,wBACTo8E,QAAQ,GAEVN,QACE,4HACF3f,QAAS,CACPn8D,QAAS,aACTg7E,MAAO,cAEToxB,MAAO,CACLpsG,QAAS,WACTg7E,MAAO,SAET,kBAAmB,CACjBh7E,QAAS,QACTg7E,MAAO,YAET1rF,QAAS,qBACTJ,OACE,iGACF6sF,SACE,6IACFC,YAAa,iBAEfhC,EAAMY,UAAUyxB,YAAcryB,EAAMY,UAAUuxB,QAC9CnyB,EAAMY,UAAU0xB,GAAKtyB,EAAMY,UAAUuxB,QACrCnyB,EAAMY,UAAUjjC,GAAKqiC,EAAMY,UAAUuxB,OACvC,CAnCAlhH,EAAOC,QAAUihH,EACjBA,EAAQz8G,YAAc,UACtBy8G,EAAQlwB,QAAU,CAAC,cAAe,KAAM,4BCCxC,SAASswB,EAAKvyB,GAEZA,EAAMY,UAAU2xB,KAAO,CAGrBtiG,QAAS,CACP,CAGEjK,QACE,iHACFo8E,QAAQ,GAEV,CACEp8E,QAAS,mBACT67E,YAAY,EACZO,QAAQ,IAKZ,uBAAwB,CACtBp8E,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,UAGT,iBAAkB,KAElBsX,SAAU,CACRtyF,QAAS,UACTo8E,QAAQ,EACRpB,MAAO,WAGTpqF,UAAW,CAIToP,QAAS,qBACTg7E,MAAO,WAET,aAAc,CACZ,CAGEh7E,QAAS,kBACT67E,YAAY,GAGd,sBAGFqB,SAAU,qBACV2Y,KAAM,CACJ71F,QAAS,WACTg7E,MAAO,WAETc,QACE,gHACFxsF,QAAS,qBACTJ,OAAQ,kDAER4tF,SAAU,0BACVf,SAAU,+CACVC,YAAa,iBAEfhC,EAAMY,UAAU2xB,KAAK,kBAAoB,CAEvCvsG,QACE,qFACF67E,YAAY,EACZO,QAAQ,EACRC,OAAQ,CACNkF,cAAe,CAEbvhF,QACE,kEACF67E,YAAY,EACZQ,OAAQ,CACNmF,WAAY,CACVxhF,QAAS,uBACT67E,YAAY,EACZQ,OAAQrC,EAAMY,UAAU2xB,MAE1B,4BAA6B,CAC3BvsG,QAAS,WACTg7E,MAAO,iBAIbtrC,OAAQ,WAGd,CAjGAzkD,EAAOC,QAAUqhH,EACjBA,EAAK78G,YAAc,OACnB68G,EAAKtwB,QAAU,0BCCf,SAASuwB,EAAMxyB,IACZ,SAAWA,GACVA,EAAMY,UAAU4xB,MAAQxyB,EAAMY,UAAUzvF,OAAO,SAAU,CACvD+xF,SAAU,CACRl9E,QAAS,4CACTq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,QAIf48E,SAAU,CACR58E,QAAS,+BACTq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,QAEX+7E,SAAU,CACR/7E,QAAS,kBAIf,kBAAmB,CACjBA,QACE,wMACFq8E,OAAQ,CACNO,SAAU,CACR58E,QAAS,mCACTq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,SAEX+7E,SAAU,CACR/7E,QAAS,kBAIfg8E,YAAa,CACXh8E,QAAS,mBAGbg7E,MAAO,YAET,iBAAkB,CAChBh7E,QACE,gNACFq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,eAGbg7E,MAAO,YAET,mBAAoB,CAClBh7E,QAAS,+CACTq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,iCACTq8E,OAAQ,CACNvnC,IAAK,CACH90C,QAAS,UAKjBg7E,MAAO,YAET,uBAAwB,CACtBh7E,QACE,mEACFq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,iCACTq8E,OAAQ,CACNvnC,IAAK,CACH90C,QAAS,SAIfpP,UAAW,CACToP,QAAS,WACTq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,MAGbg7E,MAAO,YAGXA,MAAO,YAET,4BAA6B,CAC3Bh7E,QAAS,gBACTq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,UAGbg7E,MAAO,YAET,wBAAyB,CACvBh7E,QAAS,eACTq8E,OAAQ,CACNL,YAAa,CACXh8E,QAAS,WAGbg7E,MAAO,cAGXhB,EAAMY,UAAU34C,aACd,SACA,cACA,CACE26C,SAAU5C,EAAMY,UAAU4xB,MAAM,mBAAmBnwB,OAAiB,UAEtErC,EAAMY,UAAU4xB,MAAM,mBAExBxyB,EAAMY,UAAU6xB,UAAYzyB,EAAMY,UAAU4xB,KAC7C,CAtHA,CAsHExyB,EACL,CA3HA/uF,EAAOC,QAAUshH,EACjBA,EAAM98G,YAAc,QACpB88G,EAAMvwB,QAAU,CAAC,oCCCjB,SAASywB,EAAO1yB,IACb,SAAWA,GAOV,SAAS2yB,EAAiBlzG,EAAMmzG,GAC1B5yB,EAAMY,UAAUnhF,IAClBugF,EAAMY,UAAU34C,aAAaxoC,EAAM,UAAW,CAC5C,cAAemzG,GAGrB,CACA,IAAI93D,EAAMklC,EAAMY,UAAUR,OAAOtlC,IAC7B+3D,EAAkB,CACpB7sG,QAAS,WACTo8E,QAAQ,EACRpB,MAAO,UACPqB,OAAQ,CACNvnC,IAAKA,IAGLg4D,EAAiB,CACnB9sG,QAAS,QACTo8E,QAAQ,EACRpB,MAAO,UACPqB,OAAQ,CACNvnC,IAAKA,IAGT63D,EAAiB,SAAUE,GAC3BF,EAAiB,SAAUE,GAC3BF,EAAiB,QAASG,EAC3B,CAlCA,CAkCE9yB,EACL,CAvCA/uF,EAAOC,QAAUwhH,EACjBA,EAAOh9G,YAAc,SACrBg9G,EAAOzwB,QAAU,0BCCjB,SAAS8wB,EAAK/yB,GACZA,EAAMY,UAAUmyB,KAAO,CACrB9iG,QAAS,CACPjK,QAAS,sBACTo8E,QAAQ,GAEV1sC,OAAQ,CACN1vC,QAAS,iBACTo8E,QAAQ,GAEVltF,OAAQ,CAAC,6CAA8C,qBACvDuwF,UAAW,CACTz/E,QAAS,sCACTg7E,MAAO,YAETc,QACE,ktBACFC,SACE,6FACFC,YAAa,WAEjB,CAxBA/wF,EAAOC,QAAU6hH,EACjBA,EAAKr9G,YAAc,OACnBq9G,EAAK9wB,QAAU,0BCCf,SAAS+wB,EAAOhzB,IACb,SAAWA,GACVA,EAAMY,UAAUoyB,OAAShzB,EAAMY,UAAUzvF,OAAO,SAAU,CACxD,iBAAkB,CAChB6U,QAAS,iBACTo8E,QAAQ,EACRpB,MAAO,WAETtrC,OAAQ,CACN1vC,QAAS,iCACTo8E,QAAQ,GAEV6wB,UAAW,CACTjtG,QAAS,YACTg7E,MAAO,UAET4B,SAAU,YACVt6E,KAAM,CACJtC,QACE,4IACF67E,YAAY,EACZb,MAAO,YAET,mBAAoB,CAClBh7E,QACE,sHACF67E,YAAY,EACZb,MAAO,YAETc,QAAS,CACP97E,QACE,ocACF67E,YAAY,GAEdiB,SAAU,8BACV,iBAAkB,CAChB98E,QAAS,iCACT67E,YAAY,EACZb,MAAO,OAET,mBAAoB,CAClBh7E,QAAS,mCACT67E,YAAY,EACZb,MAAO,aAETsD,QAAS,CACPt+E,QACE,shBACF67E,YAAY,GAEd3sF,OAAQ,gCACR6sF,SAAU,CACR,qCACA,CACE/7E,QAAS,cACT67E,YAAY,IAGhBG,YAAa,kBAEfhC,EAAMY,UAAUoyB,OAAOl4D,IAAI90C,QACzB,uJACFg6E,EAAMY,UAAUoyB,OAAY,IAAE3wB,OAAO,cAAcr8E,QACjD,kGACFg6E,EAAMY,UAAUoyB,OAAY,IAAE3wB,OAAO,cAAcA,OAAoB,YACrE,SACFrC,EAAMY,UAAUoyB,OAAY,IAAE3wB,OAAO,cAAcA,OAAmB,WAAI,CAExEr8E,QAAS,iDACTq8E,OAAQrC,EAAMY,UAAUoyB,OACxBhyB,MAAO,mBAET,IAAIob,EAAiB,SAAUpmF,GAC7B,MAAqB,kBAAVA,EACFA,EAEoB,kBAAlBA,EAAM5hB,QACR4hB,EAAM5hB,QAER4hB,EAAM5hB,QAAQgqF,IAAIge,GAAgB1nG,KAAK,GAChD,EACIimG,EAAa,SAAUvZ,GAEzB,IADA,IAAIib,EAAa,GACR5qG,EAAI,EAAGA,EAAI2vF,EAAO1vF,OAAQD,IAAK,CACtC,IAAIukB,EAAQorE,EAAO3vF,GACf6qG,GAAiB,EAsDrB,GArDqB,kBAAVtmF,IAEQ,QAAfA,EAAMrjB,MACNqjB,EAAM5hB,QAAQ,IACY,QAA1B4hB,EAAM5hB,QAAQ,GAAGzB,KAG2B,OAAxCqjB,EAAM5hB,QAAQ,GAAGA,QAAQ,GAAGA,QAG5BioG,EAAW3qG,OAAS,GACpB2qG,EAAWA,EAAW3qG,OAAS,GAAGkB,UAChCwpG,EAAepmF,EAAM5hB,QAAQ,GAAGA,QAAQ,KAG1CioG,EAAWzsE,MAG2C,OAApD5Z,EAAM5hB,QAAQ4hB,EAAM5hB,QAAQ1C,OAAS,GAAG0C,SAI1CioG,EAAW3pG,KAAK,CACdE,QAASwpG,EAAepmF,EAAM5hB,QAAQ,GAAGA,QAAQ,IACjDmoG,aAAc,MAKpBF,EAAW3qG,OAAS,GACL,gBAAfskB,EAAMrjB,MACY,MAAlBqjB,EAAM5hB,UACJgtF,EAAO3vF,EAAI,IACY,gBAAvB2vF,EAAO3vF,EAAI,GAAGkB,MACY,MAA1ByuF,EAAO3vF,EAAI,GAAG2C,SACdgtF,EAAO3vF,EAAI,IACY,eAAvB2vF,EAAO3vF,EAAI,GAAGkB,MACY,MAA1ByuF,EAAO3vF,EAAI,GAAG2C,QAKhBioG,EAAW3qG,OAAS,GACpB2qG,EAAWA,EAAW3qG,OAAS,GAAG6qG,aAAe,GAClC,gBAAfvmF,EAAMrjB,MACY,MAAlBqjB,EAAM5hB,QAGNioG,EAAWA,EAAW3qG,OAAS,GAAG6qG,eACV,YAAfvmF,EAAMrjB,OACf2pG,GAAiB,GAVjBD,EAAWA,EAAW3qG,OAAS,GAAG6qG,iBAalCD,GAAmC,kBAAVtmF,IAEzBqmF,EAAW3qG,OAAS,GAC+B,IAAnD2qG,EAAWA,EAAW3qG,OAAS,GAAG6qG,aAClC,CAGA,IAAIC,EAAYJ,EAAepmF,GAE7BvkB,EAAI2vF,EAAO1vF,OAAS,IACM,kBAAlB0vF,EAAO3vF,EAAI,IACM,eAAvB2vF,EAAO3vF,EAAI,GAAGkB,QAEhB6pG,GAAaJ,EAAehb,EAAO3vF,EAAI,IACvC2vF,EAAOtqD,OAAOrlC,EAAI,EAAG,IAGrBA,EAAI,IACsB,kBAAlB2vF,EAAO3vF,EAAI,IACM,eAAvB2vF,EAAO3vF,EAAI,GAAGkB,QAEhB6pG,EAAYJ,EAAehb,EAAO3vF,EAAI,IAAM+qG,EAC5Cpb,EAAOtqD,OAAOrlC,EAAI,EAAG,GACrBA,KAEE,QAAQiF,KAAK8lG,GACfpb,EAAO3vF,GAAK+qG,EAEZpb,EAAO3vF,GAAK,IAAIuuF,EAAMqB,MACpB,aACAmb,EACA,KACAA,EAGN,CAEExmF,EAAM5hB,SAAoC,kBAAlB4hB,EAAM5hB,SAChCumG,EAAW3kF,EAAM5hB,QAErB,CACF,EACA4rF,EAAMyB,MAAMzqC,IAAI,kBAAkB,SAAUsqC,GACrB,WAAjBA,EAAI5hF,UAGRi7F,EAAWrZ,EAAIF,OACjB,GACD,CA1LA,CA0LEpB,EACL,CA/LA/uF,EAAOC,QAAU8hH,EACjBA,EAAOt9G,YAAc,SACrBs9G,EAAO/wB,QAAU,0BCCjB,SAAS0e,EAAK3gB,IACX,SAAWA,GAGV,IAAIkzB,EAAgB,mBAChBp4D,EACF,mFACEjoD,EACF,MACAioD,EAAIzrC,OACJ,YACA6jG,EAAc7jG,OACd,MACA6jG,EAAc7jG,OACd,YACAyrC,EAAIzrC,OACJ,MAGE8jG,EACF,kJAAkJ9jG,OAAOxY,QACvJ,YACA,WACE,MAAO,2EACJwY,MACL,IAEAqmC,EAAS,8CAA8CrmC,OAO3D,SAAS+jG,EAAmBviH,EAAOszD,GACjCA,GAASA,GAAS,IAAIttD,QAAQ,KAAM,IAAM,IAC1C,IAAImP,EACF,yFAAyFqJ,OACtFxY,QAAQ,aAAa,WACpB,OAAOhE,CACT,IACCgE,QAAQ,cAAc,WACrB,OAAOhG,CACT,IACJ,OAAO2xF,OAAOx8E,EAASm+C,EACzB,CACA67B,EAAMY,UAAU+f,KAAO,CACrBpN,OAAQ,CACNvtF,QAASw8E,OACP,6FAA6FnzE,OAAOxY,QAClG,aACA,WACE,OAAOhE,CACT,KAGJgvF,YAAY,EACZb,MAAO,UAET/wE,QAAS,MACT9f,IAAK,CACH6V,QAASw8E,OACP,kEAAkEnzE,OAC/DxY,QAAQ,aAAa,WACpB,OAAOhE,CACT,IACCgE,QAAQ,YAAY,WACnB,MAAO,MAAQs8G,EAAW,IAAMz9D,EAAS,GAC3C,KAEJmsC,YAAY,EACZO,QAAQ,EACRpB,MAAO,UAETyE,UAAW,CACTz/E,QAAS,gBACT67E,YAAY,EACZb,MAAO,aAETtuB,SAAU,CACR1sD,QAASotG,EACP,sJACG/jG,QAELwyE,YAAY,EACZb,MAAO,UAET1rF,QAAS,CACP0Q,QAASotG,EAAmB,aAAa/jG,OAAQ,KACjDwyE,YAAY,EACZb,MAAO,aAET6a,KAAM,CACJ71F,QAASotG,EAAmB,SAAS/jG,OAAQ,KAC7CwyE,YAAY,EACZb,MAAO,aAETtrC,OAAQ,CACN1vC,QAASotG,EAAmB19D,GAC5BmsC,YAAY,EACZO,QAAQ,GAEVltF,OAAQ,CACN8Q,QAASotG,EACP,iFACG/jG,OACH,KAEFwyE,YAAY,GAEd/mC,IAAKA,EACLkrC,UAAWktB,EACXlxB,YAAa,6BAEfhC,EAAMY,UAAUyyB,IAAMrzB,EAAMY,UAAU+f,IACvC,CAlHA,CAkHE3gB,EACL,CAvHA/uF,EAAOC,QAAUyvG,EACjBA,EAAKjrG,YAAc,OACnBirG,EAAK1e,QAAU,CAAC,8BCChB,SAASqxB,EAAKtzB,GACZA,EAAMY,UAAU0yB,KAAO,CAGrBrjG,QAAS,0BACTylC,OAAQ,CACN1vC,QAAS,4BACTo8E,QAAQ,GAEVN,QAAS,CACP97E,QAAS,oCACT67E,YAAY,GAEdtjE,UAAW,CACTvY,QAAS,0BACT67E,YAAY,GAEdvsF,QAAS,qBACTysF,SAAU,KACVC,YAAa,SAEjB,CAxBA/wF,EAAOC,QAAUoiH,EACjBA,EAAK59G,YAAc,OACnB49G,EAAKrxB,QAAU,0BCCf,SAASsxB,EAAIvzB,IACV,SAAWA,GACV,SAASokB,EAAQ11F,GACf,OAAO,WACL,OAAOA,CACT,CACF,CACA,IAAIozE,EACF,iXACE0xB,EAAa,SAAW1xB,EAAQzyE,OAAS,kBACzCokG,EAAQ,oCAAoCpkG,OAW5CwW,EACF,sBAVA,0GAA0GxW,OAAOxY,QAC/G,WACAutG,EAAQqP,IAQ+B,SALzC,2DAA2DpkG,OAAOxY,QAChE,QACAutG,EAAQoP,IAGwD,KAiBpExzB,EAAMY,UAAU2yB,IAAM,CACpBtjG,QAAS,CACP,CACEjK,QAAS,aACTg7E,MAAO,eAET,WAEFtrC,OAAQ,CACN,CAEE1vC,QAAS,oCACT67E,YAAY,EACZO,QAAQ,GAEV,CAEEp8E,QAAS,iDACT67E,YAAY,EACZO,QAAQ,IAGZt5E,KAAM,CAEJ9C,QACE,2FACF67E,YAAY,EACZO,QAAQ,GAEVkC,QAAS,wBACT9kF,MAAO,CACLwG,QACE,4EACF67E,YAAY,GAEd,aAAc,CAEZ,8EACA,CAIE77E,QAASw8E,OACP,0EAA0EnzE,OACvExY,QAAQ,UAAWutG,EAAQv+E,IAC3BhvB,QAAQ,WAAYutG,EAAQqP,KAEjC5xB,YAAY,EACZQ,OAAQ,MAEV,CAEEr8E,QAASw8E,OACP,uCAAuCnzE,OACpCxY,QAAQ,UAAWutG,EAAQv+E,IAC3BhvB,QAAQ,WAAYutG,EAAQqP,KAEjC5xB,YAAY,EACZQ,OAAQ,OAGZ,eAAgB,CACdr8E,QACE,oKACFg7E,MAAO,WAETc,QAASA,EACTgB,SAAU,uBACV5tF,OACE,mHACFI,QAAS,qBACTysF,SACE,6EACFC,YAAa,iBAEfhC,EAAMY,UAAU2yB,IAAI,cAAc1+E,SAAQ,SAAU3kC,GAC/B,OAAfA,EAAImyF,SACNnyF,EAAImyF,OAASrC,EAAMY,UAAU2yB,IAEjC,GACD,CAtHA,CAsHEvzB,EACL,CA3HA/uF,EAAOC,QAAUqiH,EACjBA,EAAI79G,YAAc,MAClB69G,EAAItxB,QAAU,mBCFd,IAgBIjC,EAAS,SAAU0zB,GAGtB,IAAIj0G,EAAO,0CACPk0G,EAAW,EAGXC,EAAmB,CAAC,EAGpB77G,EAAI,CAsBPkoF,OAAQyzB,EAAM1zB,OAAS0zB,EAAM1zB,MAAMC,OAsBnCC,4BAA6BwzB,EAAM1zB,OAAS0zB,EAAM1zB,MAAME,4BAWxDa,KAAM,CACLI,OAAQ,SAASA,EAAOC,GACvB,OAAIA,aAAkBC,EACd,IAAIA,EAAMD,EAAOzuF,KAAMwuF,EAAOC,EAAOhtF,SAAUgtF,EAAOJ,OACnDjxF,MAAMF,QAAQuxF,GACjBA,EAAOhD,IAAI+C,GAEXC,EAAOvqF,QAAQ,KAAM,SAASA,QAAQ,KAAM,QAAQA,QAAQ,UAAW,IAEhF,EAkBAlE,KAAM,SAAU0yF,GACf,OAAOh2F,OAAOC,UAAUG,SAASO,KAAKq1F,GAAG/yF,MAAM,GAAI,EACpD,EAQAuhH,MAAO,SAAU3jH,GAIhB,OAHKA,EAAU,MACdb,OAAOK,eAAeQ,EAAK,OAAQ,CAAEW,QAAS8iH,IAExCzjH,EAAU,IAClB,EAYAqB,MAAO,SAASuiH,EAAUzuB,EAAG0uB,GAG5B,IAAIxiH,EAAWiB,EACf,OAHAuhH,EAAUA,GAAW,CAAC,EAGdh8G,EAAEgpF,KAAKpuF,KAAK0yF,IACnB,IAAK,SAEJ,GADA7yF,EAAKuF,EAAEgpF,KAAK8yB,MAAMxuB,GACd0uB,EAAQvhH,GACX,OAAOuhH,EAAQvhH,GAKhB,IAAK,IAAIrC,KAHToB,EAA4C,CAAC,EAC7CwiH,EAAQvhH,GAAMjB,EAEE8zF,EACXA,EAAE91F,eAAeY,KACpBoB,EAAMpB,GAAO2jH,EAAUzuB,EAAEl1F,GAAM4jH,IAIjC,OAA2BxiH,EAE5B,IAAK,QAEJ,OADAiB,EAAKuF,EAAEgpF,KAAK8yB,MAAMxuB,GACd0uB,EAAQvhH,GACJuhH,EAAQvhH,IAEhBjB,EAAQ,GACRwiH,EAAQvhH,GAAMjB,EAEd,EAA8CsjC,SAAQ,SAAU0iB,EAAG9lD,GAClEF,EAAME,GAAKqiH,EAAUv8D,EAAGw8D,EACzB,IAE2BxiH,GAE5B,QACC,OAAO8zF,EAEV,EAUA2uB,YAAa,SAAU9jF,GACtB,KAAOA,GAAS,CACf,IAAIpgB,EAAIrQ,EAAKpN,KAAK69B,EAAQz9B,WAC1B,GAAIqd,EACH,OAAOA,EAAE,GAAGpc,cAEbw8B,EAAUA,EAAQ+jF,aACnB,CACA,MAAO,MACR,EASAC,YAAa,SAAUhkF,EAASxwB,GAG/BwwB,EAAQz9B,UAAYy9B,EAAQz9B,UAAUoE,QAAQ2rF,OAAO/iF,EAAM,MAAO,IAIlEywB,EAAQikF,UAAUn9D,IAAI,YAAct3C,EACrC,EASA00G,cAAe,WACd,GAAwB,qBAAbpjG,SACV,OAAO,KAER,GAAI,kBAAmBA,SACtB,OAA2BA,SAASojG,cAOrC,IACC,MAAM,IAAIp/G,KACX,CAAE,MAAOya,GAQR,IAAIre,GAAO,qCAAqCiB,KAAKod,EAAIigB,QAAU,IAAI,GACvE,GAAIt+B,EAAK,CACR,IAAIijH,EAAUrjG,SAASsjG,qBAAqB,UAC5C,IAAK,IAAI7iH,KAAK4iH,EACb,GAAIA,EAAQ5iH,GAAGL,KAAOA,EACrB,OAAOijH,EAAQ5iH,EAGlB,CACA,OAAO,IACR,CACD,EAqBA8iH,SAAU,SAAUrkF,EAASz9B,EAAW+hH,GAGvC,IAFA,IAAIC,EAAK,MAAQhiH,EAEVy9B,GAAS,CACf,IAAIikF,EAAYjkF,EAAQikF,UACxB,GAAIA,EAAUl2E,SAASxrC,GACtB,OAAO,EAER,GAAI0hH,EAAUl2E,SAASw2E,GACtB,OAAO,EAERvkF,EAAUA,EAAQ+jF,aACnB,CACA,QAASO,CACV,GAUD5zB,UAAW,CAIViV,MAAO+d,EACPc,UAAWd,EACX3oG,KAAM2oG,EACNe,IAAKf,EA8BLziH,OAAQ,SAAUqB,EAAIoiH,GACrB,IAAIn1G,EAAO1H,EAAEgpF,KAAKxvF,MAAMwG,EAAE6oF,UAAUpuF,IAEpC,IAAK,IAAIrC,KAAOykH,EACfn1G,EAAKtP,GAAOykH,EAAMzkH,GAGnB,OAAOsP,CACR,EA6EAwoC,aAAc,SAAUo6C,EAAQwY,EAAQga,EAAQC,GAE/C,IAAIn0B,GADJm0B,EAAOA,GAA4B/8G,EAAW,WAC3BsqF,GAEfjyE,EAAM,CAAC,EAEX,IAAK,IAAI4F,KAAS2qE,EACjB,GAAIA,EAAQpxF,eAAeymB,GAAQ,CAElC,GAAIA,GAAS6kF,EACZ,IAAK,IAAIka,KAAYF,EAChBA,EAAOtlH,eAAewlH,KACzB3kG,EAAI2kG,GAAYF,EAAOE,IAMrBF,EAAOtlH,eAAeymB,KAC1B5F,EAAI4F,GAAS2qE,EAAQ3qE,GAEvB,CAGD,IAAIg/F,EAAMF,EAAKzyB,GAUf,OATAyyB,EAAKzyB,GAAUjyE,EAGfrY,EAAE6oF,UAAUq0B,IAAIl9G,EAAE6oF,WAAW,SAAUzwF,EAAKU,GACvCA,IAAUmkH,GAAO7kH,GAAOkyF,IAC3B31E,KAAKvc,GAAOigB,EAEd,IAEOA,CACR,EAGA6kG,IAAK,SAASA,EAAI5vB,EAAG7hB,EAAU7wE,EAAMohH,GACpCA,EAAUA,GAAW,CAAC,EAEtB,IAAIF,EAAQ97G,EAAEgpF,KAAK8yB,MAEnB,IAAK,IAAIpiH,KAAK4zF,EACb,GAAIA,EAAE91F,eAAekC,GAAI,CACxB+xE,EAASxzE,KAAKq1F,EAAG5zF,EAAG4zF,EAAE5zF,GAAIkB,GAAQlB,GAElC,IAAImC,EAAWyxF,EAAE5zF,GACbyjH,EAAen9G,EAAEgpF,KAAKpuF,KAAKiB,GAEV,WAAjBshH,GAA8BnB,EAAQF,EAAMjgH,IAGpB,UAAjBshH,GAA6BnB,EAAQF,EAAMjgH,MACrDmgH,EAAQF,EAAMjgH,KAAa,EAC3BqhH,EAAIrhH,EAAU4vE,EAAU/xE,EAAGsiH,KAJ3BA,EAAQF,EAAMjgH,KAAa,EAC3BqhH,EAAIrhH,EAAU4vE,EAAU,KAAMuwC,GAKhC,CAEF,GAGD1S,QAAS,CAAC,EAcV8T,aAAc,SAAUh5G,EAAOqnE,GAC9BzrE,EAAEq9G,kBAAkBpkG,SAAU7U,EAAOqnE,EACtC,EAiBA4xC,kBAAmB,SAAUC,EAAWl5G,EAAOqnE,GAC9C,IAAI8d,EAAM,CACT9d,SAAUA,EACV6xC,UAAWA,EACXzjH,SAAU,oGAGXmG,EAAE0pF,MAAMC,IAAI,sBAAuBJ,GAEnCA,EAAIg0B,SAAWvlH,MAAMT,UAAUgD,MAAMwa,MAAMw0E,EAAI+zB,UAAUz8B,iBAAiB0I,EAAI1vF,WAE9EmG,EAAE0pF,MAAMC,IAAI,gCAAiCJ,GAE7C,IAAK,IAAWpxD,EAAPz+B,EAAI,EAAay+B,EAAUoxD,EAAIg0B,SAAS7jH,MAChDsG,EAAEw9G,iBAAiBrlF,GAAmB,IAAV/zB,EAAgBmlF,EAAI9d,SAElD,EA8BA+xC,iBAAkB,SAAUrlF,EAAS/zB,EAAOqnE,GAE3C,IAAI9jE,EAAW3H,EAAEgpF,KAAKizB,YAAY9jF,GAC9BywD,EAAU5oF,EAAE6oF,UAAUlhF,GAG1B3H,EAAEgpF,KAAKmzB,YAAYhkF,EAASxwB,GAG5B,IAAIgzB,EAASxC,EAAQ+jF,cACjBvhF,GAA4C,QAAlCA,EAAOmgB,SAASn/C,eAC7BqE,EAAEgpF,KAAKmzB,YAAYxhF,EAAQhzB,GAG5B,IAEI4hF,EAAM,CACTpxD,QAASA,EACTxwB,SAAUA,EACVihF,QAASA,EACTz3E,KANUgnB,EAAQ/e,aASnB,SAASqkG,EAAsBC,GAC9Bn0B,EAAIm0B,gBAAkBA,EAEtB19G,EAAE0pF,MAAMC,IAAI,gBAAiBJ,GAE7BA,EAAIpxD,QAAQhf,UAAYowE,EAAIm0B,gBAE5B19G,EAAE0pF,MAAMC,IAAI,kBAAmBJ,GAC/BvpF,EAAE0pF,MAAMC,IAAI,WAAYJ,GACxB9d,GAAYA,EAASxzE,KAAKsxF,EAAIpxD,QAC/B,CAUA,GARAn4B,EAAE0pF,MAAMC,IAAI,sBAAuBJ,IAGnC5uD,EAAS4uD,EAAIpxD,QAAQ+jF,gBAC2B,QAAlCvhF,EAAOmgB,SAASn/C,gBAA4Bg/B,EAAOgjF,aAAa,aAC7EhjF,EAAOgmB,aAAa,WAAY,MAG5B4oC,EAAIp4E,KAGR,OAFAnR,EAAE0pF,MAAMC,IAAI,WAAYJ,QACxB9d,GAAYA,EAASxzE,KAAKsxF,EAAIpxD,UAM/B,GAFAn4B,EAAE0pF,MAAMC,IAAI,mBAAoBJ,GAE3BA,EAAIX,QAKT,GAAIxkF,GAASu3G,EAAMiC,OAAQ,CAC1B,IAAIC,EAAS,IAAID,OAAO59G,EAAE4X,UAE1BimG,EAAOC,UAAY,SAAUC,GAC5BN,EAAsBM,EAAIz/G,KAC3B,EAEAu/G,EAAOG,YAAYl9B,KAAKC,UAAU,CACjCp5E,SAAU4hF,EAAI5hF,SACdwJ,KAAMo4E,EAAIp4E,KACV8sG,gBAAgB,IAElB,MACCR,EAAsBz9G,EAAE8oF,UAAUS,EAAIp4E,KAAMo4E,EAAIX,QAASW,EAAI5hF,gBAjB7D81G,EAAsBz9G,EAAEgpF,KAAKI,OAAOG,EAAIp4E,MAmB1C,EAsBA23E,UAAW,SAAU51E,EAAM01E,EAASjhF,GACnC,IAAI4hF,EAAM,CACTp4E,KAAM+B,EACN01E,QAASA,EACTjhF,SAAUA,GAGX,GADA3H,EAAE0pF,MAAMC,IAAI,kBAAmBJ,IAC1BA,EAAIX,QACR,MAAM,IAAI3rF,MAAM,iBAAmBssF,EAAI5hF,SAAW,qBAInD,OAFA4hF,EAAIF,OAASrpF,EAAEkiG,SAAS3Y,EAAIp4E,KAAMo4E,EAAIX,SACtC5oF,EAAE0pF,MAAMC,IAAI,iBAAkBJ,GACvBD,EAAMvI,UAAU/gF,EAAEgpF,KAAKI,OAAOG,EAAIF,QAASE,EAAI5hF,SACvD,EA0BAu6F,SAAU,SAAUhvF,EAAM01E,GACzB,IAAI2E,EAAO3E,EAAQ2E,KACnB,GAAIA,EAAM,CACT,IAAK,IAAItvE,KAASsvE,EACjB3E,EAAQ3qE,GAASsvE,EAAKtvE,UAGhB2qE,EAAQ2E,IAChB,CAEA,IAAI2wB,EAAY,IAAIC,EAKpB,OAJAC,EAASF,EAAWA,EAAUG,KAAMnrG,GAEpCorG,EAAaprG,EAAMgrG,EAAWt1B,EAASs1B,EAAUG,KAAM,GAyazD,SAAiBz2G,GAChB,IAAI21C,EAAQ,GACRzhD,EAAO8L,EAAKy2G,KAAK9jG,KACrB,KAAOze,IAAS8L,EAAKuwE,MACpB56B,EAAM5iD,KAAKmB,EAAKhD,OAChBgD,EAAOA,EAAKye,KAEb,OAAOgjC,CACR,CA/aSgpC,CAAQ23B,EAChB,EAOAx0B,MAAO,CACN60B,IAAK,CAAC,EAcNt/D,IAAK,SAAUtmD,EAAM8yE,GACpB,IAAIie,EAAQ1pF,EAAE0pF,MAAM60B,IAEpB70B,EAAM/wF,GAAQ+wF,EAAM/wF,IAAS,GAE7B+wF,EAAM/wF,GAAMgC,KAAK8wE,EAClB,EAWAke,IAAK,SAAUhxF,EAAM4wF,GACpB,IAAIi1B,EAAYx+G,EAAE0pF,MAAM60B,IAAI5lH,GAE5B,GAAK6lH,GAAcA,EAAU7kH,OAI7B,IAAK,IAAW8xE,EAAP/xE,EAAI,EAAc+xE,EAAW+yC,EAAU9kH,MAC/C+xE,EAAS8d,EAEX,GAGDD,MAAOA,GAqBR,SAASA,EAAM1uF,EAAMyB,EAAS4sF,EAAOw1B,GAUpC9pG,KAAK/Z,KAAOA,EASZ+Z,KAAKtY,QAAUA,EAQfsY,KAAKs0E,MAAQA,EAEbt0E,KAAKhb,OAAqC,GAA3B8kH,GAAc,IAAI9kH,MAClC,CA6EA,SAAS+kH,EAAazwG,EAASkK,EAAKjF,EAAM42E,GACzC77E,EAAQ5T,UAAY8d,EACpB,IAAIle,EAAQgU,EAAQ3T,KAAK4Y,GACzB,GAAIjZ,GAAS6vF,GAAc7vF,EAAM,GAAI,CAEpC,IAAI0kH,EAAmB1kH,EAAM,GAAGN,OAChCM,EAAMO,OAASmkH,EACf1kH,EAAM,GAAKA,EAAM,GAAGM,MAAMokH,EAC3B,CACA,OAAO1kH,CACR,CAgBA,SAASqkH,EAAaprG,EAAMgrG,EAAWt1B,EAASg2B,EAAWC,EAAUC,GACpE,IAAK,IAAI7gG,KAAS2qE,EACjB,GAAKA,EAAQpxF,eAAeymB,IAAW2qE,EAAQ3qE,GAA/C,CAIA,IAAI8gG,EAAWn2B,EAAQ3qE,GACvB8gG,EAAW/mH,MAAMF,QAAQinH,GAAYA,EAAW,CAACA,GAEjD,IAAK,IAAIlgF,EAAI,EAAGA,EAAIkgF,EAASplH,SAAUklC,EAAG,CACzC,GAAIigF,GAAWA,EAAQE,OAAS/gG,EAAQ,IAAM4gB,EAC7C,OAGD,IAAIogF,EAAaF,EAASlgF,GACtByrD,EAAS20B,EAAW30B,OACpBR,IAAem1B,EAAWn1B,WAC1BO,IAAW40B,EAAW50B,OACtBpB,EAAQg2B,EAAWh2B,MAEvB,GAAIoB,IAAW40B,EAAWhxG,QAAQ85E,OAAQ,CAEzC,IAAI37B,EAAQ6yD,EAAWhxG,QAAQvW,WAAWuC,MAAM,aAAa,GAC7DglH,EAAWhxG,QAAUw8E,OAAOw0B,EAAWhxG,QAAQqJ,OAAQ80C,EAAQ,IAChE,CAKA,IAFA,IAAIn+C,EAAUgxG,EAAWhxG,SAAWgxG,EAG/BC,EAAcN,EAAUrkG,KAAMpC,EAAM0mG,EACxCK,IAAgBhB,EAAU/lC,QAItB2mC,GAAW3mG,GAAO2mG,EAAQK,OAH9BhnG,GAAO+mG,EAAYpmH,MAAMa,OAAQulH,EAAcA,EAAY3kG,KAC1D,CAMD,IAAI5D,EAAMuoG,EAAYpmH,MAEtB,GAAIolH,EAAUvkH,OAASuZ,EAAKvZ,OAE3B,OAGD,KAAIgd,aAAe2yE,GAAnB,CAIA,IACIrvF,EADAmlH,EAAc,EAGlB,GAAI/0B,EAAQ,CAEX,KADApwF,EAAQykH,EAAazwG,EAASkK,EAAKjF,EAAM42E,KAC3B7vF,EAAMO,OAAS0Y,EAAKvZ,OACjC,MAGD,IAAI0lH,EAAOplH,EAAMO,MACb8kH,EAAKrlH,EAAMO,MAAQP,EAAM,GAAGN,OAC5BgpC,EAAIxqB,EAIR,IADAwqB,GAAKu8E,EAAYpmH,MAAMa,OAChB0lH,GAAQ18E,GAEdA,IADAu8E,EAAcA,EAAY3kG,MACTzhB,MAAMa,OAOxB,GAHAwe,EADAwqB,GAAKu8E,EAAYpmH,MAAMa,OAInBulH,EAAYpmH,iBAAiBwwF,EAChC,SAID,IACC,IAAI1mC,EAAIs8D,EACRt8D,IAAMs7D,EAAU/lC,OAASx1C,EAAI28E,GAAyB,kBAAZ18D,EAAE9pD,OAC5C8pD,EAAIA,EAAEroC,KAEN6kG,IACAz8E,GAAKigB,EAAE9pD,MAAMa,OAEdylH,IAGAzoG,EAAMzD,EAAK3Y,MAAM4d,EAAKwqB,GACtB1oC,EAAMO,OAAS2d,CAChB,MAEC,KADAle,EAAQykH,EAAazwG,EAAS,EAAG0I,EAAKmzE,IAErC,SAKEu1B,EAAOplH,EAAMO,MAAjB,IACI+kH,EAAWtlH,EAAM,GACjB6oG,EAASnsF,EAAIpc,MAAM,EAAG8kH,GACtBrc,EAAQrsF,EAAIpc,MAAM8kH,EAAOE,EAAS5lH,QAElCwlH,EAAQhnG,EAAMxB,EAAIhd,OAClBmlH,GAAWK,EAAQL,EAAQK,QAC9BL,EAAQK,MAAQA,GAGjB,IAAIK,EAAaN,EAAY5kG,KAgB7B,GAdIwoF,IACH0c,EAAapB,EAASF,EAAWsB,EAAY1c,GAC7C3qF,GAAO2qF,EAAOnpG,QAGf8lH,EAAYvB,EAAWsB,EAAYJ,GAGnCF,EAAcd,EAASF,EAAWsB,EADpB,IAAIl2B,EAAMrrE,EAAOqsE,EAAStqF,EAAEkiG,SAASqd,EAAUj1B,GAAUi1B,EAAUt2B,EAAOs2B,IAGpFvc,GACHob,EAASF,EAAWgB,EAAalc,GAG9Boc,EAAc,EAAG,CAKpB,IAAIM,EAAgB,CACnBV,MAAO/gG,EAAQ,IAAM4gB,EACrBsgF,MAAOA,GAERb,EAAaprG,EAAMgrG,EAAWt1B,EAASs2B,EAAY5kG,KAAMnC,EAAKunG,GAG1DZ,GAAWY,EAAcP,MAAQL,EAAQK,QAC5CL,EAAQK,MAAQO,EAAcP,MAEhC,CA7FA,CA8FD,CACD,CA3IA,CA6IF,CAeA,SAAShB,IAER,IAAIE,EAAO,CAAEvlH,MAAO,KAAMwhB,KAAM,KAAMC,KAAM,MAExC49D,EAAO,CAAEr/E,MAAO,KAAMwhB,KAAM+jG,EAAM9jG,KAAM,MAC5C8jG,EAAK9jG,KAAO49D,EAGZxjE,KAAK0pG,KAAOA,EAEZ1pG,KAAKwjE,KAAOA,EACZxjE,KAAKhb,OAAS,CACf,CAWA,SAASykH,EAASx2G,EAAM9L,EAAMhD,GAE7B,IAAIyhB,EAAOze,EAAKye,KAEZ2gC,EAAU,CAAEpiD,MAAOA,EAAOwhB,KAAMxe,EAAMye,KAAMA,GAKhD,OAJAze,EAAKye,KAAO2gC,EACZ3gC,EAAKD,KAAO4gC,EACZtzC,EAAKjO,SAEEuhD,CACR,CASA,SAASukE,EAAY73G,EAAM9L,EAAMwqF,GAEhC,IADA,IAAI/rE,EAAOze,EAAKye,KACP7gB,EAAI,EAAGA,EAAI4sF,GAAS/rE,IAAS3S,EAAKuwE,KAAMz+E,IAChD6gB,EAAOA,EAAKA,KAEbze,EAAKye,KAAOA,EACZA,EAAKD,KAAOxe,EACZ8L,EAAKjO,QAAUD,CAChB,CAiBA,GA3XAiiH,EAAM1zB,MAAQjoF,EA+EdspF,EAAMvI,UAAY,SAASA,EAAUuM,EAAG3lF,GACvC,GAAgB,iBAAL2lF,EACV,OAAOA,EAER,GAAIt1F,MAAMF,QAAQw1F,GAAI,CACrB,IAAIuV,EAAI,GAIR,OAHAvV,EAAExwD,SAAQ,SAAU4iB,GACnBmjD,GAAK9hB,EAAUrhC,EAAG/3C,EACnB,IACOk7F,CACR,CAEA,IAAItZ,EAAM,CACT3uF,KAAM0yF,EAAE1yF,KACRyB,QAAS0kF,EAAUuM,EAAEjxF,QAASsL,GAC9Bo7C,IAAK,OACL0mC,QAAS,CAAC,QAAS6D,EAAE1yF,MACrBuI,WAAY,CAAC,EACbwE,SAAUA,GAGPuiF,EAAUoD,EAAErE,MACZiB,IACClyF,MAAMF,QAAQoyF,GACjBlyF,MAAMT,UAAUoD,KAAKoa,MAAMw0E,EAAIE,QAASS,GAExCX,EAAIE,QAAQ9uF,KAAKuvF,IAInBlqF,EAAE0pF,MAAMC,IAAI,OAAQJ,GAEpB,IAAIpmF,EAAa,GACjB,IAAK,IAAIxK,KAAQ4wF,EAAIpmF,WACpBA,GAAc,IAAMxK,EAAO,MAAQ4wF,EAAIpmF,WAAWxK,IAAS,IAAImG,QAAQ,KAAM,UAAY,IAG1F,MAAO,IAAMyqF,EAAIxmC,IAAM,WAAawmC,EAAIE,QAAQ9sF,KAAK,KAAO,IAAMwG,EAAa,IAAMomF,EAAIltF,QAAU,KAAOktF,EAAIxmC,IAAM,GACrH,GAsQK44D,EAAM1iG,SACV,OAAK0iG,EAAMnwD,kBAKNxrD,EAAEmoF,6BAENwzB,EAAMnwD,iBAAiB,WAAW,SAAUuyD,GAC3C,IAAIjqC,EAAUgN,KAAK5jE,MAAM6gG,EAAIz/G,MACzBoJ,EAAOosE,EAAQnsE,SACfwJ,EAAO2iE,EAAQ3iE,KACf8sG,EAAiBnqC,EAAQmqC,eAE7BtC,EAAMqC,YAAYh+G,EAAE8oF,UAAU33E,EAAMnR,EAAE6oF,UAAUnhF,GAAOA,IACnDu2G,GACHtC,EAAMvf,OAER,IAAG,GAGGp8F,GAlBCA,EAsBT,IAAImuC,EAASnuC,EAAEgpF,KAAKqzB,gBAUpB,SAASsD,IACH3/G,EAAEkoF,QACNloF,EAAEo9G,cAEJ,CAEA,GAdIjvE,IACHnuC,EAAE4X,SAAWu2B,EAAO90C,IAEhB80C,EAAOwvE,aAAa,iBACvB39G,EAAEkoF,QAAS,KAURloF,EAAEkoF,OAAQ,CAOd,IAAI03B,EAAa3mG,SAAS2mG,WACP,YAAfA,GAA2C,gBAAfA,GAAgCzxE,GAAUA,EAAO5oC,MAChF0T,SAASuyC,iBAAiB,mBAAoBm0D,GAE1CxgE,OAAO0gE,sBACV1gE,OAAO0gE,sBAAsBF,GAE7BxgE,OAAO+jB,WAAWy8C,EAAgC,GAGrD,CAEA,OAAO3/G,CAER,CAlqCa,CAhBkB,qBAAXm/C,OACjBA,OAE6B,qBAAtB2gE,mBAAqCh4B,gBAAgBg4B,kBAC1Dh4B,KACA,CAAC,GA+qC+B5uF,EAAOC,UAC3CD,EAAOC,QAAU8uF,GAII,qBAAXF,EAAAA,IACVA,EAAAA,EAAOE,MAAQA,8BCnrCH,SAAStoC,EAAElB,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAE9kD,OAAO8kD,EAAE9jD,KAAK+jD,GAAGD,EAAE,KAAK,EAAExmC,GAAG,CAAC,IAAIwnC,EAAExnC,EAAE,IAAI,EAAEynC,EAAEjB,EAAEgB,GAAG,KAAG,EAAEG,EAAEF,EAAEhB,IAA0B,MAAMD,EAA7BA,EAAEgB,GAAGf,EAAED,EAAExmC,GAAGynC,EAAEznC,EAAEwnC,CAAc,CAAC,CAAC,SAASkD,EAAElE,GAAG,OAAO,IAAIA,EAAE9kD,OAAO,KAAK8kD,EAAE,EAAE,CAAC,SAASmE,EAAEnE,GAAG,GAAG,IAAIA,EAAE9kD,OAAO,OAAO,KAAK,IAAI+kD,EAAED,EAAE,GAAGxmC,EAAEwmC,EAAE5mB,MAAM,GAAG5f,IAAIymC,EAAE,CAACD,EAAE,GAAGxmC,EAAEwmC,EAAE,IAAI,IAAIgB,EAAE,EAAEC,EAAEjB,EAAE9kD,OAAOgoE,EAAEjiB,IAAI,EAAED,EAAEkiB,GAAG,CAAC,IAAI5pD,EAAE,GAAG0nC,EAAE,GAAG,EAAEiQ,EAAEjR,EAAE1mC,GAAGupD,EAAEvpD,EAAE,EAAE0pD,EAAEhjB,EAAE6iB,GAAG,GAAG,EAAE1hB,EAAE8P,EAAEz3C,GAAGqpD,EAAE5hB,GAAG,EAAEE,EAAE6hB,EAAE/R,IAAIjR,EAAEgB,GAAGgiB,EAAEhjB,EAAE6iB,GAAGrpD,EAAEwnC,EAAE6hB,IAAI7iB,EAAEgB,GAAGiQ,EAAEjR,EAAE1mC,GAAGE,EAAEwnC,EAAE1nC,OAAQ,MAAGupD,EAAE5hB,GAAG,EAAEE,EAAE6hB,EAAExpD,IAA0B,MAAMwmC,EAA7BA,EAAEgB,GAAGgiB,EAAEhjB,EAAE6iB,GAAGrpD,EAAEwnC,EAAE6hB,CAAc,EAAC,CAAC,OAAO5iB,CAAC,CAC3c,SAASkB,EAAEnB,EAAEC,GAAG,IAAIzmC,EAAEwmC,EAAEshE,UAAUrhE,EAAEqhE,UAAU,OAAO,IAAI9nG,EAAEA,EAAEwmC,EAAEhkD,GAAGikD,EAAEjkD,EAAE,CAAC,GAAG,kBAAkBulH,aAAa,oBAAoBA,YAAY9kG,IAAI,CAAC,IAAIwnC,EAAEs9D,YAAY7mH,EAAQk0D,aAAa,WAAW,OAAO3K,EAAExnC,KAAK,CAAC,KAAK,CAAC,IAAIynB,EAAE6xB,KAAKwU,EAAErmC,EAAEznB,MAAM/hB,EAAQk0D,aAAa,WAAW,OAAO1qB,EAAEznB,MAAM8tD,CAAC,CAAC,CAAC,IAAIC,EAAE,GAAG1H,EAAE,GAAGG,EAAE,EAAEliB,EAAE,KAAK0pB,EAAE,EAAE/oB,GAAE,EAAGjyB,GAAE,EAAGjF,GAAE,EAAG23C,EAAE,oBAAoBsC,WAAWA,WAAW,KAAKuB,EAAE,oBAAoBrB,aAAaA,aAAa,KAAKxB,EAAE,qBAAqBq+C,aAAaA,aAAa,KACnT,SAASv7C,EAAEjmB,GAAG,IAAI,IAAIC,EAAEiE,EAAE4e,GAAG,OAAO7iB,GAAG,CAAC,GAAG,OAAOA,EAAE+sB,SAAS7oB,EAAE2e,OAAQ,MAAG7iB,EAAEwhE,WAAWzhE,GAAgD,MAA9CmE,EAAE2e,GAAG7iB,EAAEqhE,UAAUrhE,EAAEyhE,eAAexgE,EAAEspB,EAAEvqB,EAAa,CAACA,EAAEiE,EAAE4e,EAAE,CAAC,CAAC,SAASqD,EAAEnmB,GAAa,GAAVx1B,GAAE,EAAGy7C,EAAEjmB,IAAOvwB,EAAE,GAAG,OAAOy0B,EAAEsmB,GAAG/6C,GAAE,EAAG5D,EAAEk3C,OAAO,CAAC,IAAI9iB,EAAEiE,EAAE4e,GAAG,OAAO7iB,GAAGitB,EAAE/G,EAAElmB,EAAEwhE,UAAUzhE,EAAE,CAAC,CACra,SAAS+iB,EAAE/iB,EAAEC,GAAGxwB,GAAE,EAAGjF,IAAIA,GAAE,EAAGw7C,EAAEiI,GAAGA,GAAG,GAAGvsB,GAAE,EAAG,IAAIloC,EAAEixD,EAAE,IAAS,IAALxE,EAAEhmB,GAAOc,EAAEmD,EAAEsmB,GAAG,OAAOzpB,MAAMA,EAAE2gE,eAAezhE,IAAID,IAAI2uB,MAAM,CAAC,IAAI3tB,EAAED,EAAEisB,SAAS,GAAG,oBAAoBhsB,EAAE,CAACD,EAAEisB,SAAS,KAAKvC,EAAE1pB,EAAE4gE,cAAc,IAAI1gE,EAAED,EAAED,EAAE2gE,gBAAgBzhE,GAAGA,EAAEvlD,EAAQk0D,eAAe,oBAAoB3N,EAAEF,EAAEisB,SAAS/rB,EAAEF,IAAImD,EAAEsmB,IAAIrmB,EAAEqmB,GAAGvE,EAAEhmB,EAAE,MAAMkE,EAAEqmB,GAAGzpB,EAAEmD,EAAEsmB,EAAE,CAAC,GAAG,OAAOzpB,EAAE,IAAImiB,GAAE,MAAO,CAAC,IAAI5pD,EAAE4qC,EAAE4e,GAAG,OAAOxpD,GAAG4zD,EAAE/G,EAAE7sD,EAAEmoG,UAAUxhE,GAAGijB,GAAE,CAAE,CAAC,OAAOA,CAAC,CAAC,QAAQniB,EAAE,KAAK0pB,EAAEjxD,EAAEkoC,GAAE,CAAE,CAAC,CAD1a,qBAAqBkgE,gBAAW,IAASA,UAAUC,iBAAY,IAASD,UAAUC,WAAWC,gBAAgBF,UAAUC,WAAWC,eAAen/C,KAAKi/C,UAAUC,YAC2Q,IACzPr1F,EAD6PoiD,GAAE,EAAGC,EAAE,KAAKZ,GAAG,EAAE5hD,EAAE,EAAEskD,GAAG,EACvc,SAAShC,IAAI,QAAOj0E,EAAQk0D,eAAe+hB,EAAEtkD,EAAO,CAAC,SAASkmD,IAAI,GAAG,OAAO1D,EAAE,CAAC,IAAI7uB,EAAEtlD,EAAQk0D,eAAe+hB,EAAE3wB,EAAE,IAAIC,GAAE,EAAG,IAAIA,EAAE4uB,GAAE,EAAG7uB,EAAE,CAAC,QAAQC,EAAEzzB,KAAKoiD,GAAE,EAAGC,EAAE,KAAK,CAAC,MAAMD,GAAE,CAAE,CAAO,GAAG,oBAAoBzL,EAAE32C,EAAE,WAAW22C,EAAEoP,EAAE,OAAO,GAAG,qBAAqBwvC,eAAe,CAAC,IAAI3nC,EAAE,IAAI2nC,eAAe90F,EAAEmtD,EAAE4nC,MAAM5nC,EAAE6nC,MAAM5C,UAAU9sC,EAAE/lD,EAAE,WAAWS,EAAEsyF,YAAY,KAAK,CAAC,MAAM/yF,EAAE,WAAW21C,EAAEoQ,EAAE,EAAE,EAAE,SAAS1mD,EAAEm0B,GAAG6uB,EAAE7uB,EAAE4uB,IAAIA,GAAE,EAAGpiD,IAAI,CAAC,SAAS0gD,EAAEltB,EAAEC,GAAGguB,EAAE9L,GAAE,WAAWniB,EAAEtlD,EAAQk0D,eAAe,GAAE3O,EAAE,CAC5dvlD,EAAQ80D,sBAAsB,EAAE90D,EAAQs0D,2BAA2B,EAAEt0D,EAAQ40D,qBAAqB,EAAE50D,EAAQ00D,wBAAwB,EAAE10D,EAAQwnH,mBAAmB,KAAKxnH,EAAQw0D,8BAA8B,EAAEx0D,EAAQ6zD,wBAAwB,SAASvO,GAAGA,EAAEgtB,SAAS,IAAI,EAAEtyE,EAAQynH,2BAA2B,WAAW1yF,GAAGiyB,IAAIjyB,GAAE,EAAG5D,EAAEk3C,GAAG,EAC1UroE,EAAQ0nH,wBAAwB,SAASpiE,GAAG,EAAEA,GAAG,IAAIA,EAAEy1B,QAAQ18D,MAAM,mHAAmHsT,EAAE,EAAE2zB,EAAEvpC,KAAKs0F,MAAM,IAAI/qD,GAAG,CAAC,EAAEtlD,EAAQo0D,iCAAiC,WAAW,OAAO2b,CAAC,EAAE/vE,EAAQ2nH,8BAA8B,WAAW,OAAOn+D,EAAEsmB,EAAE,EAAE9vE,EAAQ4nH,cAAc,SAAStiE,GAAG,OAAOyqB,GAAG,KAAK,EAAE,KAAK,EAAE,KAAK,EAAE,IAAIxqB,EAAE,EAAE,MAAM,QAAQA,EAAEwqB,EAAE,IAAIjxD,EAAEixD,EAAEA,EAAExqB,EAAE,IAAI,OAAOD,GAAG,CAAC,QAAQyqB,EAAEjxD,CAAC,CAAC,EAAE9e,EAAQ6nH,wBAAwB,WAAW,EAC9f7nH,EAAQi0D,sBAAsB,WAAW,EAAEj0D,EAAQ8nH,yBAAyB,SAASxiE,EAAEC,GAAG,OAAOD,GAAG,KAAK,EAAE,KAAK,EAAE,KAAK,EAAE,KAAK,EAAE,KAAK,EAAE,MAAM,QAAQA,EAAE,EAAE,IAAIxmC,EAAEixD,EAAEA,EAAEzqB,EAAE,IAAI,OAAOC,GAAG,CAAC,QAAQwqB,EAAEjxD,CAAC,CAAC,EAChM9e,EAAQ2zD,0BAA0B,SAASrO,EAAEC,EAAEzmC,GAAG,IAAIwnC,EAAEtmD,EAAQk0D,eAA8F,OAA/E,kBAAkBp1C,GAAG,OAAOA,EAAaA,EAAE,kBAAZA,EAAEA,EAAEipG,QAA6B,EAAEjpG,EAAEwnC,EAAExnC,EAAEwnC,EAAGxnC,EAAEwnC,EAAShB,GAAG,KAAK,EAAE,IAAIiB,GAAG,EAAE,MAAM,KAAK,EAAEA,EAAE,IAAI,MAAM,KAAK,EAAEA,EAAE,WAAW,MAAM,KAAK,EAAEA,EAAE,IAAI,MAAM,QAAQA,EAAE,IAAmN,OAAzMjB,EAAE,CAAChkD,GAAGinE,IAAI+J,SAAS/sB,EAAE0hE,cAAc3hE,EAAEyhE,UAAUjoG,EAAEkoG,eAAvDzgE,EAAEznC,EAAEynC,EAAoEqgE,WAAW,GAAG9nG,EAAEwnC,GAAGhB,EAAEshE,UAAU9nG,EAAE0nC,EAAE4hB,EAAE9iB,GAAG,OAAOkE,EAAEsmB,IAAIxqB,IAAIkE,EAAE4e,KAAKt4C,GAAGw7C,EAAEiI,GAAGA,GAAG,GAAGzjD,GAAE,EAAG0iD,EAAE/G,EAAE3sD,EAAEwnC,MAAMhB,EAAEshE,UAAUrgE,EAAEC,EAAEspB,EAAExqB,GAAGvwB,GAAGiyB,IAAIjyB,GAAE,EAAG5D,EAAEk3C,KAAY/iB,CAAC,EACnetlD,EAAQ+zD,qBAAqBkgB,EAAEj0E,EAAQgoH,sBAAsB,SAAS1iE,GAAG,IAAIC,EAAEwqB,EAAE,OAAO,WAAW,IAAIjxD,EAAEixD,EAAEA,EAAExqB,EAAE,IAAI,OAAOD,EAAE1pC,MAAMJ,KAAKlb,UAAU,CAAC,QAAQyvE,EAAEjxD,CAAC,CAAC,CAAC,+BCf7J/e,EAAOC,QAAU,EAAjBD,qBCHF,IAAIgkB,EAAQjiB,EAAQ,MAapB,SAASmmH,EAAcxkH,EAAOolD,GAC5B,IAKI5pC,EALAgC,EAAS,KACb,IAAKxd,GAA0B,kBAAVA,EACnB,OAAOwd,EAST,IALA,IAEIve,EACA/C,EAHA0f,EAAe0E,EAAMtgB,GACrBykH,EAAkC,oBAAbr/D,EAIhBtoD,EAAI,EAAG4nH,EAAM9oG,EAAa7e,OAAQD,EAAI4nH,EAAK5nH,IAElDmC,GADAuc,EAAcI,EAAa9e,IACJmC,SACvB/C,EAAQsf,EAAYtf,MAEhBuoH,EACFr/D,EAASnmD,EAAU/C,EAAOsf,GACjBtf,IACTshB,IAAWA,EAAS,CAAC,GACrBA,EAAOve,GAAY/C,GAIvB,OAAOshB,CACT,CAEAlhB,EAAOC,QAAUioH,EACjBloH,EAAOC,QAAPD,QAAyBkoH,UC1CzBloH,EAAOC,QAIP,WAGI,IAFA,IAAIV,EAAS,CAAC,EAELiB,EAAI,EAAGA,EAAID,UAAUE,OAAQD,IAAK,CACvC,IAAI4d,EAAS7d,UAAUC,GAEvB,IAAK,IAAItB,KAAOkf,EACR9f,EAAeS,KAAKqf,EAAQlf,KAC5BK,EAAOL,GAAOkf,EAAOlf,GAGjC,CAEA,OAAOK,CACX,EAhBA,IAAIjB,EAAiBF,OAAOC,UAAUC,qiECDlC+pH,EAA2B,CAAC,EAGhC,SAASC,EAAoBC,GAE5B,IAAIC,EAAeH,EAAyBE,GAC5C,QAAqBllH,IAAjBmlH,EACH,OAAOA,EAAavoH,QAGrB,IAAID,EAASqoH,EAAyBE,GAAY,CAGjDtoH,QAAS,CAAC,GAOX,OAHAwoH,EAAoBF,GAAUvoH,EAAQA,EAAOC,QAASqoH,GAG/CtoH,EAAOC,OACf,CCrBAqoH,EAAoBlgD,EAAKpoE,IACxB,IAAI0oH,EAAS1oH,GAAUA,EAAO2oH,WAC7B,IAAO3oH,EAAiB,QACxB,IAAM,EAEP,OADAsoH,EAAoB/hE,EAAEmiE,EAAQ,CAAEnjE,EAAGmjE,IAC5BA,CAAM,ECLdJ,EAAoB/hE,EAAI,CAACtmD,EAASib,KACjC,IAAI,IAAIhc,KAAOgc,EACXotG,EAAoBl0B,EAAEl5E,EAAYhc,KAASopH,EAAoBl0B,EAAEn0F,EAASf,IAC5Ed,OAAOK,eAAewB,EAASf,EAAK,CAAEQ,YAAY,EAAM+qD,IAAKvvC,EAAWhc,IAE1E,ECNDopH,EAAoB5hE,EAAI,WACvB,GAA0B,kBAAfioC,WAAyB,OAAOA,WAC3C,IACC,OAAOlzE,MAAQ,IAAIwI,SAAS,cAAb,EAChB,CAAE,MAAOuiC,GACR,GAAsB,kBAAXP,OAAqB,OAAOA,MACxC,CACA,CAPuB,GCAxBqiE,EAAoBl0B,EAAI,CAACn1F,EAAKqG,IAAUlH,OAAOC,UAAUC,eAAeS,KAAKE,EAAKqG,GCClFgjH,EAAoBv4C,EAAK9vE,IACH,qBAAX8nD,QAA0BA,OAAO6gE,aAC1CxqH,OAAOK,eAAewB,EAAS8nD,OAAO6gE,YAAa,CAAEhpH,MAAO,WAE7DxB,OAAOK,eAAewB,EAAS,aAAc,CAAEL,OAAO,GAAO,wYCL9D,MAw+IA,EAx+Ia,CACX,WAAc,CACd,CACE,MAAS,oBACR,CACD,MAAS,kBACR,CACD,MAAS,cACR,CACD,MAAS,QACR,CACD,MAAS,YACR,CACD,MAAS,2BACR,CACD,MAAS,0BACR,CACD,MAAS,uBACR,CACD,MAAS,oBACR,CACD,MAAS,WACR,CACD,MAAS,uBACR,CACD,MAAS,iBACR,CACD,MAAS,aACR,CACD,MAAS,oBACR,CACD,MAAS,oBACR,CACD,MAAS,cACR,CACD,MAAS,eACR,CACD,MAAS,kBAEX,MAAS,CAET,CACE,SAAY,mBACZ,MAAS,0BACT,QAAU,kxBAcZ,CACE,SAAY,mBAEZ,MAAS,0BACT,QAAU,4mBAWZ,CACE,SAAY,mBAEZ,MAAS,wBACT,QAAU,oeAWZ,CACE,SAAY,mBAEZ,MAAS,iCACT,QAAU,0vCAsBZ,CACE,SAAY,mBAEZ,MAAS,kCACT,QAAU,kxBAYZ,CACE,SAAY,mBAEZ,MAAS,iCACT,QAAU,+0BAaZ,CACE,SAAY,mBAEZ,MAAS,yBACT,QAAU,8eAWZ,CACE,SAAY,mBAEZ,MAAS,yBACT,QAAU,ouBAWZ,CACE,SAAY,mBAEZ,MAAS,8BACT,QAAU,+5BAeZ,CACE,SAAY,mBAEZ,MAAS,yBACT,QAAU,y0DAgCZ,CACE,SAAY,mBAEZ,MAAS,yBACT,QAAU,mxBA+BZ,CACE,SAAY,mBAEZ,MAAS,qCACT,QAAU,owBAaZ,CACE,SAAY,mBAEZ,MAAS,qBACT,QAAU,6xBA0BZ,CACE,SAAY,iBAEZ,MAAS,uBACT,QAAU,gwCAoBZ,CACE,SAAY,iBAEZ,MAAS,gBACT,QAAU,6/BAkBZ,CACE,SAAY,iBAEZ,MAAS,+BACT,QAAU,2kDA4BZ,CACE,SAAY,iBAEZ,MAAS,0BACT,QAAU,40CA2BZ,CACE,SAAY,iBAEZ,MAAS,sCACT,QAAU,8nDAoCZ,CACE,SAAY,aAEZ,MAAS,eACT,QAAU,syCAiBZ,CACE,SAAY,aAEZ,MAAS,cACT,QAAU,gqCAgBZ,CACE,SAAY,aAEZ,MAAS,iBACT,QAAU,gzCAsBZ,CACE,SAAY,aAEZ,MAAS,cACT,QAAU,isCAiBZ,CACE,SAAY,aAEZ,MAAS,eACT,QAAU,8tCAiBZ,CACE,SAAY,aAEZ,MAAS,UACT,QAAU,ozCAgBZ,CACE,SAAY,aAEZ,MAAS,WACT,QAAU,o+BA6BZ,CACC,SAAY,OAEZ,MAAS,WACR,QAAU,mlCAgBZ,CACE,SAAY,OAEZ,MAAS,WACT,QAAU,i+CA0BZ,CACE,SAAY,OAEZ,MAAS,gBACT,QAAU,0wBAkBZ,CACE,SAAY,OAEZ,MAAS,eACT,QAAU,uiCAiCZ,CACE,SAAY,WAEZ,MAAS,cACT,QAAU,u/BAeZ,CACE,SAAY,WAEZ,MAAS,iBACT,QAAU,ozCAoBZ,CACE,SAAY,WAEZ,MAAS,eACT,QAAU,wvDAkCZ,CACE,SAAY,WAEZ,MAAS,qBACT,QAAU,20BAsBZ,CACE,SAAY,WAEZ,MAAS,uBACT,QAAU,u5BAoBZ,CACE,SAAY,WAEZ,MAAS,YACT,QAAU,w0BAoBZ,CACE,SAAY,WAEZ,MAAS,cACT,QAAU,ohCAcZ,CACE,SAAY,WAEZ,MAAS,iBACT,QAAU,0wBA2BZ,CACE,SAAY,0BAEZ,MAAS,kBACT,QAAU,m3DA4BZ,CACE,SAAY,0BAEZ,MAAS,aACT,QAAU,8lCAkBZ,CACE,SAAY,0BAEZ,MAAS,mBACT,QAAU,suCAmBZ,CACE,SAAY,0BAEZ,MAAS,mBACT,QAAU,qiCA6BZ,CACE,SAAY,yBAEZ,MAAS,kBACT,QAAU,0iCAkBZ,CACE,SAAY,yBAEZ,MAAS,aACT,QAAU,+7BAqBZ,CACE,SAAY,yBAEZ,MAAS,eACT,QAAU,46BAkBZ,CACE,SAAY,yBAEZ,MAAS,YACT,QAAU,40BAkBZ,CACE,SAAY,yBAEZ,MAAS,aACT,QAAU,miCAwBZ,CACE,SAAY,yBAEZ,MAAS,UACT,QAAU,qsBAeZ,CACE,SAAY,yBAEZ,MAAS,mBACT,QAAU,uzBAkBZ,CACE,SAAY,yBAEZ,MAAS,gBACT,QAAU,mwBAiBZ,CACE,SAAY,yBAEZ,MAAS,gBACT,QAAU,+kCAoBZ,CACE,SAAY,yBAEZ,MAAS,kBACT,QAAU,y6BAoBZ,CACE,SAAY,yBAEZ,MAAS,uBACT,QAAU,ukCAkBZ,CACE,SAAY,yBAEZ,MAAS,iBACT,QAAU,4iCAkBZ,CACE,SAAY,yBAEZ,MAAS,aACT,QAAU,4+BAoBZ,CACE,SAAY,yBAEZ,MAAS,cACT,QAAU,szBAkBZ,CACE,SAAY,yBAEZ,MAAS,cACT,QAAU,wrDA2CZ,CACE,SAAY,sBAEZ,MAAS,aACT,QAAU,ikDA+BZ,CACE,SAAY,sBAEZ,MAAS,aACT,QAAU,mgCAkDZ,CACE,SAAY,sBAEZ,MAAS,aAET,QAAU,25BAmBZ,CACE,SAAY,sBAEZ,MAAS,cAET,QAAU,49BAiDZ,CACE,SAAY,sBAEZ,MAAS,aAET,QAAU,woCA4BZ,CACE,SAAY,mBAEZ,MAAS,aACT,QAAU,gkBAcZ,CACE,SAAY,mBAEZ,MAAS,eACT,QAAU,0oBAgBZ,CACE,SAAY,mBAEZ,MAAS,aACT,QAAU,2pBAeZ,CACE,SAAY,mBAEZ,MAAS,kBACT,QAAU,kqBAgBZ,CACE,SAAY,mBAEZ,MAAS,kBACT,QAAU,kqBAgBZ,CACE,SAAY,mBAEZ,MAAS,aACT,QAAU,u9BAiCZ,CACE,SAAY,UAEZ,MAAS,yBACT,QAAU,w1CAmBZ,CACE,SAAY,UAEZ,MAAS,oBACT,QAAU,2/BA6BZ,CACE,SAAY,sBAEZ,MAAS,kBACT,QAAU,i7BAeZ,CACE,SAAY,sBAEZ,MAAS,4BACT,QAAU,klCAsBZ,CACE,SAAY,sBAEZ,MAAS,oBACT,QAAU,80BAiBZ,CACE,SAAY,sBAEZ,MAAS,aACT,QAAU,ooBAiBZ,CACE,SAAY,sBAEZ,MAAS,0BACT,QAAU,w6DAkCZ,CACE,SAAY,sBAEZ,MAAS,eACT,QAAU,gyBAiBZ,CACE,SAAY,sBAEZ,MAAS,2BACT,QAAU,yrCAkBZ,CACE,SAAY,sBAEZ,MAAS,uBACT,QAAU,0yCAiBZ,CACE,SAAY,sBAEZ,MAAS,gBAET,QAAU,g/CAuBZ,CACE,SAAY,sBAEZ,MAAS,uBACT,QAAU,oiDAwBZ,CACE,SAAY,sBAEZ,MAAS,kBACT,QAAU,w4CAiBZ,CACE,SAAY,sBAEZ,MAAS,gBACT,QAAU,y2BAoBZ,CACE,SAAY,sBAEZ,MAAS,cACT,QAAU,upBAgBZ,CACE,SAAY,sBAEZ,MAAS,YACT,QAAU,y4CAgBZ,CACE,SAAY,sBAEZ,MAAS,UACT,QAAU,g+BAgBZ,CACE,SAAY,sBAEZ,MAAS,YACT,QAAU,irBAcZ,CACE,SAAY,sBAEZ,MAAS,cACT,QAAU,giCAeZ,CACE,SAAY,sBAEZ,MAAS,gBACT,QAAU,6xBAgBZ,CACE,SAAY,sBAEZ,MAAS,mBACT,QAAU,41BAgBZ,CACE,SAAY,sBAEZ,MAAS,WACT,QAAU,oxCAcZ,CACE,SAAY,sBAEZ,MAAS,eACT,QAAU,i9CAiBZ,CACE,SAAY,sBAEZ,MAAS,aACT,QAAU,i0BAcZ,CACE,SAAY,sBAEZ,MAAS,YACT,QAAU,suBAmBZ,CACE,SAAY,sBAEZ,MAAS,2BACT,QAAU,qxDAgCZ,CACE,SAAY,gBAEZ,MAAS,qBACT,QAAU,quBAeZ,CACE,SAAY,gBAEZ,MAAS,oBACT,QAAU,g2BAgBZ,CACE,SAAY,gBAEZ,MAAS,aACT,QAAU,wzBAgBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,0zBAgBZ,CACE,SAAY,gBAEZ,MAAS,wBACT,QAAU,8xBAgBZ,CACE,SAAY,gBAEZ,MAAS,eACT,QAAU,u5BAgBZ,CACE,SAAY,gBAEZ,MAAS,wBACT,QAAU,y5BAgBZ,CACE,SAAY,gBAEZ,MAAS,4BACT,QAAU,oxBAgBZ,CACE,SAAY,gBAEZ,MAAS,iBACT,QAAU,s7BAgBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,20BAgBZ,CACE,SAAY,gBAEZ,MAAS,WACT,QAAU,o6BAeZ,CACE,SAAY,gBAEZ,MAAS,cACT,QAAU,q0BAiBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,utEAsCZ,CACE,SAAY,gBAEZ,MAAS,cACT,QAAU,s+BAuBZ,CACE,SAAY,gBAEZ,MAAS,qBACT,QAAU,2tDAsBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,+pDAmBZ,CACE,SAAY,gBAEZ,MAAS,YACT,QAAU,20BAiBZ,CACE,SAAY,gBAEZ,MAAS,iBACT,QAAU,quCA2BZ,CACE,SAAY,gBAGZ,MAAS,aACT,QAAU,g8BAgBZ,CACE,SAAY,gBAGZ,MAAS,aACT,QAAU,ksBAeZ,CACE,SAAY,gBAGZ,MAAS,aACT,QAAU,ujDAeZ,CACE,SAAY,gBAGZ,MAAS,eACT,QAAU,mpDAeZ,CACE,SAAY,gBAGZ,MAAS,aACT,QAAU,wjDAeZ,CACE,SAAY,gBAGZ,MAAS,cACT,QAAU,orDAeZ,CACE,SAAY,gBAGZ,MAAS,aACT,QAAU,krDAeZ,CACE,SAAY,gBAEZ,MAAS,cACT,QAAU,s7BAgBZ,CACE,SAAY,gBAGZ,MAAS,YACT,QAAU,s/CAeZ,CACE,SAAY,gBAGZ,MAAS,eACT,QAAU,ozBAgBZ,CACE,SAAY,gBAGZ,MAAS,eACT,QAAU,wsBAeZ,CACE,SAAY,gBAGZ,MAAS,eACT,QAAU,q6BAeZ,CACE,SAAY,gBAEZ,MAAS,kBACT,QAAU,i8BAkCZ,CACE,SAAY,YAEZ,MAAS,eACT,QAAU,8pCAkBZ,CACE,SAAY,YAGZ,MAAS,cACT,QAAU,k8BAiBZ,CACE,SAAY,YAEZ,MAAS,eACT,QAAU,2rBAiBZ,CACE,SAAY,YAEZ,MAAS,kBACT,QAAU,8xCAyBZ,CACE,SAAY,YAEZ,MAAS,oBACT,QAAU,gnCAuBZ,CACE,SAAY,YAGZ,MAAS,0BACT,QAAU,syBA0BZ,CACE,SAAY,mBAEZ,MAAS,cACT,QAAU,u0DAwBZ,CACE,SAAY,mBAEZ,MAAS,qBACT,QAAU,khDAiBZ,CACE,SAAY,mBAEZ,MAAS,mBACT,QAAU,isDAmBZ,CACE,SAAY,mBAEZ,MAAS,aACT,QAAU,gtCAgCZ,CACE,SAAY,mBAEZ,MAAS,oBACT,QAAU,0uCAkBZ,CACE,SAAY,mBAEZ,MAAS,mBACT,QAAU,i/BAiBZ,CACE,SAAY,mBAEZ,MAAS,mBACT,QAAU,s7CAiBZ,CACE,SAAY,mBAEZ,MAAS,cACT,QAAU,q+CAgBZ,CACE,SAAY,mBAGZ,MAAS,aACT,QAAU,y+CAiBZ,CACE,SAAY,mBAEZ,MAAS,aACT,QAAU,u2BA4BZ,CACE,SAAY,aAEZ,MAAS,yBACT,QAAU,izCAgBZ,CACE,SAAY,aAEZ,MAAS,aACT,QAAU,2yBAgBZ,CACE,SAAY,aAEZ,MAAS,iBACT,QAAU,61BAkBZ,CACE,SAAY,aAEZ,MAAS,eACT,QAAU,y/BAmBZ,CACE,SAAY,aAEZ,MAAS,aACT,QAAU,ksBAiBZ,CACE,SAAY,aAEZ,MAAS,WACT,QAAU,6qBAoBZ,CACE,SAAY,aAEZ,MAAS,WACT,QAAU,i8BAsBZ,CACE,SAAY,aAEZ,MAAS,YACT,QAAU,81CAuBZ,CACE,SAAY,aAEZ,MAAS,YACT,QAAU,szBA0BZ,CACE,SAAY,cAEZ,MAAS,eACT,QAAU,8lCA0BZ,CACE,SAAY,cAEZ,MAAS,aACT,QAAU,w4BAgBZ,CACE,SAAY,cAEZ,MAAS,iBACT,QAAU,w0BAcZ,CACE,SAAY,cAEZ,MAAS,aACT,QAAU,w4BAoBZ,CACE,SAAY,cAEZ,MAAS,cACT,QAAU,w0BAiBZ,CACE,SAAY,cAEZ,MAAS,mBACT,QAAU,ogCAoBZ,CACE,SAAY,cAEZ,MAAS,cACT,QAAU,4uCAiBZ,CACE,SAAY,cAEZ,MAAS,eACT,QAAU,myBAiBZ,CACE,SAAY,cAEZ,MAAS,YACT,QAAU,qgCAoBZ,CACE,SAAY,cAEZ,MAAS,kBACT,QAAU,8hCAsBZ,CACE,SAAY,cAEZ,MAAS,iCACT,QAAU,42BAiBZ,CACE,SAAY,cAEZ,MAAS,cACT,QAAU,m5BAgBZ,CACE,SAAY,cAEZ,MAAS,oBACT,QAAU,41BAkBZ,CACE,SAAY,cAEZ,MAAS,YACT,QAAU,29BAkBZ,CACE,SAAY,cAEZ,MAAS,aACT,QAAU,ksBAkBZ,CACE,SAAY,cAEZ,MAAS,cACT,QAAU,yzCAiBZ,CACE,SAAY,cAEZ,MAAS,+BACT,QAAU,0mCA8BZ,CACE,SAAY,cAEZ,MAAS,gBACT,QAAU,+5BAiBZ,CACE,SAAY,cAEZ,MAAS,yBACT,QAAU,y0BAkBZ,CACE,SAAY,cAEZ,MAAS,WACT,QAAU,ogCA2BZ,CACE,SAAY,gBAEZ,MAAS,sBACT,QAAU,02BAkBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,k1BAmBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,m9BAkBZ,CACE,SAAY,gBAEZ,MAAS,eACT,QAAU,s2BAkBZ,CACE,SAAY,gBAEZ,MAAS,kBACT,QAAU,ujEAiCZ,CACE,SAAY,gBAEZ,MAAS,oBACT,QAAU,6iCAkBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,g9BAuBZ,CACE,SAAY,gBAEZ,MAAS,cACT,QAAU,0uBAgBZ,CACE,SAAY,gBAEZ,MAAS,cACT,QAAU,szBAiBZ,CACE,SAAY,gBAEZ,MAAS,YACT,QAAU,6iCAuBZ,CACE,SAAY,gBAEZ,MAAS,yBAET,QAAU,muCAsBZ,CACE,SAAY,gBAEZ,MAAS,iBACT,QAAU,mvBAiBZ,CACE,SAAY,gBAEZ,MAAS,gBACT,QAAU,8zBAiBZ,CACE,SAAY,gBAEZ,MAAS,aACT,QAAU,ozBAkBZ,CACE,SAAY,gBAEZ,MAAS,aACT,QAAU,y1BAuBZ,CACE,SAAY,gBAEZ,MAAS,WACT,QAAU,+1BAiBZ,CACE,SAAY,gBAEZ,MAAS,cACT,QAAU,kuBAiBd,CACE,SAAY,gBAEZ,MAAS,eACT,QAAU,suBAkBZ,CACE,SAAY,gBAEZ,MAAS,eACT,QAAU,4xBAiBZ,CACE,SAAY,gBAEZ,MAAS,eACT,QAAU,yyBC96IL,SAASipH,EAAkBjpH,GAEhC,OAAKA,GAA0B,kBAAVA,EAKjB,aAAcA,GAAS,SAAUA,EAC5Boe,EAASpe,EAAMoe,UAIpB,UAAWpe,GAAS,QAASA,EACxBoe,EAASpe,GAId,SAAUA,GAAS,WAAYA,EAC1BkpH,EAAMlpH,GAIR,GAnBE,EAoBX,CAMA,SAASkpH,EAAMA,GACb,OAAOxnH,EAAMwnH,GAASA,EAAM7qG,MAAQ,IAAM3c,EAAMwnH,GAASA,EAAMlrG,OACjE,CAMA,SAASI,EAASiB,GAChB,OAAO6pG,EAAM7pG,GAAOA,EAAIhe,OAAS,IAAM6nH,EAAM7pG,GAAOA,EAAIla,IAC1D,CAMA,SAASzD,EAAM1B,GACb,OAAOA,GAA0B,kBAAVA,EAAqBA,EAAQ,CACtD,CCvEO,MAAMmpH,UAAqBhlH,MAmBhC1E,WAAAA,CAAYof,EAAQuqG,EAAOC,GAEzB,MAAMC,EAAQ,CAAC,KAAM,MAErB,IAAIlrG,EAAW,CAEb/c,MAAO,CAACgd,KAAM,KAAML,OAAQ,MAE5B7Y,IAAK,CAACkZ,KAAM,KAAML,OAAQ,OAU5B,GAPA2e,QAEqB,kBAAVysF,IACTC,EAASD,EACTA,OAAQ3lH,GAGY,kBAAX4lH,EAAqB,CAC9B,MAAM3nH,EAAQ2nH,EAAOpkH,QAAQ,MAEd,IAAXvD,EACF4nH,EAAM,GAAKD,GAEXC,EAAM,GAAKD,EAAO5nH,MAAM,EAAGC,GAC3B4nH,EAAM,GAAKD,EAAO5nH,MAAMC,EAAQ,GAEpC,CAEI0nH,IAEE,SAAUA,GAAS,aAAcA,EAC/BA,EAAMhrG,WAGRA,EAAWgrG,EAAMhrG,UAIZ,UAAWgrG,GAAS,QAASA,EAGpChrG,EAAWgrG,GAGJ,SAAUA,GAAS,WAAYA,KAEtChrG,EAAS/c,MAAQ+nH,IAWrBvtG,KAAKhc,KAAOopH,EAAkBG,IAAU,MAOxCvtG,KAAKm/D,QAA4B,kBAAXn8D,EAAsBA,EAAOm8D,QAAUn8D,EAU7DhD,KAAKgjB,MAAQ,GAES,kBAAXhgB,GAAuBA,EAAOggB,QACvChjB,KAAKgjB,MAAQhgB,EAAOggB,OAUtBhjB,KAAKgD,OAAShD,KAAKm/D,QAYnBn/D,KAAK0tG,MAOL1tG,KAAKwC,KAAOD,EAAS/c,MAAMgd,KAO3BxC,KAAKmC,OAASI,EAAS/c,MAAM2c,OAO7BnC,KAAKuC,SAAWA,EAOhBvC,KAAK2C,OAAS8qG,EAAM,GAOpBztG,KAAK2tG,OAASF,EAAM,GAOpBztG,KAAKmkF,KAYLnkF,KAAK4tG,OAOL5tG,KAAK6tG,SAUL7tG,KAAKumD,IAOLvmD,KAAK8tG,IAEP,EAGFR,EAAa1qH,UAAUuhG,KAAO,GAC9BmpB,EAAa1qH,UAAUoB,KAAO,GAC9BspH,EAAa1qH,UAAUogB,OAAS,GAChCsqG,EAAa1qH,UAAUu8E,QAAU,GACjCmuC,EAAa1qH,UAAUogC,MAAQ,GAC/BsqF,EAAa1qH,UAAU8qH,MAAQ,KAC/BJ,EAAa1qH,UAAUuf,OAAS,KAChCmrG,EAAa1qH,UAAU4f,KAAO,KAC9B8qG,EAAa1qH,UAAU+f,OAAS,KAChC2qG,EAAa1qH,UAAU+qH,OAAS,KAChCL,EAAa1qH,UAAU2f,SAAW,KC7K3B,MAAM6hF,EAAO,CAAC2pB,SAcrB,SAAkB3pB,EAAM4pB,GACtB,QAAYpmH,IAARomH,GAAoC,kBAARA,EAC9B,MAAM,IAAI/rG,UAAU,mCAGtBgsG,EAAW7pB,GACX,IAII8pB,EAJA1oH,EAAQ,EACR8D,GAAO,EACPzD,EAAQu+F,EAAKp/F,OAIjB,QAAY4C,IAARomH,GAAoC,IAAfA,EAAIhpH,QAAgBgpH,EAAIhpH,OAASo/F,EAAKp/F,OAAQ,CACrE,KAAOa,KACL,GAA+B,KAA3Bu+F,EAAKrgF,WAAWle,IAGlB,GAAIqoH,EAAc,CAChB1oH,EAAQK,EAAQ,EAChB,KACF,OACSyD,EAAM,IAGf4kH,GAAe,EACf5kH,EAAMzD,EAAQ,GAIlB,OAAOyD,EAAM,EAAI,GAAK86F,EAAKx+F,MAAMJ,EAAO8D,EAC1C,CAEA,GAAI0kH,IAAQ5pB,EACV,MAAO,GAGT,IAAI+pB,GAAoB,EACpBC,EAAWJ,EAAIhpH,OAAS,EAE5B,KAAOa,KACL,GAA+B,KAA3Bu+F,EAAKrgF,WAAWle,IAGlB,GAAIqoH,EAAc,CAChB1oH,EAAQK,EAAQ,EAChB,KACF,OAEIsoH,EAAmB,IAGrBD,GAAe,EACfC,EAAmBtoH,EAAQ,GAGzBuoH,GAAY,IAEVhqB,EAAKrgF,WAAWle,KAAWmoH,EAAIjqG,WAAWqqG,KACxCA,EAAW,IAGb9kH,EAAMzD,IAKRuoH,GAAY,EACZ9kH,EAAM6kH,IAMV3oH,IAAU8D,EACZA,EAAM6kH,EACG7kH,EAAM,IACfA,EAAM86F,EAAKp/F,QAGb,OAAOo/F,EAAKx+F,MAAMJ,EAAO8D,EAC3B,EA9F+B+kH,QAwG/B,SAAiBjqB,GAGf,GAFA6pB,EAAW7pB,GAES,IAAhBA,EAAKp/F,OACP,MAAO,IAGT,IAGIspH,EAHAhlH,GAAO,EACPzD,EAAQu+F,EAAKp/F,OAKjB,OAASa,GACP,GAA+B,KAA3Bu+F,EAAKrgF,WAAWle,IAClB,GAAIyoH,EAAgB,CAClBhlH,EAAMzD,EACN,KACF,OACUyoH,IAEVA,GAAiB,GAIrB,OAAOhlH,EAAM,EACc,KAAvB86F,EAAKrgF,WAAW,GACd,IACA,IACM,IAARza,GAAoC,KAAvB86F,EAAKrgF,WAAW,GAC7B,KACAqgF,EAAKx+F,MAAM,EAAG0D,EACpB,EAxIwCilH,QAkJxC,SAAiBnqB,GACf6pB,EAAW7pB,GAEX,IASIkqB,EATAzoH,EAAQu+F,EAAKp/F,OAEbsE,GAAO,EACPklH,EAAY,EACZC,GAAY,EAGZC,EAAc,EAIlB,KAAO7oH,KAAS,CACd,MAAM2W,EAAO4nF,EAAKrgF,WAAWle,GAE7B,GAAa,KAAT2W,EAWAlT,EAAM,IAGRglH,GAAiB,EACjBhlH,EAAMzD,EAAQ,GAGH,KAAT2W,EAEEiyG,EAAW,EACbA,EAAW5oH,EACc,IAAhB6oH,IACTA,EAAc,GAEPD,GAAY,IAGrBC,GAAe,QAzBf,GAAIJ,EAAgB,CAClBE,EAAY3oH,EAAQ,EACpB,KACF,CAwBJ,CAEA,GACE4oH,EAAW,GACXnlH,EAAM,GAEU,IAAhBolH,GAEiB,IAAhBA,GAAqBD,IAAanlH,EAAM,GAAKmlH,IAAaD,EAAY,EAEvE,MAAO,GAGT,OAAOpqB,EAAKx+F,MAAM6oH,EAAUnlH,EAC9B,EA/MiDtB,KAyNjD,WACE,IAEI2mH,EAFA9oH,GAAS,EAEH,QAAA+oH,EAAA9pH,UAAAE,OAHK6pH,EAAQ,IAAAxrH,MAAAurH,GAAAE,EAAA,EAAAA,EAAAF,EAAAE,IAARD,EAAQC,GAAAhqH,UAAAgqH,GAKvB,OAASjpH,EAAQgpH,EAAS7pH,QACxBipH,EAAWY,EAAShpH,IAEhBgpH,EAAShpH,KACX8oH,OACa/mH,IAAX+mH,EAAuBE,EAAShpH,GAAS8oH,EAAS,IAAME,EAAShpH,IAIvE,YAAkB+B,IAAX+mH,EAAuB,IAahC,SAAmBvqB,GACjB6pB,EAAW7pB,GAEX,MAAM2qB,EAAkC,KAAvB3qB,EAAKrgF,WAAW,GAGjC,IAAI5f,EAuBN,SAAyBigG,EAAM4qB,GAC7B,IAMIxyG,EAEAyyG,EARAloH,EAAS,GACTmoH,EAAoB,EACpBC,GAAa,EACbC,EAAO,EACPvpH,GAAS,EAMb,OAASA,GAASu+F,EAAKp/F,QAAQ,CAC7B,GAAIa,EAAQu+F,EAAKp/F,OACfwX,EAAO4nF,EAAKrgF,WAAWle,OAClB,IAAa,KAAT2W,EACT,MAEAA,EAAO,EACT,CAEA,GAAa,KAATA,EAAuB,CACzB,GAAI2yG,IAActpH,EAAQ,GAAc,IAATupH,QAExB,GAAID,IAActpH,EAAQ,GAAc,IAATupH,EAAY,CAChD,GACEroH,EAAO/B,OAAS,GACM,IAAtBkqH,GACyC,KAAzCnoH,EAAOgd,WAAWhd,EAAO/B,OAAS,IACO,KAAzC+B,EAAOgd,WAAWhd,EAAO/B,OAAS,GAElC,GAAI+B,EAAO/B,OAAS,GAGlB,GAFAiqH,EAAiBloH,EAAOub,YAAY,KAEhC2sG,IAAmBloH,EAAO/B,OAAS,EAAG,CACpCiqH,EAAiB,GACnBloH,EAAS,GACTmoH,EAAoB,IAEpBnoH,EAASA,EAAOnB,MAAM,EAAGqpH,GACzBC,EAAoBnoH,EAAO/B,OAAS,EAAI+B,EAAOub,YAAY,MAG7D6sG,EAAYtpH,EACZupH,EAAO,EACP,QACF,OACK,GAAIroH,EAAO/B,OAAS,EAAG,CAC5B+B,EAAS,GACTmoH,EAAoB,EACpBC,EAAYtpH,EACZupH,EAAO,EACP,QACF,CAGEJ,IACFjoH,EAASA,EAAO/B,OAAS,EAAI+B,EAAS,MAAQ,KAC9CmoH,EAAoB,EAExB,MACMnoH,EAAO/B,OAAS,EAClB+B,GAAU,IAAMq9F,EAAKx+F,MAAMupH,EAAY,EAAGtpH,GAE1CkB,EAASq9F,EAAKx+F,MAAMupH,EAAY,EAAGtpH,GAGrCqpH,EAAoBrpH,EAAQspH,EAAY,EAG1CA,EAAYtpH,EACZupH,EAAO,CACT,MAAoB,KAAT5yG,GAAyB4yG,GAAQ,EAC1CA,IAEAA,GAAQ,CAEZ,CAEA,OAAOroH,CACT,CAtGcsoH,CAAgBjrB,GAAO2qB,GAEd,IAAjB5qH,EAAMa,QAAiB+pH,IACzB5qH,EAAQ,KAGNA,EAAMa,OAAS,GAA0C,KAArCo/F,EAAKrgF,WAAWqgF,EAAKp/F,OAAS,KACpDb,GAAS,KAGX,OAAO4qH,EAAW,IAAM5qH,EAAQA,CAClC,CA9BsCoC,CAAUooH,EAChD,EAxOuDW,IAAK,KA0W5D,SAASrB,EAAW7pB,GAClB,GAAoB,kBAATA,EACT,MAAM,IAAIniF,UACR,mCAAqCkqE,KAAKC,UAAUgY,GAG1D,CChaO,MAAMmrB,EAAO,CAACC,IAErB,WACE,MAAO,GACT,GCoBO,SAASC,EAAMC,GACpB,OACoB,OAAlBA,GACyB,kBAAlBA,GAEPA,EAAc59G,MAEd49G,EAAclC,MAElB,CCxBO,SAASmC,EAAUvrB,GACxB,GAAoB,kBAATA,EACTA,EAAO,IAAIwrB,IAAIxrB,QACV,IAAKqrB,EAAMrrB,GAAO,CAEvB,MAAMvhF,EAAQ,IAAIZ,UAChB,+EACEmiF,EACA,KAGJ,MADAvhF,EAAMrG,KAAO,uBACPqG,CACR,CAEA,GAAsB,UAAlBuhF,EAAKyrB,SAAsB,CAE7B,MAAMhtG,EAAQ,IAAIZ,UAAU,kCAE5B,MADAY,EAAMrG,KAAO,yBACPqG,CACR,CAEA,OAWF,SAA6B0jD,GAC3B,GAAqB,KAAjBA,EAAIupD,SAAiB,CAEvB,MAAMjtG,EAAQ,IAAIZ,UAChB,wDAGF,MADAY,EAAMrG,KAAO,4BACPqG,CACR,CAEA,MAAMktG,EAAWxpD,EAAIwpD,SACrB,IAAIlqH,GAAS,EAEb,OAASA,EAAQkqH,EAAS/qH,QACxB,GACiC,KAA/B+qH,EAAShsG,WAAWle,IACe,KAAnCkqH,EAAShsG,WAAWle,EAAQ,GAC5B,CACA,MAAMmqH,EAAQD,EAAShsG,WAAWle,EAAQ,GAC1C,GAAc,KAAVmqH,GAAoC,MAAVA,EAAyB,CAErD,MAAMntG,EAAQ,IAAIZ,UAChB,uDAGF,MADAY,EAAMrG,KAAO,4BACPqG,CACR,CACF,CAGF,OAAOotG,mBAAmBF,EAC5B,CA1CSG,CAAoB9rB,EAC7B,CCgEA,MAAM3wC,EAAQ,CAAC,UAAW,OAAQ,WAAY,OAAQ,UAAW,WAE1D,MAAM08D,EAuBXvsH,WAAAA,CAAYO,GAEV,IAAIJ,EAKFA,EAHGI,EAEuB,kBAAVA,GAoYtB,SAAgBA,GACd,OAAOisH,EAAWjsH,EACpB,CAtY4CksH,CAAOlsH,GACnC,CAACA,SACFsrH,EAAMtrH,GACL,CAACigG,KAAMjgG,GAEPA,EANA,CAAC,EAiBb6b,KAAKrW,KAAO,CAAC,EAObqW,KAAKsI,SAAW,GAShBtI,KAAKswG,QAAU,GAOftwG,KAAKwvG,IAAMD,EAAKC,MAQhBxvG,KAAK7b,MAYL6b,KAAKuwG,OAULvwG,KAAKjZ,OAULiZ,KAAK0xE,IAIL,IAkBI7nF,EAlBAhE,GAAS,EAEb,OAASA,EAAQ4tD,EAAMzuD,QAAQ,CAC7B,MAAM6E,EAAO4pD,EAAM5tD,GAKjBgE,KAAQ9F,QACU6D,IAAlB7D,EAAQ8F,IACU,OAAlB9F,EAAQ8F,KAGRmW,KAAKnW,GAAiB,YAATA,EAAqB,IAAI9F,EAAQ8F,IAAS9F,EAAQ8F,GAEnE,CAMA,IAAKA,KAAQ9F,EAEN0vD,EAAMvF,SAASrkD,KAElBmW,KAAKnW,GAAQ9F,EAAQ8F,GAG3B,CAOA,QAAIu6F,GACF,OAAOpkF,KAAKswG,QAAQtwG,KAAKswG,QAAQtrH,OAAS,EAC5C,CAWA,QAAIo/F,CAAKA,GACHqrB,EAAMrrB,KACRA,EAAOurB,EAAUvrB,IAGnBosB,EAAepsB,EAAM,QAEjBpkF,KAAKokF,OAASA,GAChBpkF,KAAKswG,QAAQtqH,KAAKo+F,EAEtB,CAKA,WAAIiqB,GACF,MAA4B,kBAAdruG,KAAKokF,KAAoBA,EAAKiqB,QAAQruG,KAAKokF,WAAQx8F,CACnE,CAOA,WAAIymH,CAAQA,GACVJ,EAAWjuG,KAAK+tG,SAAU,WAC1B/tG,KAAKokF,KAAOA,EAAKp8F,KAAKqmH,GAAW,GAAIruG,KAAK+tG,SAC5C,CAKA,YAAIA,GACF,MAA4B,kBAAd/tG,KAAKokF,KAAoBA,EAAK2pB,SAAS/tG,KAAKokF,WAAQx8F,CACpE,CASA,YAAImmH,CAASA,GACXyC,EAAezC,EAAU,YACzB0C,EAAW1C,EAAU,YACrB/tG,KAAKokF,KAAOA,EAAKp8F,KAAKgY,KAAKquG,SAAW,GAAIN,EAC5C,CAKA,WAAIQ,GACF,MAA4B,kBAAdvuG,KAAKokF,KAAoBA,EAAKmqB,QAAQvuG,KAAKokF,WAAQx8F,CACnE,CASA,WAAI2mH,CAAQA,GAIV,GAHAkC,EAAWlC,EAAS,WACpBN,EAAWjuG,KAAKquG,QAAS,WAErBE,EAAS,CACX,GAA8B,KAA1BA,EAAQxqG,WAAW,GACrB,MAAM,IAAIzb,MAAM,iCAGlB,GAAIimH,EAAQrgE,SAAS,IAAK,GACxB,MAAM,IAAI5lD,MAAM,yCAEpB,CAEA0X,KAAKokF,KAAOA,EAAKp8F,KAAKgY,KAAKquG,QAASruG,KAAK0wG,MAAQnC,GAAW,IAC9D,CAKA,QAAImC,GACF,MAA4B,kBAAd1wG,KAAKokF,KACfA,EAAK2pB,SAAS/tG,KAAKokF,KAAMpkF,KAAKuuG,cAC9B3mH,CACN,CASA,QAAI8oH,CAAKA,GACPF,EAAeE,EAAM,QACrBD,EAAWC,EAAM,QACjB1wG,KAAKokF,KAAOA,EAAKp8F,KAAKgY,KAAKquG,SAAW,GAAIqC,GAAQ1wG,KAAKuuG,SAAW,IACpE,CAWAxrH,QAAAA,CAAS4tH,GACP,OAAQ3wG,KAAK7b,OAAS,IAAIpB,SAAS4tH,QAAY/oH,EACjD,CAkBAu3E,OAAAA,CAAQn8D,EAAQuqG,EAAOC,GACrB,MAAMruC,EAAU,IAAImuC,EAAatqG,EAAQuqG,EAAOC,GAWhD,OATIxtG,KAAKokF,OACPjlB,EAAQn7E,KAAOgc,KAAKokF,KAAO,IAAMjlB,EAAQn7E,KACzCm7E,EAAQglB,KAAOnkF,KAAKokF,MAGtBjlB,EAAQuuC,OAAQ,EAEhB1tG,KAAKsI,SAAStiB,KAAKm5E,GAEZA,CACT,CAkBAx3E,IAAAA,CAAKqb,EAAQuqG,EAAOC,GAClB,MAAMruC,EAAUn/D,KAAKm/D,QAAQn8D,EAAQuqG,EAAOC,GAI5C,OAFAruC,EAAQuuC,MAAQ,KAETvuC,CACT,CAsBA0iC,IAAAA,CAAK7+F,EAAQuqG,EAAOC,GAClB,MAAMruC,EAAUn/D,KAAKm/D,QAAQn8D,EAAQuqG,EAAOC,GAI5C,MAFAruC,EAAQuuC,OAAQ,EAEVvuC,CACR,EAaF,SAASsxC,EAAWG,EAAM5sH,GACxB,GAAI4sH,GAAQA,EAAK1iE,SAASk2C,EAAKkrB,KAC7B,MAAM,IAAIhnH,MACR,IAAMtE,EAAO,uCAAyCogG,EAAKkrB,IAAM,IAGvE,CAYA,SAASkB,EAAeI,EAAM5sH,GAC5B,IAAK4sH,EACH,MAAM,IAAItoH,MAAM,IAAMtE,EAAO,oBAEjC,CAYA,SAASiqH,EAAW7pB,EAAMpgG,GACxB,IAAKogG,EACH,MAAM,IAAI97F,MAAM,YAActE,EAAO,kCAEzC,CCpfO,SAAS6sH,EAAKhuG,GACnB,GAAIA,EACF,MAAMA,CAEV,eCXe,SAAStf,EAAcY,GACrC,GAAqB,kBAAVA,GAAgC,OAAVA,EAChC,OAAO,EAGR,MAAMvB,EAAYD,OAAOmuH,eAAe3sH,GACxC,OAAsB,OAAdvB,GAAsBA,IAAcD,OAAOC,WAAkD,OAArCD,OAAOmuH,eAAeluH,OAA0B0pD,OAAO6gE,eAAehpH,MAAYmoD,OAAOe,YAAYlpD,EACtK,CC+BO,SAAS4sH,IAEd,MAAMC,EAAM,GAENC,EAAW,CAACj8B,IAKlB,WAAwB,QAAA45B,EAAA9pH,UAAAE,OAAR8B,EAAM,IAAAzD,MAAAurH,GAAAE,EAAA,EAAAA,EAAAF,EAAAE,IAANhoH,EAAMgoH,GAAAhqH,UAAAgqH,GACpB,IAAIoC,GAAmB,EAEvB,MAAMp6C,EAAWhwE,EAAOo8B,MAExB,GAAwB,oBAAb4zC,EACT,MAAM,IAAI70D,UAAU,2CAA6C60D,IAWnE,SAASlxD,EAAK/C,GACZ,MAAMsuG,EAAKH,IAAME,GACjB,IAAIrrH,GAAS,EAEb,GAAIgd,EACFi0D,EAASj0D,OADX,CAKA,QAAAuuG,EAAAtsH,UAAAE,OATsBygB,EAAM,IAAApiB,MAAA+tH,EAAA,EAAAA,EAAA,KAAAC,EAAA,EAAAA,EAAAD,EAAAC,IAAN5rG,EAAM4rG,EAAA,GAAAvsH,UAAAusH,GAU5B,OAASxrH,EAAQiB,EAAO9B,QACA,OAAlBygB,EAAO5f,SAAqC+B,IAAlB6d,EAAO5f,KACnC4f,EAAO5f,GAASiB,EAAOjB,IAK3BiB,EAAS2e,EAGL0rG,EAqDH,SAAcG,EAAYx6C,GAE/B,IAAIy6C,EAEJ,OAAOC,EAQP,SAASA,IAAuB,QAAAC,EAAA3sH,UAAAE,OAAZ0sH,EAAU,IAAAruH,MAAAouH,GAAAE,EAAA,EAAAA,EAAAF,EAAAE,IAAVD,EAAUC,GAAA7sH,UAAA6sH,GAC5B,MAAMC,EAAoBN,EAAWtsH,OAAS0sH,EAAW1sH,OAEzD,IAAI+B,EAEA6qH,GACFF,EAAW1rH,KAAKwuE,GAGlB,IACEztE,EAASuqH,EAAWlxG,MAAMJ,KAAM0xG,EAClC,CAAE,MAAO7uG,GAOP,GAAI+uG,GAAqBL,EACvB,MAPsC1uG,EAUxC,OAAO2xD,EAViC3xD,EAW1C,CAEK+uG,IACC7qH,GAAUA,EAAOgoE,MAA+B,oBAAhBhoE,EAAOgoE,KACzChoE,EAAOgoE,KAAKA,EAAMyF,GACTztE,aAAkBuB,MAC3BksE,EAAKztE,GAELgoE,EAAKhoE,GAGX,CAOA,SAASytE,EAAK3xD,GACZ,IAAK0uG,EAAQ,CACXA,GAAS,EAAI,QAAAM,EAAA/sH,UAAAE,OAFOygB,EAAM,IAAApiB,MAAAwuH,EAAA,EAAAA,EAAA,KAAAC,EAAA,EAAAA,EAAAD,EAAAC,IAANrsG,EAAMqsG,EAAA,GAAAhtH,UAAAgtH,GAG1Bh7C,EAASj0D,KAAU4C,EACrB,CACF,CAOA,SAASspD,EAAK5qE,GACZqwE,EAAK,KAAMrwE,EACb,CACF,CAxHQqX,CAAK21G,EAAIvrG,EAATpK,IAAkBiK,GAElBqxD,EAAS,QAASrxD,EAhBpB,CAkBF,CAjCAG,CAAK,QAAS9e,EAkChB,EAhDuBirH,IAmDvB,SAAaC,GACX,GAA0B,oBAAfA,EACT,MAAM,IAAI/vG,UACR,+CAAiD+vG,GAKrD,OADAhB,EAAIhrH,KAAKgsH,GACFf,CACT,GA1DA,OAAOA,CA2DT,CC3EO,MAAMgB,EAQb,SAASp9D,IACP,MAAMq9D,EAAenB,IAEfoB,EAAY,GAElB,IAEIC,EAFAvgG,EAAY,CAAC,EAGbwgG,GAAe,EA2BnB,OAvBAC,EAAU3oH,KA6CV,SAAclG,EAAKU,GACjB,GAAmB,kBAARV,EAET,OAAyB,IAArBqB,UAAUE,QACZutH,EAAe,OAAQH,GACvBvgG,EAAUpuB,GAAOU,EACVmuH,GAIDlrH,EAAI9D,KAAKuuB,EAAWpuB,IAAQouB,EAAUpuB,IAAS,KAIzD,GAAIA,EAGF,OAFA8uH,EAAe,OAAQH,GACvBvgG,EAAYpuB,EACL6uH,EAIT,OAAOzgG,CACT,EAlEAygG,EAAUE,YAAS5qH,EACnB0qH,EAAUG,cAAW7qH,EAGrB0qH,EAAUI,OAiEV,WACE,GAAIN,EACF,OAAOE,EAGT,OAASD,EAAcF,EAAUntH,QAAQ,CACvC,MAAO2tH,KAAa5uH,GAAWouH,EAAUE,GAEzC,IAAmB,IAAftuH,EAAQ,GACV,UAGiB,IAAfA,EAAQ,KACVA,EAAQ,QAAK6D,GAIf,MAAMgrH,EAAcD,EAASrvH,KAAKgvH,KAAcvuH,GAErB,oBAAhB6uH,GACTV,EAAaH,IAAIa,EAErB,CAKA,OAHAR,GAAS,EACTC,EAAc1pH,OAAOkqH,kBAEdP,CACT,EA1FAA,EAAUH,UAAYA,EAEtBG,EAAUP,IA+FV,SAAa5tH,GAAmB,QAAAyqH,EAAA9pH,UAAAE,OAATjB,EAAO,IAAAV,MAAAurH,EAAA,EAAAA,EAAA,KAAAE,EAAA,EAAAA,EAAAF,EAAAE,IAAP/qH,EAAO+qH,EAAA,GAAAhqH,UAAAgqH,GAE5B,IAAI9pG,EAIJ,GAFAutG,EAAe,MAAOH,GAER,OAAVjuH,QAA4ByD,IAAVzD,QAEf,GAAqB,oBAAVA,EAChB2uH,EAAU3uH,KAAUJ,OACf,IAAqB,kBAAVI,EAOhB,MAAM,IAAI8d,UAAU,+BAAiC9d,EAAQ,KANzDd,MAAMF,QAAQgB,GAChB4uH,EAAQ5uH,GAER6uH,EAAU7uH,EAId,CAEI6gB,IACF6M,EAAU7M,SAAWriB,OAAOqhC,OAAOnS,EAAU7M,UAAY,CAAC,EAAGA,IAG/D,OAAOstG,EAMP,SAAShoE,EAAInmD,GACX,GAAqB,oBAAVA,EACT2uH,EAAU3uH,OACL,IAAqB,kBAAVA,EAQhB,MAAM,IAAI8d,UAAU,+BAAiC9d,EAAQ,KAP7D,GAAId,MAAMF,QAAQgB,GAAQ,CACxB,MAAO8uH,KAAWlvH,GAAWI,EAC7B2uH,EAAUG,KAAWlvH,EACvB,MACEivH,EAAU7uH,EAId,CACF,CAMA,SAAS6uH,EAAUjsH,GACjBgsH,EAAQhsH,EAAO4tG,SAEX5tG,EAAOie,WACTA,EAAWriB,OAAOqhC,OAAOhf,GAAY,CAAC,EAAGje,EAAOie,UAEpD,CAMA,SAAS+tG,EAAQpe,GACf,IAAI9uG,GAAS,EAEb,GAAgB,OAAZ8uG,QAAgC/sG,IAAZ+sG,OAEjB,KAAItxG,MAAMF,QAAQwxG,GAMvB,MAAM,IAAI1yF,UAAU,oCAAsC0yF,EAAU,KALpE,OAAS9uG,EAAQ8uG,EAAQ3vG,QAAQ,CAE/BslD,EADcqqD,EAAQ9uG,GAExB,CAGF,CACF,CAOA,SAASitH,EAAUG,EAAQ9uH,GACzB,IAEIslC,EAFA5jC,GAAS,EAIb,OAASA,EAAQssH,EAAUntH,QACzB,GAAImtH,EAAUtsH,GAAO,KAAOotH,EAAQ,CAClCxpF,EAAQ0oF,EAAUtsH,GAClB,KACF,CAGE4jC,GACEypF,EAAWzpF,EAAM,KAAOypF,EAAW/uH,KACrCA,EAAQM,GAAO,EAAMglC,EAAM,GAAItlC,IAGjCslC,EAAM,GAAKtlC,GAGXguH,EAAUnsH,KAAK,IAAIlB,WAEvB,CACF,EApMAwtH,EAAU/pG,MAuMV,SAAei7E,GACb8uB,EAAUI,SACV,MAAMvuB,EAAOgvB,EAAM3vB,GACbgvB,EAASF,EAAUE,OAGzB,GAFAY,EAAa,QAASZ,GAElBa,EAAQb,EAAQ,SAElB,OAAO,IAAIA,EAAOjqH,OAAO47F,GAAOA,GAAM57E,QAIxC,OAAOiqG,EAAOjqH,OAAO47F,GAAOA,EAC9B,EAnNAmuB,EAAUlmC,UAsNV,SAAmBjlF,EAAMq8F,GACvB8uB,EAAUI,SACV,MAAMvuB,EAAOgvB,EAAM3vB,GACbivB,EAAWH,EAAUG,SAI3B,GAHAa,EAAe,YAAab,GAC5Bc,EAAWpsH,GAEPksH,EAAQZ,EAAU,WAEpB,OAAO,IAAIA,EAAStrH,EAAMg9F,GAAMqvB,UAIlC,OAAOf,EAAStrH,EAAMg9F,EACxB,EAlOAmuB,EAAUt9B,IA0OV,SAAa7tF,EAAMq8F,EAAK1sB,GACtBy8C,EAAWpsH,GACXmrH,EAAUI,SAEL57C,GAA2B,oBAAR0sB,IACtB1sB,EAAW0sB,EACXA,OAAM57F,GAGR,IAAKkvE,EACH,OAAO,IAAInI,QAAQ8kD,GAUrB,SAASA,EAAS3kD,EAAS4kD,GAUzB,SAASl/C,EAAK3xD,EAAO8wG,EAAMxvB,GACzBwvB,EAAOA,GAAQxsH,EACX0b,EACF6wG,EAAO7wG,GACEisD,EACTA,EAAQ6kD,GAGR78C,EAAS,KAAM68C,EAAMxvB,EAEzB,CAlBA+tB,EAAal9B,IAAI7tF,EAAMgsH,EAAM3vB,GAAMhvB,EAmBrC,CA5BAi/C,EAAS,KAAM38C,EA6BjB,EAnRAw7C,EAAUsB,QAsRV,SAAiBzsH,EAAMg9F,GAErB,IAAIp9F,EAEA8sH,EAOJ,OALAvB,EAAUt9B,IAAI7tF,EAAMg9F,EAAM3vB,GAE1Bs/C,EAAW,UAAW,MAAOD,GAGtB9sH,EAOP,SAASytE,EAAK3xD,EAAO8wG,GACnB9C,EAAKhuG,GACL9b,EAAS4sH,EACTE,GAAW,CACb,CACF,EA3SAvB,EAAUyB,QAkTV,SAAiBvwB,EAAK1sB,GAKpB,GAJAw7C,EAAUI,SACVU,EAAa,UAAWd,EAAUE,QAClCc,EAAe,UAAWhB,EAAUG,WAE/B37C,EACH,OAAO,IAAInI,QAAQ8kD,GAUrB,SAASA,EAAS3kD,EAAS4kD,GACzB,MAAMvvB,EAAOgvB,EAAM3vB,GA0BnB,SAAShvB,EAAK3xD,EAAOshF,GACfthF,IAAUshF,EACZuvB,EAAO7wG,GACEisD,EACTA,EAAQq1B,GAGRrtB,EAAS,KAAMqtB,EAEnB,CAjCAmuB,EAAUt9B,IAAIs9B,EAAU/pG,MAAM47E,GAAOA,GAAM,CAACthF,EAAO8wG,EAAMxvB,KACvD,IAAIthF,GAAU8wG,GAASxvB,EAEhB,CAEL,MAAMp9F,EAASurH,EAAUlmC,UAAUunC,EAAMxvB,QAE1Bv8F,IAAXb,GAAmC,OAAXA,IAsMZ,kBADI5C,EAnMY4C,IAoMJmd,EAAS/f,GAnMnCggG,EAAKhgG,MAAQ4C,EAEbo9F,EAAKp9F,OAASA,GAGhBytE,EAAK3xD,EAAOshF,EACd,MAdE3vB,EAAK3xD,GA0Mf,IAA8B1e,CA5LtB,GAkBJ,CA5CAsvH,EAAS,KAAM38C,EA6CjB,EAvWAw7C,EAAU0B,YA0WV,SAAqBxwB,GAEnB,IAAIqwB,EAEJvB,EAAUI,SACVU,EAAa,cAAed,EAAUE,QACtCc,EAAe,cAAehB,EAAUG,UAExC,MAAMtuB,EAAOgvB,EAAM3vB,GAMnB,OAJA8uB,EAAUyB,QAAQ5vB,EAAM3vB,GAExBs/C,EAAW,cAAe,UAAWD,GAE9B1vB,EAMP,SAAS3vB,EAAK3xD,GACZgxG,GAAW,EACXhD,EAAKhuG,EACP,CACF,EA/XOyvG,EAIP,SAASA,IACP,MAAM2B,EAAcp/D,IACpB,IAAIhvD,GAAS,EAEb,OAASA,EAAQssH,EAAUntH,QACzBivH,EAAYlC,OAAOI,EAAUtsH,IAK/B,OAFAouH,EAAYtqH,KAAKlF,GAAO,EAAM,CAAC,EAAGotB,IAE3BoiG,CACT,CAiXF,CA3auBp/D,GAAO69D,SAExBtrH,EAAM,CAAC,EAAEvE,eAkbf,SAASwwH,EAAQlvH,EAAOH,GACtB,MACmB,oBAAVG,GAGPA,EAAMvB,YAeV,SAAcuB,GAEZ,IAAIV,EAEJ,IAAKA,KAAOU,EACV,GAAIiD,EAAI9D,KAAKa,EAAOV,GAClB,OAAO,EAIX,OAAO,CACT,CArBKwkC,CAAK9jC,EAAMvB,YAAcoB,KAAQG,EAAMvB,UAE5C,CA4BA,SAASwwH,EAAapvH,EAAMG,GAC1B,GAAqB,oBAAVA,EACT,MAAM,IAAI8d,UAAU,WAAaje,EAAO,qBAE5C,CASA,SAASsvH,EAAetvH,EAAMG,GAC5B,GAAqB,oBAAVA,EACT,MAAM,IAAI8d,UAAU,WAAaje,EAAO,uBAE5C,CASA,SAASuuH,EAAevuH,EAAMouH,GAC5B,GAAIA,EACF,MAAM,IAAI9pH,MACR,gBACEtE,EACA,mHAGR,CAQA,SAASuvH,EAAWpsH,GAGlB,IAAK+rH,EAAW/rH,IAA8B,kBAAdA,EAAKlB,KACnC,MAAM,IAAIgc,UAAU,uBAAyB9a,EAAO,IAGxD,CAUA,SAAS2sH,EAAW9vH,EAAMkwH,EAAWL,GACnC,IAAKA,EACH,MAAM,IAAIvrH,MACR,IAAMtE,EAAO,0BAA4BkwH,EAAY,YAG3D,CAMA,SAASf,EAAMhvH,GACb,OAOF,SAAyBA,GACvB,OAAOoqG,QACLpqG,GACmB,kBAAVA,GACP,YAAaA,GACb,aAAcA,EAEpB,CAdSgwH,CAAgBhwH,GAASA,EAAQ,IAAIgsH,EAAMhsH,EACpD,CCrjBA,MAAMiwH,EAAe,CAAC,EAuCtB,SAASC,EAAIlwH,EAAOmwH,EAAiBC,GACnC,GAqDF,SAAcpwH,GACZ,OAAOoqG,QAAQpqG,GAA0B,kBAAVA,EACjC,CAvDMgD,CAAKhD,GAAQ,CACf,GAAI,UAAWA,EACb,MAAsB,SAAfA,EAAM8B,MAAoBsuH,EAAmBpwH,EAAMA,MAAX,GAGjD,GAAImwH,GAAmB,QAASnwH,GAASA,EAAMoL,IAC7C,OAAOpL,EAAMoL,IAGf,GAAI,aAAcpL,EAChB,OAAOylH,EAAIzlH,EAAMiC,SAAUkuH,EAAiBC,EAEhD,CAEA,OAAIlxH,MAAMF,QAAQgB,GACTylH,EAAIzlH,EAAOmwH,EAAiBC,GAG9B,EACT,CAcA,SAAS3K,EAAI9iH,EAAQwtH,EAAiBC,GAEpC,MAAMxtH,EAAS,GACf,IAAIlB,GAAS,EAEb,OAASA,EAAQiB,EAAO9B,QACtB+B,EAAOlB,GAASwuH,EAAIvtH,EAAOjB,GAAQyuH,EAAiBC,GAGtD,OAAOxtH,EAAOiB,KAAK,GACrB,CCzEO,SAASoiC,EAAOn3B,EAAMzN,EAAO+9B,EAAQD,GAC1C,MAAMh6B,EAAM2J,EAAKjO,OACjB,IAEI0sH,EAFA8C,EAAa,EAajB,GAPEhvH,EADEA,EAAQ,GACDA,EAAQ8D,EAAM,EAAIA,EAAM9D,EAEzBA,EAAQ8D,EAAMA,EAAM9D,EAE9B+9B,EAASA,EAAS,EAAIA,EAAS,EAG3BD,EAAMt+B,OAAS,IACjB0sH,EAAaruH,MAAMqnH,KAAKpnF,GACxBouF,EAAWnqH,QAAQ/B,EAAO+9B,GAE1BtwB,EAAKm3B,UAAUsnF,QAMf,IAHInuF,GAAQtwB,EAAKm3B,OAAO5kC,EAAO+9B,GAGxBixF,EAAalxF,EAAMt+B,QACxB0sH,EAAapuF,EAAM19B,MAAM4uH,EAAYA,EAAa,KAClD9C,EAAWnqH,QAAQ/B,EAAO,GAE1ByN,EAAKm3B,UAAUsnF,GACf8C,GAAc,IACdhvH,GAAS,GAGf,CAkBO,SAASQ,EAAKiN,EAAMqwB,GACzB,OAAIrwB,EAAKjO,OAAS,GAChBolC,EAAOn3B,EAAMA,EAAKjO,OAAQ,EAAGs+B,GACtBrwB,GAEFqwB,CACT,CCvEA,MAAMzgC,EAAiB,CAAC,EAAEA,eAUnB,SAAS4xH,EAAkBC,GAEhC,MAAM9K,EAAM,CAAC,EACb,IAAI/jH,GAAS,EAEb,OAASA,EAAQ6uH,EAAW1vH,QAC1B2vH,EAAgB/K,EAAK8K,EAAW7uH,IAGlC,OAAO+jH,CACT,CAWA,SAAS+K,EAAgB/K,EAAKrD,GAE5B,IAAIqO,EAEJ,IAAKA,KAAQrO,EAAW,CACtB,MAEM18C,GAFQhnE,EAAeS,KAAKsmH,EAAKgL,GAAQhL,EAAIgL,QAAQhtH,KAEpCgiH,EAAIgL,GAAQ,CAAC,GAE9BC,EAAQtO,EAAUqO,GAExB,IAAIp4G,EAEJ,GAAIq4G,EACF,IAAKr4G,KAAQq4G,EAAO,CACbhyH,EAAeS,KAAKumE,EAAMrtD,KAAOqtD,EAAKrtD,GAAQ,IACnD,MAAMrY,EAAQ0wH,EAAMr4G,GACpBs4G,EAEEjrD,EAAKrtD,GACLnZ,MAAMF,QAAQgB,GAASA,EAAQA,EAAQ,CAACA,GAAS,GAErD,CAEJ,CACF,CAUA,SAAS2wH,EAAWC,EAAU9hH,GAC5B,IAAIpN,GAAS,EAEb,MAAMsoG,EAAS,GAEf,OAAStoG,EAAQoN,EAAKjO,SAEE,UAApBiO,EAAKpN,GAAOykD,IAAkByqE,EAAW5mB,GAAQnoG,KAAKiN,EAAKpN,IAG/DukC,EAAO2qF,EAAU,EAAG,EAAG5mB,EACzB,CC5EO,MCaM6mB,EAAaC,GAAW,YAcxBC,EAAoBD,GAAW,cAuB/BE,EAAaF,GAAW,uBAa9B,SAASG,EAAa54G,GAC3B,OAGW,OAATA,IAAkBA,EAAO,IAAe,MAATA,EAEnC,CAaO,MAAM64G,EAAaJ,GAAW,MAoBxBK,EAAgBL,GAAW,cAe3BM,EAAmBN,GAAW,kBAiBpC,SAASO,EAAmBh5G,GACjC,OAAgB,OAATA,GAAiBA,GAAQ,CAClC,CAWO,SAASi5G,EAA0Bj5G,GACxC,OAAgB,OAATA,IAAkBA,EAAO,GAAc,KAATA,EACvC,CAiBO,SAASk5G,EAAcl5G,GAC5B,OAAiB,IAAVA,IAAyB,IAAVA,GAAwB,KAATA,CACvC,CAuBO,MAAMm5G,GAAqBV,GD9LhC,wwCCoNWW,GAAoBX,GAAW,MAQ5C,SAASA,GAAWt+B,GAClB,OAUA,SAAen6E,GACb,OAAgB,OAATA,GAAiBm6E,EAAM3sF,KAAKzB,OAAOwe,aAAavK,GACzD,CACF,CC3MO,SAASq5G,GAAar/C,EAASwQ,EAAI/gF,EAAMqN,GAC9C,MAAMwiH,EAAQxiH,EAAMA,EAAM,EAAI3K,OAAOkqH,kBACrC,IAAIp4G,EAAO,EACX,OAGA,SAAe+B,GACb,GAAIk5G,EAAcl5G,GAEhB,OADAg6D,EAAQu/C,MAAM9vH,GACPkZ,EAAO3C,GAEhB,OAAOwqE,EAAGxqE,EACZ,EAGA,SAAS2C,EAAO3C,GACd,OAAIk5G,EAAcl5G,IAAS/B,IAASq7G,GAClCt/C,EAAQw/C,QAAQx5G,GACT2C,IAETq3D,EAAQy/C,KAAKhwH,GACN+gF,EAAGxqE,GACZ,CACF,CCtDO,MAAM9U,GAAU,CACrB6lG,SAOF,SAA2B/2B,GACzB,MAAM0/C,EAAe1/C,EAAQ2/C,QAC3Bn2G,KAAKgiB,OAAO8yF,WAAWsB,gBASzB,SAAoC55G,GAClC,GAAa,OAATA,EAEF,YADAg6D,EAAQw/C,QAAQx5G,GAMlB,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNJ,GAAar/C,EAAS0/C,EAAc,aAC7C,IAGA,SAA0B15G,GAExB,OADAg6D,EAAQu/C,MAAM,aACPM,EAAU75G,EACnB,IAnBA,IAAInX,EACJ,OAAO6wH,EAqBP,SAASG,EAAU75G,GACjB,MAAM8M,EAAQktD,EAAQu/C,MAAM,YAAa,CACvCzsB,YAAa,OACbjkG,aAMF,OAJIA,IACFA,EAASugB,KAAO0D,GAElBjkB,EAAWikB,EACJ3f,EAAK6S,EACd,CAGA,SAAS7S,EAAK6S,GACZ,OAAa,OAATA,GACFg6D,EAAQy/C,KAAK,aACbz/C,EAAQy/C,KAAK,kBACbz/C,EAAQw/C,QAAQx5G,IAGdg5G,EAAmBh5G,IACrBg6D,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,aACNI,IAIT7/C,EAAQw/C,QAAQx5G,GACT7S,EACT,CACF,GC1DO,MAAM2a,GAAW,CACtBipF,SAYF,SAA4B/2B,GAC1B,MAAM2c,EAAOnzE,KAEPgjB,EAAQ,GACd,IAEIszF,EAEAC,EAEAC,EANAC,EAAY,EAOhB,OAAOjxH,EAGP,SAASA,EAAMgX,GAWb,GAAIi6G,EAAYzzF,EAAMh+B,OAAQ,CAC5B,MAAM0xH,EAAO1zF,EAAMyzF,GAEnB,OADAtjC,EAAKwjC,eAAiBD,EAAK,GACpBlgD,EAAQ2/C,QACbO,EAAK,GAAGpxB,aACRsxB,EACAC,EAHKrgD,CAILh6D,EACJ,CAGA,OAAOq6G,EAAmBr6G,EAC5B,CAGA,SAASo6G,EAAiBp6G,GAMxB,GALAi6G,IAKItjC,EAAKwjC,eAAeG,WAAY,CAClC3jC,EAAKwjC,eAAeG,gBAAalvH,EAC7B0uH,GACFS,IAKF,MAAMC,EAAmB7jC,EAAK8jC,OAAOjyH,OACrC,IAEIqoH,EAFA6J,EAAkBF,EAKtB,KAAOE,KACL,GACsC,SAApC/jC,EAAK8jC,OAAOC,GAAiB,IACY,cAAzC/jC,EAAK8jC,OAAOC,GAAiB,GAAGjxH,KAChC,CACAonH,EAAQl6B,EAAK8jC,OAAOC,GAAiB,GAAG5tH,IACxC,KACF,CAEF6tH,EAAeV,GAGf,IAAI5wH,EAAQmxH,EACZ,KAAOnxH,EAAQstF,EAAK8jC,OAAOjyH,QACzBmuF,EAAK8jC,OAAOpxH,GAAO,GAAGyD,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGqpF,GAC9CxnH,IAaF,OATAukC,EACE+oD,EAAK8jC,OACLC,EAAkB,EAClB,EACA/jC,EAAK8jC,OAAOrxH,MAAMoxH,IAIpB7jC,EAAK8jC,OAAOjyH,OAASa,EACdgxH,EAAmBr6G,EAC5B,CACA,OAAOhX,EAAMgX,EACf,CAGA,SAASq6G,EAAmBr6G,GAM1B,GAAIi6G,IAAczzF,EAAMh+B,OAAQ,CAI9B,IAAKsxH,EACH,OAAOc,EAAkB56G,GAM3B,GAAI85G,EAAUe,kBAAoBf,EAAUe,iBAAiBC,SAC3D,OAAOC,EAAU/6G,GAQnB22E,EAAKqkC,UAAYjpB,QACf+nB,EAAUe,mBAAqBf,EAAUmB,8BAE7C,CAIA,OADAtkC,EAAKwjC,eAAiB,CAAC,EAChBngD,EAAQ12D,MACb43G,GACAC,EACAC,EAHKphD,CAILh6D,EACJ,CAGA,SAASm7G,EAAqBn7G,GAG5B,OAFI85G,GAAWS,IACfI,EAAeV,GACRW,EAAkB56G,EAC3B,CAGA,SAASo7G,EAAsBp7G,GAG7B,OAFA22E,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,MAAQi0G,IAAczzF,EAAMh+B,OACxDwxH,EAAkBrjC,EAAK5sE,MAAM8B,OACtBkvG,EAAU/6G,EACnB,CAGA,SAAS46G,EAAkB56G,GAGzB,OADA22E,EAAKwjC,eAAiB,CAAC,EAChBngD,EAAQ2/C,QACbuB,GACAG,EACAN,EAHK/gD,CAILh6D,EACJ,CAGA,SAASq7G,EAAkBr7G,GAIzB,OAHAi6G,IACAzzF,EAAMh9B,KAAK,CAACmtF,EAAKkkC,iBAAkBlkC,EAAKwjC,iBAEjCS,EAAkB56G,EAC3B,CAGA,SAAS+6G,EAAU/6G,GACjB,OAAa,OAATA,GACE85G,GAAWS,IACfI,EAAe,QACf3gD,EAAQw/C,QAAQx5G,KAGlB85G,EAAYA,GAAanjC,EAAKnxD,OAAOgjE,KAAK7R,EAAK5sE,OAC/CiwD,EAAQu/C,MAAM,YAAa,CACzBzsB,YAAa,OACbjkG,SAAUkxH,EACVuB,WAAYxB,IAEPyB,EAAav7G,GACtB,CAGA,SAASu7G,EAAav7G,GACpB,OAAa,OAATA,GACFw7G,EAAaxhD,EAAQy/C,KAAK,cAAc,GACxCkB,EAAe,QACf3gD,EAAQw/C,QAAQx5G,IAGdg5G,EAAmBh5G,IACrBg6D,EAAQw/C,QAAQx5G,GAChBw7G,EAAaxhD,EAAQy/C,KAAK,cAE1BQ,EAAY,EACZtjC,EAAKqkC,eAAY5vH,EACVpC,IAETgxE,EAAQw/C,QAAQx5G,GACTu7G,EACT,CAOA,SAASC,EAAa1uG,EAAO2uG,GAC3B,MAAMC,EAAS/kC,EAAKglC,YAAY7uG,GAyChC,GAxCI2uG,GAAKC,EAAOlyH,KAAK,MACrBsjB,EAAMjkB,SAAWkxH,EACbA,IAAYA,EAAW3wG,KAAO0D,GAClCitG,EAAajtG,EACbgtG,EAAU8B,WAAW9uG,EAAM9jB,OAC3B8wH,EAAUh+E,MAAM4/E,GAmCZ/kC,EAAKnxD,OAAO6wD,KAAKvpE,EAAM9jB,MAAMgd,MAAO,CACtC,IAAI3c,EAAQywH,EAAUW,OAAOjyH,OAC7B,KAAOa,KACL,GAEEywH,EAAUW,OAAOpxH,GAAO,GAAGL,MAAM6iB,OAASmuG,KAExCF,EAAUW,OAAOpxH,GAAO,GAAGyD,KAE3BgtH,EAAUW,OAAOpxH,GAAO,GAAGyD,IAAI+e,OAASmuG,GAI1C,OAMJ,MAAMQ,EAAmB7jC,EAAK8jC,OAAOjyH,OACrC,IAEIqzH,EAEAhL,EAJA6J,EAAkBF,EAOtB,KAAOE,KACL,GACsC,SAApC/jC,EAAK8jC,OAAOC,GAAiB,IACY,cAAzC/jC,EAAK8jC,OAAOC,GAAiB,GAAGjxH,KAChC,CACA,GAAIoyH,EAAM,CACRhL,EAAQl6B,EAAK8jC,OAAOC,GAAiB,GAAG5tH,IACxC,KACF,CACA+uH,GAAO,CACT,CAMF,IAJAlB,EAAeV,GAGf5wH,EAAQmxH,EACDnxH,EAAQstF,EAAK8jC,OAAOjyH,QACzBmuF,EAAK8jC,OAAOpxH,GAAO,GAAGyD,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGqpF,GAC9CxnH,IAIFukC,EACE+oD,EAAK8jC,OACLC,EAAkB,EAClB,EACA/jC,EAAK8jC,OAAOrxH,MAAMoxH,IAIpB7jC,EAAK8jC,OAAOjyH,OAASa,CACvB,CACF,CAMA,SAASsxH,EAAe18G,GACtB,IAAI5U,EAAQm9B,EAAMh+B,OAGlB,KAAOa,KAAU4U,GAAM,CACrB,MAAMgvB,EAAQzG,EAAMn9B,GACpBstF,EAAKwjC,eAAiBltF,EAAM,GAC5BA,EAAM,GAAGwsF,KAAK3yH,KAAK6vF,EAAM3c,EAC3B,CACAxzC,EAAMh+B,OAASyV,CACjB,CACA,SAASs8G,IACPT,EAAUh+E,MAAM,CAAC,OACjBi+E,OAAa3uH,EACb0uH,OAAY1uH,EACZurF,EAAKwjC,eAAeG,gBAAalvH,CACnC,CACF,GArVM8vH,GAAqB,CACzBnqB,SA0VF,SAA2B/2B,EAASwQ,EAAIsxC,GAGtC,OAAOzC,GACLr/C,EACAA,EAAQ2/C,QAAQn2G,KAAKgiB,OAAO8yF,WAAWxwG,SAAU0iE,EAAIsxC,GACrD,aACAt4G,KAAKgiB,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,qBAAkBtmD,EAAY,EAE/E,GCnXO,MAAM4wH,GAAY,CACvBjrB,SAQF,SAA2B/2B,EAASwQ,EAAIsxC,GACtC,OAgBA,SAAe97G,GACb,OAAOk5G,EAAcl5G,GACjBq5G,GAAar/C,EAAS63B,EAAO,aAA7BwnB,CAA2Cr5G,GAC3C6xF,EAAM7xF,EACZ,EAgBA,SAAS6xF,EAAM7xF,GACb,OAAgB,OAATA,GAAiBg5G,EAAmBh5G,GAAQwqE,EAAGxqE,GAAQ87G,EAAI97G,EACpE,CACF,EA/CEi8G,SAAS,GCGJ,SAASC,GAAYzB,GAE1B,MAAM0B,EAAQ,CAAC,EACf,IAEI77G,EAEA87G,EAEAC,EAEAC,EAEApH,EAEAqH,EAEAhtB,EAdAlmG,GAAS,EAeb,OAASA,EAAQoxH,EAAOjyH,QAAQ,CAC9B,KAAOa,KAAS8yH,GACd9yH,EAAQ8yH,EAAM9yH,GAMhB,GAJAiX,EAAQm6G,EAAOpxH,GAKbA,GACkB,cAAlBiX,EAAM,GAAG7W,MACqB,mBAA9BgxH,EAAOpxH,EAAQ,GAAG,GAAGI,OAErB8yH,EAAYj8G,EAAM,GAAGg7G,WAAWb,OAChC4B,EAAa,EAEXA,EAAaE,EAAU/zH,QACW,oBAAlC+zH,EAAUF,GAAY,GAAG5yH,OAEzB4yH,GAAc,GAGdA,EAAaE,EAAU/zH,QACW,YAAlC+zH,EAAUF,GAAY,GAAG5yH,MAEzB,OAAS4yH,EAAaE,EAAU/zH,QACQ,YAAlC+zH,EAAUF,GAAY,GAAG5yH,MAGS,cAAlC8yH,EAAUF,GAAY,GAAG5yH,OAC3B8yH,EAAUF,GAAY,GAAGG,6BAA8B,EACvDH,KAOR,GAAiB,UAAb/7G,EAAM,GACJA,EAAM,GAAGwsF,cACX3mG,OAAOqhC,OAAO20F,EAAOM,GAAWhC,EAAQpxH,IACxCA,EAAQ8yH,EAAM9yH,GACdkmG,GAAO,QAIN,GAAIjvF,EAAM,GAAGo8G,WAAY,CAG5B,IAFAL,EAAahzH,EACb+yH,OAAYhxH,EACLixH,MACLC,EAAa7B,EAAO4B,GAEK,eAAvBC,EAAW,GAAG7yH,MACS,oBAAvB6yH,EAAW,GAAG7yH,OAEQ,UAAlB6yH,EAAW,KACTF,IACF3B,EAAO2B,GAAW,GAAG3yH,KAAO,mBAE9B6yH,EAAW,GAAG7yH,KAAO,aACrB2yH,EAAYC,GAMdD,IAEF97G,EAAM,GAAGxT,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO2B,GAAW,GAAGpzH,OAGtDksH,EAAauF,EAAOrxH,MAAMgzH,EAAW/yH,GACrC6rH,EAAWnqH,QAAQuV,GACnBstB,EAAO6sF,EAAQ2B,EAAW/yH,EAAQ+yH,EAAY,EAAGlH,GAErD,CACF,CACA,OAAQ3lB,CACV,CASA,SAASktB,GAAWhC,EAAQkC,GAC1B,MAAM7vG,EAAQ2tG,EAAOkC,GAAY,GAC3B1jD,EAAUwhD,EAAOkC,GAAY,GACnC,IAAIC,EAAgBD,EAAa,EAEjC,MAAME,EAAiB,GACjB92F,EACJjZ,EAAMwuG,YAAcriD,EAAQzzC,OAAO1Y,EAAMggF,aAAahgF,EAAM9jB,OACxD8zH,EAAc/2F,EAAU00F,OAExB0B,EAAQ,GAERY,EAAO,CAAC,EAEd,IAAIrB,EAEA7yH,EACAQ,GAAS,EAETs9B,EAAU7Z,EACVziB,EAAS,EACTrB,EAAQ,EACZ,MAAMg0H,EAAS,CAACh0H,GAIhB,KAAO29B,GAAS,CAEd,KAAO8zF,IAASmC,GAAe,KAAOj2F,IAGtCk2F,EAAerzH,KAAKozH,GACfj2F,EAAQ20F,aACXI,EAASziD,EAAQ0iD,YAAYh1F,GACxBA,EAAQvd,MACXsyG,EAAOlyH,KAAK,MAEVX,GACFk9B,EAAU61F,WAAWj1F,EAAQ39B,OAE3B29B,EAAQ61F,8BACVz2F,EAAUk3F,oCAAqC,GAEjDl3F,EAAU+V,MAAM4/E,GACZ/0F,EAAQ61F,8BACVz2F,EAAUk3F,wCAAqC7xH,IAKnDvC,EAAW89B,EACXA,EAAUA,EAAQvd,IACpB,CAKA,IADAud,EAAU7Z,IACDzjB,EAAQyzH,EAAYt0H,QAGC,SAA1Bs0H,EAAYzzH,GAAO,IACW,UAA9ByzH,EAAYzzH,EAAQ,GAAG,IACvByzH,EAAYzzH,GAAO,GAAGI,OAASqzH,EAAYzzH,EAAQ,GAAG,GAAGI,MACzDqzH,EAAYzzH,GAAO,GAAGL,MAAMgd,OAAS82G,EAAYzzH,GAAO,GAAGyD,IAAIkZ,OAE/Dhd,EAAQK,EAAQ,EAChB2zH,EAAOxzH,KAAKR,GAEZ29B,EAAQ20F,gBAAalwH,EACrBu7B,EAAQ99B,cAAWuC,EACnBu7B,EAAUA,EAAQvd,MAqBtB,IAhBA2c,EAAU00F,OAAS,GAKf9zF,GAEFA,EAAQ20F,gBAAalwH,EACrBu7B,EAAQ99B,cAAWuC,GAEnB4xH,EAAOt2F,MAKTr9B,EAAQ2zH,EAAOx0H,OACRa,KAAS,CACd,MAAMD,EAAQ0zH,EAAY1zH,MAAM4zH,EAAO3zH,GAAQ2zH,EAAO3zH,EAAQ,IACxDL,EAAQ6zH,EAAen2F,MAC7By1F,EAAMpxH,QAAQ,CAAC/B,EAAOA,EAAQI,EAAMZ,OAAS,IAC7ColC,EAAO6sF,EAAQzxH,EAAO,EAAGI,EAC3B,CAEA,IADAC,GAAS,IACAA,EAAQ8yH,EAAM3zH,QACrBu0H,EAAK1yH,EAAS8xH,EAAM9yH,GAAO,IAAMgB,EAAS8xH,EAAM9yH,GAAO,GACvDgB,GAAU8xH,EAAM9yH,GAAO,GAAK8yH,EAAM9yH,GAAO,GAAK,EAEhD,OAAO0zH,CACT,CC/MO,MAAM7xH,GAAU,CACrB6lG,SAyBF,SAAyB/2B,EAASwQ,GAEhC,IAAI3hF,EACJ,OAYA,SAAoBmX,GAKlB,OAJAg6D,EAAQu/C,MAAM,WACd1wH,EAAWmxE,EAAQu/C,MAAM,eAAgB,CACvCzsB,YAAa,YAERowB,EAAYl9G,EACrB,EAYA,SAASk9G,EAAYl9G,GACnB,OAAa,OAATA,EACKm9G,EAAWn9G,GAKhBg5G,EAAmBh5G,GACdg6D,EAAQ12D,MACb85G,GACAC,EACAF,EAHKnjD,CAILh6D,IAIJg6D,EAAQw/C,QAAQx5G,GACTk9G,EACT,CAOA,SAASC,EAAWn9G,GAGlB,OAFAg6D,EAAQy/C,KAAK,gBACbz/C,EAAQy/C,KAAK,WACNjvC,EAAGxqE,EACZ,CAOA,SAASq9G,EAAgBr9G,GAQvB,OAPAg6D,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,gBACb5wH,EAASugB,KAAO4wD,EAAQu/C,MAAM,eAAgB,CAC5CzsB,YAAa,UACbjkG,aAEFA,EAAWA,EAASugB,KACb8zG,CACT,CACF,EAvGE5qD,QAeF,SAAwBmoD,GAEtB,OADAyB,GAAYzB,GACLA,CACT,GAdM2C,GAAwB,CAC5BrsB,SAwGF,SAA8B/2B,EAASwQ,EAAIsxC,GACzC,MAAMnlC,EAAOnzE,KACb,OAOA,SAAwBxD,GAKtB,OAJAg6D,EAAQy/C,KAAK,gBACbz/C,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNJ,GAAar/C,EAASsjD,EAAU,aACzC,EAOA,SAASA,EAASt9G,GAChB,GAAa,OAATA,GAAiBg5G,EAAmBh5G,GACtC,OAAO87G,EAAI97G,GAKb,MAAMgnE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAC9C,OACGmuF,EAAKnxD,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,iBAC9Cs1B,GACiB,eAAjBA,EAAK,GAAGv9E,MACRu9E,EAAK,GAAGu2C,eAAev2C,EAAK,IAAI,GAAMx+E,QAAU,EAEzCgiF,EAAGxqE,GAELg6D,EAAQghD,UAAUrkC,EAAKnxD,OAAO8yF,WAAW9vB,KAAMszB,EAAKtxC,EAApDxQ,CAAwDh6D,EACjE,CACF,EA/IEi8G,SAAS,GCbJ,MAAMzzB,GAAO,CAClBuI,SAOF,SAAwB/2B,GACtB,MAAM2c,EAAOnzE,KACPg6G,EAAUxjD,EAAQ2/C,QAEtBqC,IAoBF,SAAuBh8G,GACrB,GAAa,OAATA,EAEF,YADAg6D,EAAQw/C,QAAQx5G,GAOlB,OAJAg6D,EAAQu/C,MAAM,mBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,mBACb9iC,EAAKkkC,sBAAmBzvH,EACjBoyH,CACT,GA3BExjD,EAAQ2/C,QACNn2G,KAAKgiB,OAAO8yF,WAAWmF,YACvBC,EACArE,GACEr/C,EACAA,EAAQ2/C,QACNn2G,KAAKgiB,OAAO8yF,WAAW9vB,KACvBk1B,EACA1jD,EAAQ2/C,QAAQzuH,GAASwyH,IAE3B,gBAIN,OAAOF,EAgBP,SAASE,EAAe19G,GACtB,GAAa,OAATA,EAQJ,OAJAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACb9iC,EAAKkkC,sBAAmBzvH,EACjBoyH,EAPLxjD,EAAQw/C,QAAQx5G,EAQpB,CACF,GC1DO,MAAM29G,GAAW,CACtBC,WAAYC,MAEDrxE,GAASsxE,GAAkB,UAC3B/7G,GAAO+7G,GAAkB,QAMtC,SAASA,GAAkBjvB,GACzB,MAAO,CACLkC,SAUF,SAAwB/2B,GACtB,MAAM2c,EAAOnzE,KACP80G,EAAa90G,KAAKgiB,OAAO8yF,WAAWzpB,GACpC9sF,EAAOi4D,EAAQ2/C,QAAQrB,EAAYtvH,EAAO+0H,GAChD,OAAO/0H,EAGP,SAASA,EAAMgX,GACb,OAAOg+G,EAAQh+G,GAAQ+B,EAAK/B,GAAQ+9G,EAAQ/9G,EAC9C,CAGA,SAAS+9G,EAAQ/9G,GACf,GAAa,OAATA,EAMJ,OAFAg6D,EAAQu/C,MAAM,QACdv/C,EAAQw/C,QAAQx5G,GACT7S,EALL6sE,EAAQw/C,QAAQx5G,EAMpB,CAGA,SAAS7S,EAAK6S,GACZ,OAAIg+G,EAAQh+G,IACVg6D,EAAQy/C,KAAK,QACN13G,EAAK/B,KAIdg6D,EAAQw/C,QAAQx5G,GACT7S,EACT,CAMA,SAAS6wH,EAAQh+G,GACf,GAAa,OAATA,EACF,OAAO,EAET,MAAMvJ,EAAO6hH,EAAWt4G,GACxB,IAAI3W,GAAS,EACb,GAAIoN,EAGF,OAASpN,EAAQoN,EAAKjO,QAAQ,CAC5B,MAAM0xH,EAAOzjH,EAAKpN,GAClB,IAAK6wH,EAAKrxH,UAAYqxH,EAAKrxH,SAAS/B,KAAK6vF,EAAMA,EAAK9tF,UAClD,OAAO,CAEX,CAEF,OAAO,CACT,CACF,EAjEE+0H,WAAYC,GACA,SAAVhvB,EAAmBovB,QAAyB7yH,GAiElD,CAMA,SAASyyH,GAAeK,GACtB,OAGA,SAAwBzD,EAAQxhD,GAC9B,IAEIsgD,EAFAlwH,GAAS,EAMb,OAASA,GAASoxH,EAAOjyH,aACT4C,IAAVmuH,EACEkB,EAAOpxH,IAAoC,SAA1BoxH,EAAOpxH,GAAO,GAAGI,OACpC8vH,EAAQlwH,EACRA,KAEQoxH,EAAOpxH,IAAoC,SAA1BoxH,EAAOpxH,GAAO,GAAGI,OAExCJ,IAAUkwH,EAAQ,IACpBkB,EAAOlB,GAAO,GAAGzsH,IAAM2tH,EAAOpxH,EAAQ,GAAG,GAAGyD,IAC5C2tH,EAAO7sF,OAAO2rF,EAAQ,EAAGlwH,EAAQkwH,EAAQ,GACzClwH,EAAQkwH,EAAQ,GAElBA,OAAQnuH,GAGZ,OAAO8yH,EAAgBA,EAAczD,EAAQxhD,GAAWwhD,CAC1D,CACF,CAaA,SAASwD,GAAuBxD,EAAQxhD,GACtC,IAAI0jD,EAAa,EAEjB,OAASA,GAAclC,EAAOjyH,QAC5B,IACGm0H,IAAelC,EAAOjyH,QACU,eAA/BiyH,EAAOkC,GAAY,GAAGlzH,OACW,SAAnCgxH,EAAOkC,EAAa,GAAG,GAAGlzH,KAC1B,CACA,MAAM0D,EAAOstH,EAAOkC,EAAa,GAAG,GAC9BwB,EAASllD,EAAQ0iD,YAAYxuH,GACnC,IAIIixH,EAJA/0H,EAAQ80H,EAAO31H,OACf61H,GAAe,EACfpgH,EAAO,EAGX,KAAO5U,KAAS,CACd,MAAM09C,EAAQo3E,EAAO90H,GACrB,GAAqB,kBAAV09C,EAAoB,CAE7B,IADAs3E,EAAct3E,EAAMv+C,OACyB,KAAtCu+C,EAAMx/B,WAAW82G,EAAc,IACpCpgH,IACAogH,IAEF,GAAIA,EAAa,MACjBA,GAAe,CACjB,MAEK,IAAe,IAAXt3E,EACPq3E,GAAO,EACPngH,SACK,IAAe,IAAX8oC,EAEJ,CAEL19C,IACA,KACF,CACF,CACA,GAAI4U,EAAM,CACR,MAAM6O,EAAQ,CACZrjB,KACEkzH,IAAelC,EAAOjyH,QAAU41H,GAAQngH,EAAO,EAC3C,aACA,oBACNjV,MAAO,CACLgd,KAAM7Y,EAAKL,IAAIkZ,KACfL,OAAQxY,EAAKL,IAAI6Y,OAAS1H,EAC1B4N,OAAQ1e,EAAKL,IAAI+e,OAAS5N,EAC1BqgH,OAAQnxH,EAAKnE,MAAMs1H,OAASj1H,EAC5Bk1H,aAAcl1H,EACVg1H,EACAlxH,EAAKnE,MAAMu1H,aAAeF,GAEhCvxH,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGr6B,EAAKL,MAE9BK,EAAKL,IAAM3G,OAAOqhC,OAAO,CAAC,EAAG1a,EAAM9jB,OAC/BmE,EAAKnE,MAAM6iB,SAAW1e,EAAKL,IAAI+e,OACjC1lB,OAAOqhC,OAAOr6B,EAAM2f,IAEpB2tG,EAAO7sF,OACL+uF,EACA,EACA,CAAC,QAAS7vG,EAAOmsD,GACjB,CAAC,OAAQnsD,EAAOmsD,IAElB0jD,GAAc,EAElB,CACAA,GACF,CAEF,OAAOlC,CACT,CC/LO,SAASmD,GAAWtF,EAAYmC,EAAQxhD,GAE7C,MAAM87C,EAAS,GACf,IAAI1rH,GAAS,EAEb,OAASA,EAAQivH,EAAW9vH,QAAQ,CAClC,MAAM8pE,EAAUgmD,EAAWjvH,GAAOu0H,WAE9BtrD,IAAYyiD,EAAOrjE,SAAS4gB,KAC9BmoD,EAASnoD,EAAQmoD,EAAQxhD,GACzB87C,EAAOvrH,KAAK8oE,GAEhB,CAEA,OAAOmoD,CACT,CCcO,SAAS+D,GAAgBh5F,EAAQi5F,EAAYvQ,GAElD,IAAI2C,EAAQ1qH,OAAOqhC,OACjB0mF,EACI/nH,OAAOqhC,OAAO,CAAC,EAAG0mF,GAClB,CACEloG,KAAM,EACNL,OAAQ,EACRkG,OAAQ,GAEd,CACEyyG,OAAQ,EACRC,cAAe,IAInB,MAAMG,EAAc,CAAC,EAEfC,EAAuB,GAE7B,IAAIR,EAAS,GAET33F,EAAQ,GAERo4F,GAAW,EAOf,MAAM5kD,EAAU,CACdw/C,QAkJF,SAAiBx5G,GACXg5G,EAAmBh5G,IACrB6wG,EAAM7qG,OACN6qG,EAAMlrG,OAAS,EACfkrG,EAAMhlG,SAAoB,IAAV7L,EAAc,EAAI,EAClC6+G,MACmB,IAAV7+G,IACT6wG,EAAMlrG,SACNkrG,EAAMhlG,UAIJglG,EAAM0N,aAAe,EACvB1N,EAAMyN,UAENzN,EAAM0N,eAKF1N,EAAM0N,eAAiBJ,EAAOtN,EAAMyN,QAAQ91H,SAC9CqoH,EAAM0N,cAAgB,EACtB1N,EAAMyN,WAKVrlD,EAAQpwE,SAAWmX,EAGnB4+G,GAAW,CACb,EAhLErF,MAmLF,SAAe9vH,EAAM2xG,GAGnB,MAAMtuF,EAAQsuF,GAAU,CAAC,EAKzB,OAJAtuF,EAAMrjB,KAAOA,EACbqjB,EAAM9jB,MAAQ+gB,IACdkvD,EAAQwhD,OAAOjxH,KAAK,CAAC,QAASsjB,EAAOmsD,IACrCzyC,EAAMh9B,KAAKsjB,GACJA,CACT,EA3LE2sG,KA8LF,SAAchwH,GACZ,MAAMqjB,EAAQ0Z,EAAME,MAGpB,OAFA5Z,EAAMhgB,IAAMid,IACZkvD,EAAQwhD,OAAOjxH,KAAK,CAAC,OAAQsjB,EAAOmsD,IAC7BnsD,CACT,EAlME6sG,QAASmF,GAyMX,SAA+BxtE,EAAWnmD,GACxC4zH,EAAUztE,EAAWnmD,EAAK+iH,KAC5B,IA1ME5qG,MAAOw7G,EAAiBE,GACxBhE,UAAW8D,EAAiBE,EAAmB,CAC7ChE,WAAW,KAST/hD,EAAU,CACdpwE,SAAU,KACVmX,KAAM,KACNm6G,eAAgB,CAAC,EACjBM,OAAQ,GACRj1F,SACAm2F,cACA4B,eA6CF,SAAwBzwG,EAAOmyG,GAC7B,OAsYJ,SAAyBd,EAAQc,GAC/B,IAAI51H,GAAS,EAEb,MAAMkB,EAAS,GAEf,IAAI20H,EACJ,OAAS71H,EAAQ80H,EAAO31H,QAAQ,CAC9B,MAAMu+C,EAAQo3E,EAAO90H,GAErB,IAAI1B,EACJ,GAAqB,kBAAVo/C,EACTp/C,EAAQo/C,OAER,OAAQA,GACN,KAAM,EACJp/C,EAAQ,KACR,MAEF,KAAM,EACJA,EAAQ,KACR,MAEF,KAAM,EACJA,EAAQ,OACR,MAEF,KAAM,EACJA,EAAQs3H,EAAa,IAAM,KAC3B,MAEF,KAAM,EACJ,IAAKA,GAAcC,EAAO,SAC1Bv3H,EAAQ,IACR,MAEF,QAEEA,EAAQoE,OAAOwe,aAAaw8B,GAGlCm4E,GAAmB,IAAXn4E,EACRx8C,EAAOf,KAAK7B,EACd,CACA,OAAO4C,EAAOiB,KAAK,GACrB,CAlbW2zH,CAAgBxD,EAAY7uG,GAAQmyG,EAC7C,EA9CEl1G,MACA6xG,WAkEF,SAAoBj0H,GAClB+2H,EAAY/2H,EAAMqe,MAAQre,EAAMge,OAChCk5G,GACF,EApEE/iF,MAsBF,SAAe1yC,GAKb,GAJA+0H,EAAS30H,EAAK20H,EAAQ/0H,GACtBg2H,IAGkC,OAA9BjB,EAAOA,EAAO31H,OAAS,GACzB,MAAO,GAMT,OAJAu2H,EAAUN,EAAY,GAGtBxlD,EAAQwhD,OAASmD,GAAWe,EAAsB1lD,EAAQwhD,OAAQxhD,GAC3DA,EAAQwhD,MACjB,GA3BA,IAOI4E,EAPAxzF,EAAQ4yF,EAAW1tB,SAASjqG,KAAKmyE,EAASe,GAW9C,OAHIykD,EAAWb,YACbe,EAAqBn1H,KAAKi1H,GAErBxlD,EA4BP,SAAS0iD,EAAY7uG,GACnB,OA8VJ,SAAqBqxG,EAAQrxG,GAC3B,MAAMwyG,EAAaxyG,EAAM9jB,MAAMs1H,OACzBiB,EAAmBzyG,EAAM9jB,MAAMu1H,aAC/BiB,EAAW1yG,EAAMhgB,IAAIwxH,OACrBmB,EAAiB3yG,EAAMhgB,IAAIyxH,aAEjC,IAAI96D,EACJ,GAAI67D,IAAeE,EAEjB/7D,EAAO,CAAC06D,EAAOmB,GAAYl2H,MAAMm2H,EAAkBE,QAC9C,CAEL,GADAh8D,EAAO06D,EAAO/0H,MAAMk2H,EAAYE,GAC5BD,GAAoB,EAAG,CACzB,MAAMrS,EAAOzpD,EAAK,GACE,kBAATypD,EACTzpD,EAAK,GAAKypD,EAAK9jH,MAAMm2H,GAErB97D,EAAK3c,OAET,CACI24E,EAAiB,GAEnBh8D,EAAKj6D,KAAK20H,EAAOqB,GAAUp2H,MAAM,EAAGq2H,GAExC,CACA,OAAOh8D,CACT,CAxXWi8D,CAAYvB,EAAQrxG,EAC7B,CAGA,SAAS/C,IAEP,MAAM,KAAC/D,EAAI,OAAEL,EAAM,OAAEkG,EAAM,OAAEyyG,EAAM,aAAEC,GAAgB1N,EACrD,MAAO,CACL7qG,OACAL,SACAkG,SACAyyG,SACAC,eAEJ,CAsBA,SAASa,IAEP,IAAIO,EACJ,KAAO9O,EAAMyN,OAASH,EAAO31H,QAAQ,CACnC,MAAMu+C,EAAQo3E,EAAOtN,EAAMyN,QAG3B,GAAqB,kBAAVv3E,EAKT,IAJA44E,EAAa9O,EAAMyN,OACfzN,EAAM0N,aAAe,IACvB1N,EAAM0N,aAAe,GAGrB1N,EAAMyN,SAAWqB,GACjB9O,EAAM0N,aAAex3E,EAAMv+C,QAE3ByhG,EAAGljD,EAAMx/B,WAAWspG,EAAM0N,oBAG5Bt0B,EAAGljD,EAEP,CACF,CAQA,SAASkjD,EAAGjqF,GACV4+G,OAAWxzH,EACXi0H,EAAer/G,EACf6rB,EAAQA,EAAM7rB,EAChB,CAsEA,SAASg/G,EAAkBnwH,EAAG1D,GAC5BA,EAAK0rF,SACP,CAQA,SAASioC,EAAiBc,EAAUxkB,GAClC,OAWA,SAAckd,EAAYlyE,EAAay5E,GAErC,IAAIC,EAEAC,EAEAlF,EAEA1vH,EACJ,OAAOtE,MAAMF,QAAQ2xH,GACjB0H,EAAuB1H,GACvB,aAAcA,EAEd0H,EAAuB,CAAC1H,IAS5B,SAA+BpjC,GAC7B,OAAOlsF,EAGP,SAASA,EAAMgX,GACb,MAAMk5F,EAAe,OAATl5F,GAAiBk1E,EAAIl1E,GAC3BotG,EAAe,OAATptG,GAAiBk1E,EAAIyd,KAOjC,OAAOqtB,EANM,IAGPn5H,MAAMF,QAAQuyG,GAAOA,EAAMA,EAAM,CAACA,GAAO,MACzCryG,MAAMF,QAAQymH,GAAOA,EAAMA,EAAM,CAACA,GAAO,IAExC4S,CAA6BhgH,EACtC,CACF,CAvBIigH,CAAsB3H,GA+B1B,SAAS0H,EAAuBvpH,GAG9B,OAFAqpH,EAAmBrpH,EACnBspH,EAAiB,EACG,IAAhBtpH,EAAKjO,OACAq3H,EAEFK,EAAgBzpH,EAAKspH,GAC9B,CAQA,SAASG,EAAgB5uE,GACvB,OAGA,SAAetxC,GAKb7U,EAwER,WACE,MAAMg1H,EAAap2G,IACbq2G,EAAgBnnD,EAAQpwE,SACxBw3H,EAAwBpnD,EAAQ4hD,iBAChCyF,EAAmBrnD,EAAQwhD,OAAOjyH,OAClC+3H,EAAa15H,MAAMqnH,KAAK1nF,GAC9B,MAAO,CACLqwD,UACAq3B,KAAMoS,GAQR,SAASzpC,IACPg6B,EAAQsP,EACRlnD,EAAQpwE,SAAWu3H,EACnBnnD,EAAQ4hD,iBAAmBwF,EAC3BpnD,EAAQwhD,OAAOjyH,OAAS83H,EACxB95F,EAAQ+5F,EACR1B,GACF,CACF,CAhGe2B,GACP3F,EAAmBvpE,EACdA,EAAU2qE,UACbhjD,EAAQ4hD,iBAAmBvpE,GAK7B,GACEA,EAAU9pD,MACVyxE,EAAQzzC,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAASJ,EAAU9pD,MAE1D,OAAOs0H,EAAI97G,GAEb,OAAOsxC,EAAUy/C,SAASjqG,KAIxBs0G,EAASj1G,OAAOqhC,OAAOrhC,OAAOuI,OAAOuqE,GAAUmiC,GAAUniC,EACzDe,EACAwQ,EACAsxC,EAPKxqE,CAQLtxC,EACJ,CACF,CAGA,SAASwqE,EAAGxqE,GAGV,OAFA4+G,GAAW,EACXgB,EAAS/E,EAAkB1vH,GACpBi7C,CACT,CAGA,SAAS01E,EAAI97G,GAGX,OAFA4+G,GAAW,EACXzzH,EAAK0rF,YACCkpC,EAAiBD,EAAiBt3H,OAC/B03H,EAAgBJ,EAAiBC,IAEnCF,CACT,CACF,CACF,CAOA,SAASd,EAAUztE,EAAW48D,GACxB58D,EAAUssE,aAAee,EAAqBjtE,SAASJ,IACzDqtE,EAAqBn1H,KAAK8nD,GAExBA,EAAUghB,SACZ1kC,EACEqrC,EAAQwhD,OACRvM,EACAj1C,EAAQwhD,OAAOjyH,OAAS0lH,EACxB58D,EAAUghB,QAAQ2G,EAAQwhD,OAAOrxH,MAAM8kH,GAAOj1C,IAG9C3nB,EAAUmvE,YACZxnD,EAAQwhD,OAASnpE,EAAUmvE,UAAUxnD,EAAQwhD,OAAQxhD,GAEzD,CAuCA,SAAS4lD,IACHhO,EAAM7qG,QAAQ04G,GAAe7N,EAAMlrG,OAAS,IAC9CkrG,EAAMlrG,OAAS+4G,EAAY7N,EAAM7qG,MACjC6qG,EAAMhlG,QAAU6yG,EAAY7N,EAAM7qG,MAAQ,EAE9C,CACF,CCleO,MAAM06G,GAAgB,CAC3Bl5H,KAAM,gBACNupG,SAOF,SAA+B/2B,EAASwQ,EAAIsxC,GAC1C,IAEInmB,EAFA13F,EAAO,EAGX,OAYA,SAAe+B,GAGb,OAFAg6D,EAAQu/C,MAAM,iBAehB,SAAgBv5G,GAEd,OADA21F,EAAS31F,EACFg+G,EAAQh+G,EACjB,CAhBS2xF,CAAO3xF,EAChB,EA2BA,SAASg+G,EAAQh+G,GACf,OAAIA,IAAS21F,GACX37B,EAAQu/C,MAAM,yBACPoH,EAAS3gH,IAEd/B,GAAQ,IAAe,OAAT+B,GAAiBg5G,EAAmBh5G,KACpDg6D,EAAQy/C,KAAK,iBACNjvC,EAAGxqE,IAEL87G,EAAI97G,EACb,CAYA,SAAS2gH,EAAS3gH,GAChB,OAAIA,IAAS21F,GACX37B,EAAQw/C,QAAQx5G,GAChB/B,IACO0iH,IAET3mD,EAAQy/C,KAAK,yBACNP,EAAcl5G,GACjBq5G,GAAar/C,EAASgkD,EAAS,aAA/B3E,CAA6Cr5G,GAC7Cg+G,EAAQh+G,GACd,CACF,GCpFO,MAAMvJ,GAAO,CAClBjP,KAAM,OACNupG,SA0BF,SAA2B/2B,EAASwQ,EAAIsxC,GACtC,MAAMnlC,EAAOnzE,KACPwjE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAC9C,IAAIo4H,EACF55C,GAAyB,eAAjBA,EAAK,GAAGv9E,KACZu9E,EAAK,GAAGu2C,eAAev2C,EAAK,IAAI,GAAMx+E,OACtC,EACFyV,EAAO,EACX,OAGA,SAAe+B,GACb,MAAM3J,EACJsgF,EAAKwjC,eAAe1wH,OACV,KAATuW,GAAwB,KAATA,GAAwB,KAATA,EAC3B,gBACA,eACN,GACW,kBAAT3J,GACKsgF,EAAKwjC,eAAexkB,QAAU31F,IAAS22E,EAAKwjC,eAAexkB,OAC5DkjB,EAAW74G,GACf,CAOA,GANK22E,EAAKwjC,eAAe1wH,OACvBktF,EAAKwjC,eAAe1wH,KAAO4M,EAC3B2jE,EAAQu/C,MAAMljH,EAAM,CAClBqmH,YAAY,KAGH,kBAATrmH,EAEF,OADA2jE,EAAQu/C,MAAM,kBACE,KAATv5G,GAAwB,KAATA,EAClBg6D,EAAQ12D,MAAMo9G,GAAe5E,EAAK+E,EAAlC7mD,CAA4Ch6D,GAC5C6gH,EAAS7gH,GAEf,IAAK22E,EAAKqkC,WAAsB,KAATh7G,EAGrB,OAFAg6D,EAAQu/C,MAAM,kBACdv/C,EAAQu/C,MAAM,iBACPpgC,EAAOn5E,EAElB,CACA,OAAO87G,EAAI97G,EACb,EAGA,SAASm5E,EAAOn5E,GACd,OAAI64G,EAAW74G,MAAW/B,EAAO,IAC/B+7D,EAAQw/C,QAAQx5G,GACTm5E,KAGLxC,EAAKqkC,WAAa/8G,EAAO,KAC1B04E,EAAKwjC,eAAexkB,OACjB31F,IAAS22E,EAAKwjC,eAAexkB,OACpB,KAAT31F,GAAwB,KAATA,IAEnBg6D,EAAQy/C,KAAK,iBACNoH,EAAS7gH,IAEX87G,EAAI97G,EACb,CAKA,SAAS6gH,EAAS7gH,GAKhB,OAJAg6D,EAAQu/C,MAAM,kBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,kBACb9iC,EAAKwjC,eAAexkB,OAAShf,EAAKwjC,eAAexkB,QAAU31F,EACpDg6D,EAAQ12D,MACb04G,GAEArlC,EAAKqkC,UAAYc,EAAMgF,EACvB9mD,EAAQ2/C,QACNoH,GACAC,EACAC,GAGN,CAGA,SAASH,EAAQ9gH,GAGf,OAFA22E,EAAKwjC,eAAe+G,kBAAmB,EACvCN,IACOI,EAAYhhH,EACrB,CAGA,SAASihH,EAAYjhH,GACnB,OAAIk5G,EAAcl5G,IAChBg6D,EAAQu/C,MAAM,4BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,4BACNuH,GAEFlF,EAAI97G,EACb,CAGA,SAASghH,EAAYhhH,GAInB,OAHA22E,EAAKwjC,eAAel8G,KAClB2iH,EACAjqC,EAAK4mC,eAAevjD,EAAQy/C,KAAK,mBAAmB,GAAMjxH,OACrDgiF,EAAGxqE,EACZ,CACF,EAnIE8oF,aAAc,CACZiI,SAwIJ,SAAkC/2B,EAASwQ,EAAIsxC,GAC7C,MAAMnlC,EAAOnzE,KAEb,OADAmzE,EAAKwjC,eAAeG,gBAAalvH,EAC1B4uE,EAAQ12D,MAAM04G,GAAW8E,EAASK,GAGzC,SAASL,EAAQ9gH,GAOf,OANA22E,EAAKwjC,eAAeiH,kBAClBzqC,EAAKwjC,eAAeiH,mBACpBzqC,EAAKwjC,eAAe+G,iBAIf7H,GACLr/C,EACAwQ,EACA,iBACAmM,EAAKwjC,eAAel8G,KAAO,EAJtBo7G,CAKLr5G,EACJ,CAGA,SAASmhH,EAASnhH,GAChB,OAAI22E,EAAKwjC,eAAeiH,oBAAsBlI,EAAcl5G,IAC1D22E,EAAKwjC,eAAeiH,uBAAoBh2H,EACxCurF,EAAKwjC,eAAe+G,sBAAmB91H,EAChCi2H,EAAiBrhH,KAE1B22E,EAAKwjC,eAAeiH,uBAAoBh2H,EACxCurF,EAAKwjC,eAAe+G,sBAAmB91H,EAChC4uE,EAAQ2/C,QAAQ2H,GAAiB92C,EAAI62C,EAArCrnD,CAAuDh6D,GAChE,CAGA,SAASqhH,EAAiBrhH,GAOxB,OALA22E,EAAKwjC,eAAeG,YAAa,EAEjC3jC,EAAKqkC,eAAY5vH,EAGViuH,GACLr/C,EACAA,EAAQ2/C,QAAQljH,GAAM+zE,EAAIsxC,GAC1B,aACAnlC,EAAKnxD,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,qBACzCtmD,EACA,EANCiuH,CAOLr5G,EACJ,CACF,GAxLEy5G,KAsNF,SAAyBz/C,GACvBA,EAAQy/C,KAAKj2G,KAAK22G,eAAe1wH,KACnC,GApNMs3H,GAAoC,CACxChwB,SAyNF,SAA0C/2B,EAASwQ,EAAIsxC,GACrD,MAAMnlC,EAAOnzE,KAIb,OAAO61G,GACLr/C,GASF,SAAqBh6D,GACnB,MAAMgnE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAC9C,OAAQ0wH,EAAcl5G,IACpBgnE,GACiB,6BAAjBA,EAAK,GAAGv9E,KACN+gF,EAAGxqE,GACH87G,EAAI97G,EACV,GAdE,2BACA22E,EAAKnxD,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,qBACzCtmD,EACA,EAYR,EA/OE6wH,SAAS,GAILqF,GAAkB,CACtBvwB,SAmLF,SAAwB/2B,EAASwQ,EAAIsxC,GACnC,MAAMnlC,EAAOnzE,KACb,OAAO61G,GACLr/C,GAOF,SAAqBh6D,GACnB,MAAMgnE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAC9C,OAAOw+E,GACY,mBAAjBA,EAAK,GAAGv9E,MACRu9E,EAAK,GAAGu2C,eAAev2C,EAAK,IAAI,GAAMx+E,SAAWmuF,EAAKwjC,eAAel8G,KACnEusE,EAAGxqE,GACH87G,EAAI97G,EACV,GAZE,iBACA22E,EAAKwjC,eAAel8G,KAAO,EAY/B,EApMEg+G,SAAS,GCvBJ,MAAMsF,GAAa,CACxB/5H,KAAM,aACNupG,SAWF,SAAiC/2B,EAASwQ,EAAIsxC,GAC5C,MAAMnlC,EAAOnzE,KACb,OAYA,SAAexD,GACb,GAAa,KAATA,EAAa,CACf,MAAM6rB,EAAQ8qD,EAAKwjC,eAWnB,OAVKtuF,EAAMjvB,OACTo9D,EAAQu/C,MAAM,aAAc,CAC1BmD,YAAY,IAEd7wF,EAAMjvB,MAAO,GAEfo9D,EAAQu/C,MAAM,oBACdv/C,EAAQu/C,MAAM,oBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oBACN5nB,CACT,CACA,OAAOiqB,EAAI97G,EACb,EAYA,SAAS6xF,EAAM7xF,GACb,OAAIk5G,EAAcl5G,IAChBg6D,EAAQu/C,MAAM,8BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,8BACbz/C,EAAQy/C,KAAK,oBACNjvC,IAETxQ,EAAQy/C,KAAK,oBACNjvC,EAAGxqE,GACZ,CACF,EA/DE8oF,aAAc,CACZiI,SA4EJ,SAAwC/2B,EAASwQ,EAAIsxC,GACnD,MAAMnlC,EAAOnzE,KACb,OAeA,SAAmBxD,GACjB,GAAIk5G,EAAcl5G,GAGhB,OAAOq5G,GACLr/C,EACAwnD,EACA,aACA7qC,EAAKnxD,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,qBACzCtmD,EACA,EANCiuH,CAOLr5G,GAEJ,OAAOwhH,EAAWxhH,EACpB,EAeA,SAASwhH,EAAWxhH,GAClB,OAAOg6D,EAAQ2/C,QAAQ4H,GAAY/2C,EAAIsxC,EAAhC9hD,CAAqCh6D,EAC9C,CACF,GA3HEy5G,KA8HF,SAAcz/C,GACZA,EAAQy/C,KAAK,aACf,GCjGO,SAASgI,GACdznD,EACAwQ,EACAsxC,EACAryH,EACAi4H,EACAC,EACAC,EACAC,EACA/qH,GAEA,MAAMwiH,EAAQxiH,GAAO3K,OAAOkqH,kBAC5B,IAAIyL,EAAU,EACd,OAcA,SAAe9hH,GACb,GAAa,KAATA,EAMF,OALAg6D,EAAQu/C,MAAM9vH,GACduwE,EAAQu/C,MAAMmI,GACd1nD,EAAQu/C,MAAMoI,GACd3nD,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAKkI,GACNI,EAIT,GAAa,OAAT/hH,GAA0B,KAATA,GAAwB,KAATA,GAAe44G,EAAa54G,GAC9D,OAAO87G,EAAI97G,GAQb,OANAg6D,EAAQu/C,MAAM9vH,GACduwE,EAAQu/C,MAAMqI,GACd5nD,EAAQu/C,MAAMsI,GACd7nD,EAAQu/C,MAAM,cAAe,CAC3BzsB,YAAa,WAERk1B,EAAIhiH,EACb,EAYA,SAAS+hH,EAAe/hH,GACtB,OAAa,KAATA,GACFg6D,EAAQu/C,MAAMoI,GACd3nD,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAKkI,GACb3nD,EAAQy/C,KAAKiI,GACb1nD,EAAQy/C,KAAKhwH,GACN+gF,IAETxQ,EAAQu/C,MAAMsI,GACd7nD,EAAQu/C,MAAM,cAAe,CAC3BzsB,YAAa,WAERm1B,EAASjiH,GAClB,CAYA,SAASiiH,EAASjiH,GAChB,OAAa,KAATA,GACFg6D,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAKoI,GACNE,EAAe/hH,IAEX,OAATA,GAA0B,KAATA,GAAeg5G,EAAmBh5G,GAC9C87G,EAAI97G,IAEbg6D,EAAQw/C,QAAQx5G,GACA,KAATA,EAAckiH,EAAiBD,EACxC,CAYA,SAASC,EAAeliH,GACtB,OAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,GAChCg6D,EAAQw/C,QAAQx5G,GACTiiH,GAEFA,EAASjiH,EAClB,CAYA,SAASgiH,EAAIhiH,GACX,OACG8hH,GACS,OAAT9hH,GAA0B,KAATA,IAAei5G,EAA0Bj5G,GAQzD8hH,EAAUxI,GAAkB,KAATt5G,GACrBg6D,EAAQw/C,QAAQx5G,GAChB8hH,IACOE,GAEI,KAAThiH,GACFg6D,EAAQw/C,QAAQx5G,GAChB8hH,IACOE,GAMI,OAAThiH,GAA0B,KAATA,GAAwB,KAATA,GAAe44G,EAAa54G,GACvD87G,EAAI97G,IAEbg6D,EAAQw/C,QAAQx5G,GACA,KAATA,EAAcmiH,EAAYH,IAxB/BhoD,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAKoI,GACb7nD,EAAQy/C,KAAKmI,GACb5nD,EAAQy/C,KAAKhwH,GACN+gF,EAAGxqE,GAqBd,CAYA,SAASmiH,EAAUniH,GACjB,OAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,GAChCg6D,EAAQw/C,QAAQx5G,GACTgiH,GAEFA,EAAIhiH,EACb,CACF,CCzLO,SAASoiH,GAAapoD,EAASwQ,EAAIsxC,EAAKryH,EAAM44H,EAAYR,GAC/D,MAAMlrC,EAAOnzE,KACb,IAEIq4G,EAFA59G,EAAO,EAGX,OAYA,SAAe+B,GAMb,OALAg6D,EAAQu/C,MAAM9vH,GACduwE,EAAQu/C,MAAM8I,GACdroD,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK4I,GACbroD,EAAQu/C,MAAMsI,GACP7D,CACT,EAYA,SAASA,EAAQh+G,GACf,OACE/B,EAAO,KACE,OAAT+B,GACS,KAATA,GACU,KAATA,IAAgB67G,GAMP,KAAT77G,IACE/B,GACD,2BAA4B04E,EAAKnxD,OAAO8yF,WAEnCwD,EAAI97G,GAEA,KAATA,GACFg6D,EAAQy/C,KAAKoI,GACb7nD,EAAQu/C,MAAM8I,GACdroD,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK4I,GACbroD,EAAQy/C,KAAKhwH,GACN+gF,GAILwuC,EAAmBh5G,IACrBg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNuE,IAEThkD,EAAQu/C,MAAM,cAAe,CAC3BzsB,YAAa,WAERw1B,EAAYtiH,GACrB,CAYA,SAASsiH,EAAYtiH,GACnB,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACAg5G,EAAmBh5G,IACnB/B,IAAS,KAET+7D,EAAQy/C,KAAK,eACNuE,EAAQh+G,KAEjBg6D,EAAQw/C,QAAQx5G,GACX67G,IAAMA,GAAQ3C,EAAcl5G,IACjB,KAATA,EAAcuiH,EAAcD,EACrC,CAYA,SAASC,EAAYviH,GACnB,OAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,GAChCg6D,EAAQw/C,QAAQx5G,GAChB/B,IACOqkH,GAEFA,EAAYtiH,EACrB,CACF,CCtHO,SAASwiH,GAAaxoD,EAASwQ,EAAIsxC,EAAKryH,EAAM44H,EAAYR,GAE/D,IAAIlsB,EACJ,OAYA,SAAe31F,GACb,GAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,EAMhC,OALAg6D,EAAQu/C,MAAM9vH,GACduwE,EAAQu/C,MAAM8I,GACdroD,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK4I,GACb1sB,EAAkB,KAAT31F,EAAc,GAAKA,EACrBkJ,EAET,OAAO4yG,EAAI97G,EACb,EAcA,SAASkJ,EAAMlJ,GACb,OAAIA,IAAS21F,GACX37B,EAAQu/C,MAAM8I,GACdroD,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK4I,GACbroD,EAAQy/C,KAAKhwH,GACN+gF,IAETxQ,EAAQu/C,MAAMsI,GACP7D,EAAQh+G,GACjB,CAYA,SAASg+G,EAAQh+G,GACf,OAAIA,IAAS21F,GACX37B,EAAQy/C,KAAKoI,GACN34G,EAAMysF,IAEF,OAAT31F,EACK87G,EAAI97G,GAITg5G,EAAmBh5G,IAErBg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNJ,GAAar/C,EAASgkD,EAAS,gBAExChkD,EAAQu/C,MAAM,cAAe,CAC3BzsB,YAAa,WAER3T,EAAOn5E,GAChB,CAOA,SAASm5E,EAAOn5E,GACd,OAAIA,IAAS21F,GAAmB,OAAT31F,GAAiBg5G,EAAmBh5G,IACzDg6D,EAAQy/C,KAAK,eACNuE,EAAQh+G,KAEjBg6D,EAAQw/C,QAAQx5G,GACA,KAATA,EAAc80E,EAASqE,EAChC,CAYA,SAASrE,EAAO90E,GACd,OAAIA,IAAS21F,GAAmB,KAAT31F,GACrBg6D,EAAQw/C,QAAQx5G,GACTm5E,GAEFA,EAAOn5E,EAChB,CACF,CClIO,SAASyiH,GAAkBzoD,EAASwQ,GAEzC,IAAIqxC,EACJ,OAGA,SAAS7yH,EAAMgX,GACb,GAAIg5G,EAAmBh5G,GAKrB,OAJAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACboC,GAAO,EACA7yH,EAET,GAAIkwH,EAAcl5G,GAChB,OAAOq5G,GACLr/C,EACAhxE,EACA6yH,EAAO,aAAe,aAHjBxC,CAILr5G,GAEJ,OAAOwqE,EAAGxqE,EACZ,CACF,CC7BO,SAAS0iH,GAAoB/6H,GAClC,OACEA,EAEGgG,QAAQ,cAAe,KAEvBA,QAAQ,SAAU,IAOlBnD,cACAsD,aAEP,CChBO,MAAMmV,GAAa,CACxBzb,KAAM,aACNupG,SAaF,SAA4B/2B,EAASwQ,EAAIsxC,GACvC,MAAMnlC,EAAOnzE,KAEb,IAAIy3E,EACJ,OAYA,SAAej7E,GAKb,OADAg6D,EAAQu/C,MAAM,cAchB,SAAgBv5G,GAGd,OAAOoiH,GAAat7H,KAClB6vF,EACA3c,EACA2oD,EAEA7G,EACA,kBACA,wBACA,wBARKsG,CASLpiH,EACJ,CA1BS2xF,CAAO3xF,EAChB,EAqCA,SAAS2iH,EAAW3iH,GAIlB,OAHAi7E,EAAaynC,GACX/rC,EAAK4mC,eAAe5mC,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAAG,IAAIY,MAAM,GAAI,IAE3D,KAAT4W,GACFg6D,EAAQu/C,MAAM,oBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oBACNmJ,GAEF9G,EAAI97G,EACb,CAYA,SAAS4iH,EAAY5iH,GAEnB,OAAOi5G,EAA0Bj5G,GAC7ByiH,GAAkBzoD,EAAS6oD,EAA3BJ,CAA8CziH,GAC9C6iH,EAAkB7iH,EACxB,CAYA,SAAS6iH,EAAkB7iH,GACzB,OAAOyhH,GACLznD,EACA8oD,EAEAhH,EACA,wBACA,+BACA,qCACA,2BACA,8BATK2F,CAULzhH,EACJ,CAYA,SAAS8iH,EAAiB9iH,GACxB,OAAOg6D,EAAQ2/C,QAAQoJ,GAAalxB,EAAOA,EAApC73B,CAA2Ch6D,EACpD,CAcA,SAAS6xF,EAAM7xF,GACb,OAAOk5G,EAAcl5G,GACjBq5G,GAAar/C,EAASgpD,EAAiB,aAAvC3J,CAAqDr5G,GACrDgjH,EAAgBhjH,EACtB,CAcA,SAASgjH,EAAgBhjH,GACvB,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,cAKb9iC,EAAKnxD,OAAOtiB,QAAQ1Z,KAAKyxF,GAKlBzQ,EAAGxqE,IAEL87G,EAAI97G,EACb,CACF,GAtLM+iH,GAAc,CAClBhyB,SA2LF,SAA6B/2B,EAASwQ,EAAIsxC,GACxC,OAcA,SAAqB97G,GACnB,OAAOi5G,EAA0Bj5G,GAC7ByiH,GAAkBzoD,EAASipD,EAA3BR,CAAyCziH,GACzC87G,EAAI97G,EACV,EAaA,SAASijH,EAAajjH,GACpB,OAAOwiH,GACLxoD,EACAkpD,EACApH,EACA,kBACA,wBACA,wBANK0G,CAOLxiH,EACJ,CAYA,SAASkjH,EAAWljH,GAClB,OAAOk5G,EAAcl5G,GACjBq5G,GAAar/C,EAASmpD,EAA8B,aAApD9J,CAAkEr5G,GAClEmjH,EAA6BnjH,EACnC,CAYA,SAASmjH,EAA6BnjH,GACpC,OAAgB,OAATA,GAAiBg5G,EAAmBh5G,GAAQwqE,EAAGxqE,GAAQ87G,EAAI97G,EACpE,CACF,EAlQEi8G,SAAS,GCjBJ,MAAMmH,GAAe,CAC1B57H,KAAM,eACNupG,SAaF,SAA8B/2B,EAASwQ,EAAIsxC,GACzC,MAAMnlC,EAAOnzE,KACb,OAgBA,SAAexD,GAMb,OAHAg6D,EAAQu/C,MAAM,gBAGPF,GAAar/C,EAASqpD,EAAa,aAAc,EAAjDhK,CAAwDr5G,EACjE,EAYA,SAASqjH,EAAYrjH,GACnB,MAAMgnE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAC9C,OAAOw+E,GACY,eAAjBA,EAAK,GAAGv9E,MACRu9E,EAAK,GAAGu2C,eAAev2C,EAAK,IAAI,GAAMx+E,QAAU,EAC9Cw1H,EAAQh+G,GACR87G,EAAI97G,EACV,CAYA,SAASg+G,EAAQh+G,GACf,OAAa,OAATA,EACK6xF,EAAM7xF,GAEXg5G,EAAmBh5G,GACdg6D,EAAQ2/C,QAAQ2J,GAActF,EAASnsB,EAAvC73B,CAA8Ch6D,IAEvDg6D,EAAQu/C,MAAM,iBACPpgC,EAAOn5E,GAChB,CAYA,SAASm5E,EAAOn5E,GACd,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,iBACNuE,EAAQh+G,KAEjBg6D,EAAQw/C,QAAQx5G,GACTm5E,EACT,CAGA,SAAS0Y,EAAM7xF,GAKb,OAJAg6D,EAAQy/C,KAAK,gBAINjvC,EAAGxqE,EACZ,CACF,GAvGMsjH,GAAe,CACnBvyB,SA4GF,SAA8B/2B,EAASwQ,EAAIsxC,GACzC,MAAMnlC,EAAOnzE,KACb,OAAO8/G,EAaP,SAASA,EAAatjH,GAGpB,OAAI22E,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,MACvB81G,EAAI97G,GAETg5G,EAAmBh5G,IACrBg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACN6J,GASFjK,GAAar/C,EAASqpD,EAAa,aAAc,EAAjDhK,CAAwDr5G,EACjE,CAYA,SAASqjH,EAAYrjH,GACnB,MAAMgnE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAC9C,OAAOw+E,GACY,eAAjBA,EAAK,GAAGv9E,MACRu9E,EAAK,GAAGu2C,eAAev2C,EAAK,IAAI,GAAMx+E,QAAU,EAC9CgiF,EAAGxqE,GACHg5G,EAAmBh5G,GACnBsjH,EAAatjH,GACb87G,EAAI97G,EACV,CACF,EApKEi8G,SAAS,GCDJ,MAAMsH,GAAa,CACxB/7H,KAAM,aACNupG,SA2DF,SAA4B/2B,EAASwQ,EAAIsxC,GACvC,IAAI79G,EAAO,EACX,OAYA,SAAe+B,GAGb,OADAg6D,EAAQu/C,MAAM,cAchB,SAAgBv5G,GAEd,OADAg6D,EAAQu/C,MAAM,sBACPiK,EAAaxjH,EACtB,CAhBS2xF,CAAO3xF,EAChB,EA2BA,SAASwjH,EAAaxjH,GACpB,OAAa,KAATA,GAAe/B,IAAS,GAC1B+7D,EAAQw/C,QAAQx5G,GACTwjH,GAII,OAATxjH,GAAiBi5G,EAA0Bj5G,IAC7Cg6D,EAAQy/C,KAAK,sBACNuE,EAAQh+G,IAEV87G,EAAI97G,EACb,CAYA,SAASg+G,EAAQh+G,GACf,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,sBACPkK,EAAgBzjH,IAEZ,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,cAINjvC,EAAGxqE,IAERk5G,EAAcl5G,GACTq5G,GAAar/C,EAASgkD,EAAS,aAA/B3E,CAA6Cr5G,IAKtDg6D,EAAQu/C,MAAM,kBACPpsH,EAAK6S,GACd,CAcA,SAASyjH,EAAgBzjH,GACvB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTyjH,IAETzpD,EAAQy/C,KAAK,sBACNuE,EAAQh+G,GACjB,CAYA,SAAS7S,EAAK6S,GACZ,OAAa,OAATA,GAA0B,KAATA,GAAei5G,EAA0Bj5G,IAC5Dg6D,EAAQy/C,KAAK,kBACNuE,EAAQh+G,KAEjBg6D,EAAQw/C,QAAQx5G,GACT7S,EACT,CACF,EA5LEmlE,QAIF,SAA2BmoD,EAAQxhD,GACjC,IAGI/tE,EAEA6W,EALAo7G,EAAa1C,EAAOjyH,OAAS,EAC7BkxH,EAAe,EAOkB,eAAjCe,EAAOf,GAAc,GAAGjwH,OAC1BiwH,GAAgB,GAKhByD,EAAa,EAAIzD,GACc,eAA/Be,EAAO0C,GAAY,GAAG1zH,OAEtB0zH,GAAc,GAGiB,uBAA/B1C,EAAO0C,GAAY,GAAG1zH,OACrBiwH,IAAiByD,EAAa,GAC5BA,EAAa,EAAIzD,GACmB,eAAnCe,EAAO0C,EAAa,GAAG,GAAG1zH,QAE9B0zH,GAAczD,EAAe,IAAMyD,EAAa,EAAI,GAElDA,EAAazD,IACfxuH,EAAU,CACRzB,KAAM,iBACNT,MAAOyxH,EAAOf,GAAc,GAAG1wH,MAC/B8D,IAAK2tH,EAAO0C,GAAY,GAAGrwH,KAE7BiV,EAAO,CACLtY,KAAM,YACNT,MAAOyxH,EAAOf,GAAc,GAAG1wH,MAC/B8D,IAAK2tH,EAAO0C,GAAY,GAAGrwH,IAC3BggG,YAAa,QAEfl/D,EAAO6sF,EAAQf,EAAcyD,EAAazD,EAAe,EAAG,CAC1D,CAAC,QAASxuH,EAAS+tE,GACnB,CAAC,QAASl3D,EAAMk3D,GAChB,CAAC,OAAQl3D,EAAMk3D,GACf,CAAC,OAAQ/tE,EAAS+tE,MAGtB,OAAOwhD,CACT,GC5DO,MAAMiJ,GAAkB,CAC7Bl8H,KAAM,kBACNupG,SAkEF,SAAiC/2B,EAASwQ,EAAIsxC,GAC5C,MAAMnlC,EAAOnzE,KAEb,IAAImyF,EACJ,OAaA,SAAe31F,GACb,IAEI2jH,EAFAt6H,EAAQstF,EAAK8jC,OAAOjyH,OAIxB,KAAOa,KAGL,GACiC,eAA/BstF,EAAK8jC,OAAOpxH,GAAO,GAAGI,MACS,eAA/BktF,EAAK8jC,OAAOpxH,GAAO,GAAGI,MACS,YAA/BktF,EAAK8jC,OAAOpxH,GAAO,GAAGI,KACtB,CACAk6H,EAA2C,cAA/BhtC,EAAK8jC,OAAOpxH,GAAO,GAAGI,KAClC,KACF,CAKF,IAAKktF,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,QAAU2wE,EAAKqkC,WAAa2I,GAG3D,OAFA3pD,EAAQu/C,MAAM,qBACd5jB,EAAS31F,EAiBb,SAAgBA,GAEd,OADAg6D,EAAQu/C,MAAM,6BACPpgC,EAAOn5E,EAChB,CAnBW2xF,CAAO3xF,GAEhB,OAAO87G,EAAI97G,EACb,EA6BA,SAASm5E,EAAOn5E,GACd,OAAIA,IAAS21F,GACX37B,EAAQw/C,QAAQx5G,GACTm5E,IAETnf,EAAQy/C,KAAK,6BACNP,EAAcl5G,GACjBq5G,GAAar/C,EAAS63B,EAAO,aAA7BwnB,CAA2Cr5G,GAC3C6xF,EAAM7xF,GACZ,CAaA,SAAS6xF,EAAM7xF,GACb,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,qBACNjvC,EAAGxqE,IAEL87G,EAAI97G,EACb,CACF,EAtKEygH,UAIF,SAAkChG,EAAQxhD,GAExC,IAEI/tE,EAEA6W,EAEAkB,EANA5Z,EAAQoxH,EAAOjyH,OAUnB,KAAOa,KACL,GAAyB,UAArBoxH,EAAOpxH,GAAO,GAAgB,CAChC,GAA8B,YAA1BoxH,EAAOpxH,GAAO,GAAGI,KAAoB,CACvCyB,EAAU7B,EACV,KACF,CAC8B,cAA1BoxH,EAAOpxH,GAAO,GAAGI,OACnBsY,EAAO1Y,EAEX,KAGgC,YAA1BoxH,EAAOpxH,GAAO,GAAGI,MAEnBgxH,EAAO7sF,OAAOvkC,EAAO,GAElB4Z,GAAwC,eAA1Bw3G,EAAOpxH,GAAO,GAAGI,OAClCwZ,EAAa5Z,GAInB,MAAMysG,EAAU,CACdrsG,KAAM,gBACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO14G,GAAM,GAAG/Y,OACzC8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOA,EAAOjyH,OAAS,GAAG,GAAGsE,MAItD2tH,EAAO14G,GAAM,GAAGtY,KAAO,oBAInBwZ,GACFw3G,EAAO7sF,OAAO7rB,EAAM,EAAG,CAAC,QAAS+zF,EAAS78B,IAC1CwhD,EAAO7sF,OAAO3qB,EAAa,EAAG,EAAG,CAAC,OAAQw3G,EAAOvvH,GAAS,GAAI+tE,IAC9DwhD,EAAOvvH,GAAS,GAAG4B,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOx3G,GAAY,GAAGnW,MAEjE2tH,EAAOvvH,GAAS,GAAK4qG,EAKvB,OADA2kB,EAAOjxH,KAAK,CAAC,OAAQssG,EAAS78B,IACvBwhD,CACT,GC3DO,MAAMmJ,GAAiB,CAC5B,UACA,UACA,QACA,OACA,WACA,aACA,OACA,UACA,SACA,MACA,WACA,KACA,UACA,SACA,MACA,MACA,KACA,KACA,WACA,aACA,SACA,SACA,OACA,QACA,WACA,KACA,KACA,KACA,KACA,KACA,KACA,OACA,SACA,KACA,OACA,SACA,SACA,KACA,OACA,OACA,OACA,WACA,MACA,WACA,KACA,WACA,SACA,IACA,QACA,SACA,UACA,UACA,QACA,QACA,KACA,QACA,KACA,QACA,QACA,KACA,QACA,MAeWC,GAAe,CAAC,MAAO,SAAU,QAAS,YCxE1CC,GAAW,CACtBt8H,KAAM,WACNupG,SAsCF,SAA0B/2B,EAASwQ,EAAIsxC,GACrC,MAAMnlC,EAAOnzE,KAEb,IAAImyF,EAEAouB,EAEAlQ,EAEAxqH,EAEA26H,EACJ,OAYA,SAAehkH,GAEb,OAaF,SAAgBA,GAId,OAHAg6D,EAAQu/C,MAAM,YACdv/C,EAAQu/C,MAAM,gBACdv/C,EAAQw/C,QAAQx5G,GACTpD,CACT,CAlBS+0F,CAAO3xF,EAChB,EAiCA,SAASpD,EAAKoD,GACZ,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTikH,GAEI,KAATjkH,GACFg6D,EAAQw/C,QAAQx5G,GAChB+jH,GAAa,EACNG,GAEI,KAATlkH,GACFg6D,EAAQw/C,QAAQx5G,GAChB21F,EAAS,EAMFhf,EAAKqkC,UAAYxwC,EAAK25C,GAI3B3L,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GAEhB6zG,EAAS9nH,OAAOwe,aAAavK,GACtBtW,GAEFoyH,EAAI97G,EACb,CAgBA,SAASikH,EAAgBjkH,GACvB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAChB21F,EAAS,EACFyuB,GAEI,KAATpkH,GACFg6D,EAAQw/C,QAAQx5G,GAChB21F,EAAS,EACTtsG,EAAQ,EACDg7H,GAIL7L,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GAChB21F,EAAS,EAGFhf,EAAKqkC,UAAYxwC,EAAK25C,GAExBrI,EAAI97G,EACb,CAYA,SAASokH,EAAkBpkH,GACzB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAGT22E,EAAKqkC,UAAYxwC,EAAK25C,GAExBrI,EAAI97G,EACb,CAYA,SAASqkH,EAAgBrkH,GACvB,MAAMrY,EAAQ,SACd,OAAIqY,IAASrY,EAAM4f,WAAWle,MAC5B2wE,EAAQw/C,QAAQx5G,GACFrY,IAAV0B,EAGKstF,EAAKqkC,UAAYxwC,EAAKse,EAExBu7B,GAEFvI,EAAI97G,EACb,CAYA,SAASkkH,EAAclkH,GACrB,OAAIw4G,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GAEhB6zG,EAAS9nH,OAAOwe,aAAavK,GACtBtW,GAEFoyH,EAAI97G,EACb,CAcA,SAAStW,EAAQsW,GACf,GACW,OAATA,GACS,KAATA,GACS,KAATA,GACAi5G,EAA0Bj5G,GAC1B,CACA,MAAMskH,EAAiB,KAATtkH,EACRxY,EAAOqsH,EAAOrpH,cACpB,OAAK85H,GAAUP,IAAcF,GAAanyE,SAASlqD,GAM/Co8H,GAAelyE,SAASmiE,EAAOrpH,gBACjCmrG,EAAS,EACL2uB,GACFtqD,EAAQw/C,QAAQx5G,GACTukH,GAKF5tC,EAAKqkC,UAAYxwC,EAAGxqE,GAAQ8oF,EAAa9oF,KAElD21F,EAAS,EAEFhf,EAAKqkC,YAAcrkC,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,MAClD81G,EAAI97G,GACJ+jH,EACAS,EAAwBxkH,GACxBykH,EAA4BzkH,KAtB9B21F,EAAS,EAGFhf,EAAKqkC,UAAYxwC,EAAGxqE,GAAQ8oF,EAAa9oF,GAoBpD,CAGA,OAAa,KAATA,GAAe04G,EAAkB14G,IACnCg6D,EAAQw/C,QAAQx5G,GAChB6zG,GAAU9nH,OAAOwe,aAAavK,GACvBtW,GAEFoyH,EAAI97G,EACb,CAYA,SAASukH,EAAiBvkH,GACxB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAGT22E,EAAKqkC,UAAYxwC,EAAKse,GAExBgzB,EAAI97G,EACb,CAYA,SAASwkH,EAAwBxkH,GAC/B,OAAIk5G,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACTwkH,GAEFE,EAAY1kH,EACrB,CAyBA,SAASykH,EAA4BzkH,GACnC,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT0kH,GAII,KAAT1kH,GAAwB,KAATA,GAAew4G,EAAWx4G,IAC3Cg6D,EAAQw/C,QAAQx5G,GACT2kH,GAELzL,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACTykH,GAEFC,EAAY1kH,EACrB,CAgBA,SAAS2kH,EAAsB3kH,GAE7B,OACW,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACA04G,EAAkB14G,IAElBg6D,EAAQw/C,QAAQx5G,GACT2kH,GAEFC,EAA2B5kH,EACpC,CAeA,SAAS4kH,EAA2B5kH,GAClC,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT6kH,GAEL3L,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACT4kH,GAEFH,EAA4BzkH,EACrC,CAeA,SAAS6kH,EAA6B7kH,GACpC,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,EAEO87G,EAAI97G,GAEA,KAATA,GAAwB,KAATA,GACjBg6D,EAAQw/C,QAAQx5G,GAChBgkH,EAAUhkH,EACH8kH,GAEL5L,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACT6kH,GAEFE,EAA+B/kH,EACxC,CAcA,SAAS8kH,EAA6B9kH,GACpC,OAAIA,IAASgkH,GACXhqD,EAAQw/C,QAAQx5G,GAChBgkH,EAAU,KACHgB,GAEI,OAAThlH,GAAiBg5G,EAAmBh5G,GAC/B87G,EAAI97G,IAEbg6D,EAAQw/C,QAAQx5G,GACT8kH,EACT,CAYA,SAASC,EAA+B/kH,GACtC,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACAi5G,EAA0Bj5G,GAEnB4kH,EAA2B5kH,IAEpCg6D,EAAQw/C,QAAQx5G,GACT+kH,EACT,CAaA,SAASC,EAAkChlH,GACzC,OAAa,KAATA,GAAwB,KAATA,GAAek5G,EAAcl5G,GACvCykH,EAA4BzkH,GAE9B87G,EAAI97G,EACb,CAYA,SAAS0kH,EAAY1kH,GACnB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTilH,GAEFnJ,EAAI97G,EACb,CAYA,SAASilH,EAAcjlH,GACrB,OAAa,OAATA,GAAiBg5G,EAAmBh5G,GAG/B8oF,EAAa9oF,GAElBk5G,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACTilH,GAEFnJ,EAAI97G,EACb,CAYA,SAAS8oF,EAAa9oF,GACpB,OAAa,KAATA,GAA0B,IAAX21F,GACjB37B,EAAQw/C,QAAQx5G,GACTklH,GAEI,KAATllH,GAA0B,IAAX21F,GACjB37B,EAAQw/C,QAAQx5G,GACTmlH,GAEI,KAATnlH,GAA0B,IAAX21F,GACjB37B,EAAQw/C,QAAQx5G,GACTolH,GAEI,KAATplH,GAA0B,IAAX21F,GACjB37B,EAAQw/C,QAAQx5G,GACTmkH,GAEI,KAATnkH,GAA0B,IAAX21F,GACjB37B,EAAQw/C,QAAQx5G,GACTqlH,IAELrM,EAAmBh5G,IAAqB,IAAX21F,GAA2B,IAAXA,EAQpC,OAAT31F,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,gBACN6L,EAAkBtlH,KAE3Bg6D,EAAQw/C,QAAQx5G,GACT8oF,IAZL9uB,EAAQy/C,KAAK,gBACNz/C,EAAQ12D,MACbiiH,GACAC,EACAF,EAHKtrD,CAILh6D,GAQN,CAaA,SAASslH,EAAkBtlH,GACzB,OAAOg6D,EAAQ12D,MACbmiH,GACAC,EACAF,EAHKxrD,CAILh6D,EACJ,CAaA,SAAS0lH,EAAyB1lH,GAIhC,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNkM,CACT,CAaA,SAASA,EAAmB3lH,GAC1B,OAAa,OAATA,GAAiBg5G,EAAmBh5G,GAC/BslH,EAAkBtlH,IAE3Bg6D,EAAQu/C,MAAM,gBACPzwB,EAAa9oF,GACtB,CAYA,SAASklH,EAA0BllH,GACjC,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTmkH,GAEFr7B,EAAa9oF,EACtB,CAYA,SAASmlH,EAAuBnlH,GAC9B,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAChB6zG,EAAS,GACF+R,GAEF98B,EAAa9oF,EACtB,CAYA,SAAS4lH,EAAsB5lH,GAC7B,GAAa,KAATA,EAAa,CACf,MAAMxY,EAAOqsH,EAAOrpH,cACpB,OAAIq5H,GAAanyE,SAASlqD,IACxBwyE,EAAQw/C,QAAQx5G,GACTolH,GAEFt8B,EAAa9oF,EACtB,CACA,OAAIw4G,EAAWx4G,IAAS6zG,EAAOrrH,OAAS,GACtCwxE,EAAQw/C,QAAQx5G,GAEhB6zG,GAAU9nH,OAAOwe,aAAavK,GACvB4lH,GAEF98B,EAAa9oF,EACtB,CAYA,SAASqlH,EAAwBrlH,GAC/B,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTmkH,GAEFr7B,EAAa9oF,EACtB,CAoBA,SAASmkH,EAA8BnkH,GACrC,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTolH,GAII,KAATplH,GAA0B,IAAX21F,GACjB37B,EAAQw/C,QAAQx5G,GACTmkH,GAEFr7B,EAAa9oF,EACtB,CAYA,SAASolH,EAAkBplH,GACzB,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,gBACN+L,EAAkBxlH,KAE3Bg6D,EAAQw/C,QAAQx5G,GACTolH,EACT,CAYA,SAASI,EAAkBxlH,GAMzB,OALAg6D,EAAQy/C,KAAK,YAKNjvC,EAAGxqE,EACZ,CACF,EArzBEygH,UAeF,SAA2BhG,GACzB,IAAIpxH,EAAQoxH,EAAOjyH,OACnB,KAAOa,MACoB,UAArBoxH,EAAOpxH,GAAO,IAA4C,aAA1BoxH,EAAOpxH,GAAO,GAAGI,QAInDJ,EAAQ,GAAmC,eAA9BoxH,EAAOpxH,EAAQ,GAAG,GAAGI,OAEpCgxH,EAAOpxH,GAAO,GAAGL,MAAQyxH,EAAOpxH,EAAQ,GAAG,GAAGL,MAE9CyxH,EAAOpxH,EAAQ,GAAG,GAAGL,MAAQyxH,EAAOpxH,EAAQ,GAAG,GAAGL,MAElDyxH,EAAO7sF,OAAOvkC,EAAQ,EAAG,IAE3B,OAAOoxH,CACT,EA9BEK,UAAU,GAINyK,GAAkB,CACtBx0B,SAk2BF,SAAiC/2B,EAASwQ,EAAIsxC,GAC5C,OAaA,SAAe97G,GAIb,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNz/C,EAAQ2/C,QAAQqC,GAAWxxC,EAAIsxC,EACxC,CACF,EAr3BEG,SAAS,GAELwJ,GAA2B,CAC/B10B,SAizBF,SAA0C/2B,EAASwQ,EAAIsxC,GACrD,MAAMnlC,EAAOnzE,KACb,OAaA,SAAexD,GACb,GAAIg5G,EAAmBh5G,GAIrB,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACN5nB,EAET,OAAOiqB,EAAI97G,EACb,EAaA,SAAS6xF,EAAM7xF,GACb,OAAO22E,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,MAAQ81G,EAAI97G,GAAQwqE,EAAGxqE,EAC5D,CACF,EAv1BEi8G,SAAS,GCvBX,MAAM4J,GAAsB,CAC1B90B,SAwbF,SAAqC/2B,EAASwQ,EAAIsxC,GAChD,MAAMnlC,EAAOnzE,KACb,OAOA,SAAexD,GACb,GAAa,OAATA,EACF,OAAO87G,EAAI97G,GAKb,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNI,CACT,EAOA,SAASA,EAAU75G,GACjB,OAAO22E,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,MAAQ81G,EAAI97G,GAAQwqE,EAAGxqE,EAC5D,CACF,EAldEi8G,SAAS,GAIE6J,GAAa,CACxBt+H,KAAM,aACNupG,SAQF,SAA4B/2B,EAASwQ,EAAIsxC,GACvC,MAAMnlC,EAAOnzE,KAEPuiH,EAAa,CACjBh1B,SA+SF,SAA4B/2B,EAASwQ,EAAIsxC,GACvC,IAAI79G,EAAO,EACX,OAAO+nH,EAOP,SAASA,EAAYhmH,GAInB,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNzwH,CACT,CAcA,SAASA,EAAMgX,GAKb,OADAg6D,EAAQu/C,MAAM,mBACPL,EAAcl5G,GACjBq5G,GACEr/C,EACAisD,EACA,aACAtvC,EAAKnxD,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,qBACzCtmD,EACA,EANNiuH,CAOEr5G,GACFimH,EAAoBjmH,EAC1B,CAcA,SAASimH,EAAoBjmH,GAC3B,OAAIA,IAAS21F,GACX37B,EAAQu/C,MAAM,2BACP2M,EAAclmH,IAEhB87G,EAAI97G,EACb,CAcA,SAASkmH,EAAclmH,GACrB,OAAIA,IAAS21F,GACX13F,IACA+7D,EAAQw/C,QAAQx5G,GACTkmH,GAELjoH,GAAQkoH,GACVnsD,EAAQy/C,KAAK,2BACNP,EAAcl5G,GACjBq5G,GAAar/C,EAASosD,EAAoB,aAA1C/M,CAAwDr5G,GACxDomH,EAAmBpmH,IAElB87G,EAAI97G,EACb,CAcA,SAASomH,EAAmBpmH,GAC1B,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,mBACNjvC,EAAGxqE,IAEL87G,EAAI97G,EACb,CACF,EA7ZEi8G,SAAS,GAEX,IAGItmB,EAHA0wB,EAAgB,EAChBF,EAAW,EAGf,OAcA,SAAenmH,GAEb,OAeF,SAA4BA,GAC1B,MAAMgnE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAS9C,OARA69H,EACEr/C,GAAyB,eAAjBA,EAAK,GAAGv9E,KACZu9E,EAAK,GAAGu2C,eAAev2C,EAAK,IAAI,GAAMx+E,OACtC,EACNmtG,EAAS31F,EACTg6D,EAAQu/C,MAAM,cACdv/C,EAAQu/C,MAAM,mBACdv/C,EAAQu/C,MAAM,2BACPiK,EAAaxjH,EACtB,CA1BSsmH,CAAmBtmH,EAC5B,EAuCA,SAASwjH,EAAaxjH,GACpB,OAAIA,IAAS21F,GACXwwB,IACAnsD,EAAQw/C,QAAQx5G,GACTwjH,GAEL2C,EAAW,EACNrK,EAAI97G,IAEbg6D,EAAQy/C,KAAK,2BACNP,EAAcl5G,GACjBq5G,GAAar/C,EAASusD,EAAY,aAAlClN,CAAgDr5G,GAChDumH,EAAWvmH,GACjB,CAcA,SAASumH,EAAWvmH,GAClB,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,mBACN9iC,EAAKqkC,UACRxwC,EAAGxqE,GACHg6D,EAAQ12D,MAAMuiH,GAAqBW,EAAgB30B,EAAnD73B,CAA0Dh6D,KAEhEg6D,EAAQu/C,MAAM,uBACdv/C,EAAQu/C,MAAM,cAAe,CAC3BzsB,YAAa,WAER3hG,EAAK6U,GACd,CAcA,SAAS7U,EAAK6U,GACZ,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAK,uBACN8M,EAAWvmH,IAEhBk5G,EAAcl5G,IAChBg6D,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAK,uBACNJ,GAAar/C,EAASysD,EAAY,aAAlCpN,CAAgDr5G,IAE5C,KAATA,GAAeA,IAAS21F,EACnBmmB,EAAI97G,IAEbg6D,EAAQw/C,QAAQx5G,GACT7U,EACT,CAcA,SAASs7H,EAAWzmH,GAClB,OAAa,OAATA,GAAiBg5G,EAAmBh5G,GAC/BumH,EAAWvmH,IAEpBg6D,EAAQu/C,MAAM,uBACdv/C,EAAQu/C,MAAM,cAAe,CAC3BzsB,YAAa,WAERn0C,EAAK34C,GACd,CAcA,SAAS24C,EAAK34C,GACZ,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAK,uBACN8M,EAAWvmH,IAEP,KAATA,GAAeA,IAAS21F,EACnBmmB,EAAI97G,IAEbg6D,EAAQw/C,QAAQx5G,GACT24C,EACT,CAeA,SAAS6tE,EAAexmH,GACtB,OAAOg6D,EAAQ2/C,QAAQoM,EAAYl0B,EAAO60B,EAAnC1sD,CAAkDh6D,EAC3D,CAcA,SAAS0mH,EAAc1mH,GAIrB,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNC,CACT,CAcA,SAASA,EAAa15G,GACpB,OAAOqmH,EAAgB,GAAKnN,EAAcl5G,GACtCq5G,GACEr/C,EACA2sD,EACA,aACAN,EAAgB,EAJlBhN,CAKEr5G,GACF2mH,EAAmB3mH,EACzB,CAcA,SAAS2mH,EAAmB3mH,GAC1B,OAAa,OAATA,GAAiBg5G,EAAmBh5G,GAC/Bg6D,EAAQ12D,MAAMuiH,GAAqBW,EAAgB30B,EAAnD73B,CAA0Dh6D,IAEnEg6D,EAAQu/C,MAAM,iBACPqN,EAAa5mH,GACtB,CAcA,SAAS4mH,EAAa5mH,GACpB,OAAa,OAATA,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,iBACNkN,EAAmB3mH,KAE5Bg6D,EAAQw/C,QAAQx5G,GACT4mH,EACT,CAcA,SAAS/0B,EAAM7xF,GAEb,OADAg6D,EAAQy/C,KAAK,cACNjvC,EAAGxqE,EACZ,CAsHF,EA1aE86G,UAAU,GChBZ,MAAM9zF,GAAUlf,SAASC,cAAc,KAMhC,SAAS8+G,GAA8Bl/H,GAC5C,MAAMm/H,EAAqB,IAAMn/H,EAAQ,IACzCq/B,GAAQhf,UAAY8+G,EACpB,MAAMlnH,EAAOonB,GAAQ/e,YAUrB,OAAyC,KAArCrI,EAAK2H,WAAW3H,EAAKpX,OAAS,IAAiC,SAAVb,KAQlDiY,IAASknH,GAA6BlnH,EAC/C,CCjBO,MAAMknH,GAAqB,CAChCt/H,KAAM,qBACNupG,SAOF,SAAoC/2B,EAASwQ,EAAIsxC,GAC/C,MAAMnlC,EAAOnzE,KACb,IAEI1M,EAEAtJ,EAJAyQ,EAAO,EAKX,OAgBA,SAAe+B,GAKb,OAJAg6D,EAAQu/C,MAAM,sBACdv/C,EAAQu/C,MAAM,4BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,4BACN78G,CACT,EAiBA,SAASA,EAAKoD,GACZ,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,mCACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,mCACNsN,IAET/sD,EAAQu/C,MAAM,2BACdziH,EAAM,GACNtJ,EAAOkrH,EACA/wH,EAAMqY,GACf,CAcA,SAAS+mH,EAAQ/mH,GACf,OAAa,KAATA,GAAwB,MAATA,GACjBg6D,EAAQu/C,MAAM,uCACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,uCACbz/C,EAAQu/C,MAAM,2BACdziH,EAAM,EACNtJ,EAAOsrH,EACAnxH,IAETqyE,EAAQu/C,MAAM,2BACdziH,EAAM,EACNtJ,EAAOqrH,EACAlxH,EAAMqY,GACf,CAmBA,SAASrY,EAAMqY,GACb,GAAa,KAATA,GAAe/B,EAAM,CACvB,MAAM6O,EAAQktD,EAAQy/C,KAAK,2BAC3B,OACEjsH,IAASkrH,GACRmO,GAA8BlwC,EAAK4mC,eAAezwG,KAOrDktD,EAAQu/C,MAAM,4BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,4BACbz/C,EAAQy/C,KAAK,sBACNjvC,GATEsxC,EAAI97G,EAUf,CACA,OAAIxS,EAAKwS,IAAS/B,IAASnH,GACzBkjE,EAAQw/C,QAAQx5G,GACTrY,GAEFm0H,EAAI97G,EACb,CACF,GC/IO,MAAMgnH,GAAkB,CAC7Bx/H,KAAM,kBACNupG,SAOF,SAAiC/2B,EAASwQ,EAAIsxC,GAC5C,OAYA,SAAe97G,GAKb,OAJAg6D,EAAQu/C,MAAM,mBACdv/C,EAAQu/C,MAAM,gBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,gBACNtgC,CACT,EAYA,SAASA,EAAOn5E,GAEd,OAAI+4G,EAAiB/4G,IACnBg6D,EAAQu/C,MAAM,wBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,wBACbz/C,EAAQy/C,KAAK,mBACNjvC,GAEFsxC,EAAI97G,EACb,CACF,GClDO,MAAMinH,GAAa,CACxBz/H,KAAM,aACNupG,SAOF,SAA4B/2B,EAASwQ,GACnC,OAGA,SAAexqE,GAIb,OAHAg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNJ,GAAar/C,EAASwQ,EAAI,aACnC,CACF,GCVO,MAAM08C,GAAW,CACtB1/H,KAAM,WACNupG,SA8IF,SAA0B/2B,EAASwQ,EAAIsxC,GACrC,MAAMnlC,EAAOnzE,KACb,IAEI2jH,EAEAjkH,EAJA7Z,EAAQstF,EAAK8jC,OAAOjyH,OAOxB,KAAOa,KACL,IACkC,eAA/BstF,EAAK8jC,OAAOpxH,GAAO,GAAGI,MACU,cAA/BktF,EAAK8jC,OAAOpxH,GAAO,GAAGI,QACvBktF,EAAK8jC,OAAOpxH,GAAO,GAAG+9H,UACvB,CACAD,EAAaxwC,EAAK8jC,OAAOpxH,GAAO,GAChC,KACF,CAEF,OAiBA,SAAe2W,GAEb,IAAKmnH,EACH,OAAOrL,EAAI97G,GAYb,GAAImnH,EAAWE,UACb,OAAOC,EAAYtnH,GAerB,OAbAkD,EAAUyzE,EAAKnxD,OAAOtiB,QAAQwuC,SAC5BgxE,GACE/rC,EAAK4mC,eAAe,CAClBv0H,MAAOm+H,EAAWr6H,IAClBA,IAAK6pF,EAAK5sE,UAIhBiwD,EAAQu/C,MAAM,YACdv/C,EAAQu/C,MAAM,eACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAK,YACN5nB,CACT,EAkBA,SAASA,EAAM7xF,GAKb,OAAa,KAATA,EACKg6D,EAAQ2/C,QACb4N,GACAC,EACAtkH,EAAUskH,EAAaF,EAHlBttD,CAILh6D,GAIS,KAATA,EACKg6D,EAAQ2/C,QACb8N,GACAD,EACAtkH,EAAUwkH,EAAmBJ,EAHxBttD,CAILh6D,GAIGkD,EAAUskH,EAAWxnH,GAAQsnH,EAAYtnH,EAClD,CAgBA,SAAS0nH,EAAiB1nH,GACxB,OAAOg6D,EAAQ2/C,QACbgO,GACAH,EACAF,EAHKttD,CAILh6D,EACJ,CAkBA,SAASwnH,EAAWxnH,GAElB,OAAOwqE,EAAGxqE,EACZ,CAkBA,SAASsnH,EAAYtnH,GAEnB,OADAmnH,EAAWC,WAAY,EAChBtL,EAAI97G,EACb,CACF,EA5TEygH,UAqCF,SAA2BhG,EAAQxhD,GACjC,IAGInsD,EAEAlQ,EAEAquF,EAEAj0F,EATA3N,EAAQoxH,EAAOjyH,OACfqjB,EAAS,EAWb,KAAOxiB,KAEL,GADAyjB,EAAQ2tG,EAAOpxH,GAAO,GAClBuT,EAAM,CAER,GACiB,SAAfkQ,EAAMrjB,MACU,cAAfqjB,EAAMrjB,MAAwBqjB,EAAMu6G,UAErC,MAKuB,UAArB5M,EAAOpxH,GAAO,IAAiC,cAAfyjB,EAAMrjB,OACxCqjB,EAAMu6G,WAAY,EAEtB,MAAO,GAAIp8B,GACT,GACuB,UAArBwvB,EAAOpxH,GAAO,KACE,eAAfyjB,EAAMrjB,MAAwC,cAAfqjB,EAAMrjB,QACrCqjB,EAAMs6G,YAEPxqH,EAAOvT,EACY,cAAfyjB,EAAMrjB,MAAsB,CAC9BoiB,EAAS,EACT,KACF,MAEsB,aAAfiB,EAAMrjB,OACfwhG,EAAQ5hG,GAGZ,MAAMy2G,EAAQ,CACZr2G,KAA+B,cAAzBgxH,EAAO79G,GAAM,GAAGnT,KAAuB,OAAS,QACtDT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,GAAM,GAAG5T,OACzC8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOA,EAAOjyH,OAAS,GAAG,GAAGsE,MAEhDwJ,EAAQ,CACZ7M,KAAM,QACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,GAAM,GAAG5T,OACzC8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOxvB,GAAO,GAAGn+F,MAEpCiV,EAAO,CACXtY,KAAM,YACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,EAAOiP,EAAS,GAAG,GAAG/e,KACtDA,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOxvB,EAAQ,GAAG,GAAGjiG,QAuC9C,OArCAgO,EAAQ,CACN,CAAC,QAAS8oG,EAAO7mC,GACjB,CAAC,QAAS3iE,EAAO2iE,IAInBjiE,EAAQxN,EAAKwN,EAAOyjH,EAAOrxH,MAAMwT,EAAO,EAAGA,EAAOiP,EAAS,IAG3D7U,EAAQxN,EAAKwN,EAAO,CAAC,CAAC,QAAS+K,EAAMk3D,KAKrCjiE,EAAQxN,EACNwN,EACA4mH,GACE3kD,EAAQzzC,OAAO8yF,WAAWsP,WAAWj1B,KACrC8nB,EAAOrxH,MAAMwT,EAAOiP,EAAS,EAAGo/E,EAAQ,GACxChyB,IAKJjiE,EAAQxN,EAAKwN,EAAO,CAClB,CAAC,OAAQ+K,EAAMk3D,GACfwhD,EAAOxvB,EAAQ,GACfwvB,EAAOxvB,EAAQ,GACf,CAAC,OAAQ30F,EAAO2iE,KAIlBjiE,EAAQxN,EAAKwN,EAAOyjH,EAAOrxH,MAAM6hG,EAAQ,IAGzCj0F,EAAQxN,EAAKwN,EAAO,CAAC,CAAC,OAAQ8oG,EAAO7mC,KACrCrrC,EAAO6sF,EAAQ79G,EAAM69G,EAAOjyH,OAAQwO,GAC7ByjH,CACT,EAtIEmD,WAiBF,SAA4BnD,GAC1B,IAAIpxH,GAAS,EACb,OAASA,EAAQoxH,EAAOjyH,QAAQ,CAC9B,MAAMskB,EAAQ2tG,EAAOpxH,GAAO,GAEX,eAAfyjB,EAAMrjB,MACS,cAAfqjB,EAAMrjB,MACS,aAAfqjB,EAAMrjB,OAGNgxH,EAAO7sF,OAAOvkC,EAAQ,EAAkB,eAAfyjB,EAAMrjB,KAAwB,EAAI,GAC3DqjB,EAAMrjB,KAAO,OACbJ,IAEJ,CACA,OAAOoxH,CACT,GA7BM8M,GAAoB,CACxBx2B,SA4TF,SAA0B/2B,EAASwQ,EAAIsxC,GACrC,OAYA,SAAuB97G,GAKrB,OAJAg6D,EAAQu/C,MAAM,YACdv/C,EAAQu/C,MAAM,kBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,kBACNoO,CACT,EAYA,SAASA,EAAe7nH,GACtB,OAAOi5G,EAA0Bj5G,GAC7ByiH,GAAkBzoD,EAAS8tD,EAA3BrF,CAAyCziH,GACzC8nH,EAAa9nH,EACnB,CAYA,SAAS8nH,EAAa9nH,GACpB,OAAa,KAATA,EACK+nH,EAAY/nH,GAEdyhH,GACLznD,EACAguD,EACAC,EACA,sBACA,6BACA,mCACA,yBACA,4BACA,GATKxG,CAULzhH,EACJ,CAYA,SAASgoH,EAAyBhoH,GAChC,OAAOi5G,EAA0Bj5G,GAC7ByiH,GAAkBzoD,EAASkuD,EAA3BzF,CAA4CziH,GAC5C+nH,EAAY/nH,EAClB,CAYA,SAASioH,EAA2BjoH,GAClC,OAAO87G,EAAI97G,EACb,CAYA,SAASkoH,EAAgBloH,GACvB,OAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,EACzBwiH,GACLxoD,EACAmuD,EACArM,EACA,gBACA,sBACA,sBANK0G,CAOLxiH,GAEG+nH,EAAY/nH,EACrB,CAYA,SAASmoH,EAAmBnoH,GAC1B,OAAOi5G,EAA0Bj5G,GAC7ByiH,GAAkBzoD,EAAS+tD,EAA3BtF,CAAwCziH,GACxC+nH,EAAY/nH,EAClB,CAYA,SAAS+nH,EAAY/nH,GACnB,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,kBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,kBACbz/C,EAAQy/C,KAAK,YACNjvC,GAEFsxC,EAAI97G,EACb,CACF,GAndMynH,GAAyB,CAC7B12B,SAwdF,SAA+B/2B,EAASwQ,EAAIsxC,GAC1C,MAAMnlC,EAAOnzE,KACb,OAYA,SAAuBxD,GACrB,OAAOoiH,GAAat7H,KAClB6vF,EACA3c,EACAouD,EACAC,EACA,YACA,kBACA,kBAPKjG,CAQLpiH,EACJ,EAYA,SAASooH,EAAmBpoH,GAC1B,OAAO22E,EAAKnxD,OAAOtiB,QAAQwuC,SACzBgxE,GACE/rC,EAAK4mC,eAAe5mC,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAAG,IAAIY,MAAM,GAAI,KAGtEohF,EAAGxqE,GACH87G,EAAI97G,EACV,CAYA,SAASqoH,EAAqBroH,GAC5B,OAAO87G,EAAI97G,EACb,CACF,GAhhBM2nH,GAA8B,CAClC52B,SAqhBF,SAAoC/2B,EAASwQ,EAAIsxC,GAC/C,OAcA,SAAiC97G,GAO/B,OAJAg6D,EAAQu/C,MAAM,aACdv/C,EAAQu/C,MAAM,mBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,mBACN6O,CACT,EAcA,SAASA,EAAuBtoH,GAC9B,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,mBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,mBACbz/C,EAAQy/C,KAAK,aACNjvC,GAEFsxC,EAAI97G,EACb,CACF,GC9lBO,MAAMuoH,GAAkB,CAC7B/gI,KAAM,kBACNupG,SAQF,SAAiC/2B,EAASwQ,EAAIsxC,GAC5C,MAAMnlC,EAAOnzE,KACb,OAYA,SAAexD,GAKb,OAJAg6D,EAAQu/C,MAAM,cACdv/C,EAAQu/C,MAAM,oBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oBACN78G,CACT,EAYA,SAASA,EAAKoD,GACZ,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,eACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAK,cACN5nB,GAEFiqB,EAAI97G,EACb,CA6BA,SAAS6xF,EAAM7xF,GAMb,OAAgB,KAATA,GAAe,2BAA4B22E,EAAKnxD,OAAO8yF,WAC1DwD,EAAI97G,GACJwqE,EAAGxqE,EACT,CACF,EAvFE49G,WAAYsJ,GAAStJ,YCUhB,SAAS4K,GAAkBxoH,GAChC,OACW,OAATA,GACAi5G,EAA0Bj5G,IAC1Bo5G,GAAkBp5G,GAEX,EAELm5G,GAAmBn5G,GACd,OADT,CAGF,CClBO,MAAMyoH,GAAY,CACvBjhI,KAAM,YACNupG,SA4KF,SAA2B/2B,EAASwQ,GAClC,MAAMk+C,EAAmBllH,KAAKgiB,OAAO8yF,WAAWoQ,iBAAiB/1B,KAC3D9pG,EAAW2a,KAAK3a,SAChB8oG,EAAS62B,GAAkB3/H,GAGjC,IAAI8sG,EACJ,OAYA,SAAe31F,GAGb,OAFA21F,EAAS31F,EACTg6D,EAAQu/C,MAAM,qBACPpgC,EAAOn5E,EAChB,EAYA,SAASm5E,EAAOn5E,GACd,GAAIA,IAAS21F,EAEX,OADA37B,EAAQw/C,QAAQx5G,GACTm5E,EAET,MAAMrsE,EAAQktD,EAAQy/C,KAAK,qBAGrB5nB,EAAQ22B,GAAkBxoH,GAI1BpD,GACHi1F,GAAoB,IAAVA,GAAeF,GAAW+2B,EAAiBh3E,SAAS1xC,GAC3DirF,GACH0G,GAAsB,IAAXA,GAAgBE,GAAU62B,EAAiBh3E,SAAS7oD,GAGlE,OAFAikB,EAAM67G,MAAQ52B,QAAmB,KAAX4D,EAAgB/4F,EAAOA,IAAS+0F,IAAW1G,IACjEn+E,EAAM87G,OAAS72B,QAAmB,KAAX4D,EAAgB1K,EAAQA,IAAU4G,IAAUj1F,IAC5D4tE,EAAGxqE,EACZ,CACF,EAlOE49G,WAQF,SAA6BnD,EAAQxhD,GACnC,IAEIr8D,EAEAkjG,EAEA/9F,EAEA8mH,EAEAC,EAEAvT,EAEAwT,EAEAl9G,EAhBAxiB,GAAS,EAsBb,OAASA,EAAQoxH,EAAOjyH,QAEtB,GACuB,UAArBiyH,EAAOpxH,GAAO,IACY,sBAA1BoxH,EAAOpxH,GAAO,GAAGI,MACjBgxH,EAAOpxH,GAAO,GAAGu/H,OAKjB,IAHAhsH,EAAOvT,EAGAuT,KAEL,GACsB,SAApB69G,EAAO79G,GAAM,IACY,sBAAzB69G,EAAO79G,GAAM,GAAGnT,MAChBgxH,EAAO79G,GAAM,GAAG+rH,OAEhB1vD,EAAQskD,eAAe9C,EAAO79G,GAAM,IAAI2K,WAAW,KACjD0xD,EAAQskD,eAAe9C,EAAOpxH,GAAO,IAAIke,WAAW,GACtD,CAKA,IACGkzG,EAAO79G,GAAM,GAAGgsH,QAAUnO,EAAOpxH,GAAO,GAAGs/H,SAC3ClO,EAAOpxH,GAAO,GAAGyD,IAAI+e,OAAS4uG,EAAOpxH,GAAO,GAAGL,MAAM6iB,QAAU,MAE7D4uG,EAAO79G,GAAM,GAAG9P,IAAI+e,OACnB4uG,EAAO79G,GAAM,GAAG5T,MAAM6iB,OACtB4uG,EAAOpxH,GAAO,GAAGyD,IAAI+e,OACrB4uG,EAAOpxH,GAAO,GAAGL,MAAM6iB,QACzB,GAGF,SAIF0pG,EACEkF,EAAO79G,GAAM,GAAG9P,IAAI+e,OAAS4uG,EAAO79G,GAAM,GAAG5T,MAAM6iB,OAAS,GAC5D4uG,EAAOpxH,GAAO,GAAGyD,IAAI+e,OAAS4uG,EAAOpxH,GAAO,GAAGL,MAAM6iB,OAAS,EAC1D,EACA,EACN,MAAM7iB,EAAQ7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,GAAM,GAAG9P,KAC1CA,EAAM3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,GAAO,GAAGL,OAC/CggI,GAAUhgI,GAAQusH,GAClByT,GAAUl8H,EAAKyoH,GACfsT,EAAkB,CAChBp/H,KAAM8rH,EAAM,EAAI,iBAAmB,mBACnCvsH,QACA8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,GAAM,GAAG9P,MAEzCg8H,EAAkB,CAChBr/H,KAAM8rH,EAAM,EAAI,iBAAmB,mBACnCvsH,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,GAAO,GAAGL,OAC1C8D,OAEFiV,EAAO,CACLtY,KAAM8rH,EAAM,EAAI,aAAe,eAC/BvsH,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,GAAM,GAAG9P,KACzCA,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,GAAO,GAAGL,QAE1C82G,EAAQ,CACNr2G,KAAM8rH,EAAM,EAAI,SAAW,WAC3BvsH,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGqhG,EAAgB7/H,OACzC8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGshG,EAAgBh8H,MAEzC2tH,EAAO79G,GAAM,GAAG9P,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGqhG,EAAgB7/H,OACxDyxH,EAAOpxH,GAAO,GAAGL,MAAQ7C,OAAOqhC,OAAO,CAAC,EAAGshG,EAAgBh8H,KAC3Di8H,EAAa,GAGTtO,EAAO79G,GAAM,GAAG9P,IAAI+e,OAAS4uG,EAAO79G,GAAM,GAAG5T,MAAM6iB,SACrDk9G,EAAav/H,EAAKu/H,EAAY,CAC5B,CAAC,QAAStO,EAAO79G,GAAM,GAAIq8D,GAC3B,CAAC,OAAQwhD,EAAO79G,GAAM,GAAIq8D,MAK9B8vD,EAAav/H,EAAKu/H,EAAY,CAC5B,CAAC,QAASjpB,EAAO7mC,GACjB,CAAC,QAAS4vD,EAAiB5vD,GAC3B,CAAC,OAAQ4vD,EAAiB5vD,GAC1B,CAAC,QAASl3D,EAAMk3D,KAMlB8vD,EAAav/H,EACXu/H,EACAnL,GACE3kD,EAAQzzC,OAAO8yF,WAAWsP,WAAWj1B,KACrC8nB,EAAOrxH,MAAMwT,EAAO,EAAGvT,GACvB4vE,IAKJ8vD,EAAav/H,EAAKu/H,EAAY,CAC5B,CAAC,OAAQhnH,EAAMk3D,GACf,CAAC,QAAS6vD,EAAiB7vD,GAC3B,CAAC,OAAQ6vD,EAAiB7vD,GAC1B,CAAC,OAAQ6mC,EAAO7mC,KAIdwhD,EAAOpxH,GAAO,GAAGyD,IAAI+e,OAAS4uG,EAAOpxH,GAAO,GAAGL,MAAM6iB,QACvDA,EAAS,EACTk9G,EAAav/H,EAAKu/H,EAAY,CAC5B,CAAC,QAAStO,EAAOpxH,GAAO,GAAI4vE,GAC5B,CAAC,OAAQwhD,EAAOpxH,GAAO,GAAI4vE,MAG7BptD,EAAS,EAEX+hB,EAAO6sF,EAAQ79G,EAAO,EAAGvT,EAAQuT,EAAO,EAAGmsH,GAC3C1/H,EAAQuT,EAAOmsH,EAAWvgI,OAASqjB,EAAS,EAC5C,KACF,CAMNxiB,GAAS,EACT,OAASA,EAAQoxH,EAAOjyH,QACQ,sBAA1BiyH,EAAOpxH,GAAO,GAAGI,OACnBgxH,EAAOpxH,GAAO,GAAGI,KAAO,QAG5B,OAAOgxH,CACT,GAyEA,SAASuO,GAAUnY,EAAOhlG,GACxBglG,EAAMlrG,QAAUkG,EAChBglG,EAAMhlG,QAAUA,EAChBglG,EAAM0N,cAAgB1yG,CACxB,CCvPO,MAAMo9G,GAAW,CACtBzhI,KAAM,WACNupG,SAOF,SAA0B/2B,EAASwQ,EAAIsxC,GACrC,IAAI79G,EAAO,EACX,OAcA,SAAe+B,GAMb,OALAg6D,EAAQu/C,MAAM,YACdv/C,EAAQu/C,MAAM,kBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,kBACbz/C,EAAQu/C,MAAM,oBACP38G,CACT,EAcA,SAASA,EAAKoD,GACZ,OAAIw4G,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GACTkpH,GAEFC,EAAWnpH,EACpB,CAcA,SAASkpH,EAAmBlpH,GAE1B,OAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,GAAe04G,EAAkB14G,IAEjE/B,EAAO,EACAmrH,EAAyBppH,IAE3BmpH,EAAWnpH,EACpB,CAcA,SAASopH,EAAyBppH,GAChC,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAChB/B,EAAO,EACAorH,IAKG,KAATrpH,GAAwB,KAATA,GAAwB,KAATA,GAAe04G,EAAkB14G,KAChE/B,IAAS,IAET+7D,EAAQw/C,QAAQx5G,GACTopH,IAETnrH,EAAO,EACAkrH,EAAWnpH,GACpB,CAYA,SAASqpH,EAAUrpH,GACjB,OAAa,KAATA,GACFg6D,EAAQy/C,KAAK,oBACbz/C,EAAQu/C,MAAM,kBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,kBACbz/C,EAAQy/C,KAAK,YACNjvC,GAII,OAATxqE,GAA0B,KAATA,GAAwB,KAATA,GAAe44G,EAAa54G,GACvD87G,EAAI97G,IAEbg6D,EAAQw/C,QAAQx5G,GACTqpH,EACT,CAYA,SAASF,EAAWnpH,GAClB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTspH,GAEL3Q,EAAW34G,IACbg6D,EAAQw/C,QAAQx5G,GACTmpH,GAEFrN,EAAI97G,EACb,CAYA,SAASspH,EAAiBtpH,GACxB,OAAO04G,EAAkB14G,GAAQupH,EAAWvpH,GAAQ87G,EAAI97G,EAC1D,CAYA,SAASupH,EAAWvpH,GAClB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAChB/B,EAAO,EACAqrH,GAEI,KAATtpH,GAEFg6D,EAAQy/C,KAAK,oBAAoBhwH,KAAO,gBACxCuwE,EAAQu/C,MAAM,kBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,kBACbz/C,EAAQy/C,KAAK,YACNjvC,GAEFg/C,EAAWxpH,EACpB,CAcA,SAASwpH,EAAWxpH,GAElB,IAAc,KAATA,GAAe04G,EAAkB14G,KAAU/B,IAAS,GAAI,CAC3D,MAAMmL,EAAgB,KAATpJ,EAAcwpH,EAAaD,EAExC,OADAvvD,EAAQw/C,QAAQx5G,GACToJ,CACT,CACA,OAAO0yG,EAAI97G,EACb,CACF,GCzNO,MAAMypH,GAAW,CACtBjiI,KAAM,WACNupG,SAOF,SAA0B/2B,EAASwQ,EAAIsxC,GACrC,MAAMnlC,EAAOnzE,KAEb,IAAImyF,EAEAtsG,EAEA+8C,EACJ,OAYA,SAAepmC,GAIb,OAHAg6D,EAAQu/C,MAAM,YACdv/C,EAAQu/C,MAAM,gBACdv/C,EAAQw/C,QAAQx5G,GACTpD,CACT,EAgBA,SAASA,EAAKoD,GACZ,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTikH,GAEI,KAATjkH,GACFg6D,EAAQw/C,QAAQx5G,GACTkkH,GAEI,KAATlkH,GACFg6D,EAAQw/C,QAAQx5G,GACTimF,GAILuyB,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GACT0pH,GAEF5N,EAAI97G,EACb,CAgBA,SAASikH,EAAgBjkH,GACvB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTokH,GAEI,KAATpkH,GACFg6D,EAAQw/C,QAAQx5G,GAChB3W,EAAQ,EACDg7H,GAEL7L,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GACTiH,GAEF60G,EAAI97G,EACb,CAYA,SAASokH,EAAkBpkH,GACzB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT2pH,GAEF7N,EAAI97G,EACb,CAYA,SAAS+G,EAAQ/G,GACf,OAAa,OAATA,EACK87G,EAAI97G,GAEA,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT4pH,GAEL5Q,EAAmBh5G,IACrBomC,EAAcr/B,EACP8iH,EAAiB7pH,KAE1Bg6D,EAAQw/C,QAAQx5G,GACT+G,EACT,CAYA,SAAS6iH,EAAa5pH,GACpB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT2pH,GAEF5iH,EAAQ/G,EACjB,CAYA,SAAS2pH,EAAW3pH,GAClB,OAAgB,KAATA,EACHlT,EAAIkT,GACK,KAATA,EACA4pH,EAAa5pH,GACb+G,EAAQ/G,EACd,CAYA,SAASqkH,EAAgBrkH,GACvB,MAAMrY,EAAQ,SACd,OAAIqY,IAASrY,EAAM4f,WAAWle,MAC5B2wE,EAAQw/C,QAAQx5G,GACCrY,IAAV0B,EAAyBmrG,EAAQ6vB,GAEnCvI,EAAI97G,EACb,CAYA,SAASw0F,EAAMx0F,GACb,OAAa,OAATA,EACK87G,EAAI97G,GAEA,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT8pH,GAEL9Q,EAAmBh5G,IACrBomC,EAAcouD,EACPq1B,EAAiB7pH,KAE1Bg6D,EAAQw/C,QAAQx5G,GACTw0F,EACT,CAYA,SAASs1B,EAAW9pH,GAClB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT+pH,GAEFv1B,EAAMx0F,EACf,CAYA,SAAS+pH,EAAS/pH,GAChB,OAAa,KAATA,EACKlT,EAAIkT,GAEA,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT+pH,GAEFv1B,EAAMx0F,EACf,CAYA,SAASiH,EAAYjH,GACnB,OAAa,OAATA,GAA0B,KAATA,EACZlT,EAAIkT,GAETg5G,EAAmBh5G,IACrBomC,EAAcn/B,EACP4iH,EAAiB7pH,KAE1Bg6D,EAAQw/C,QAAQx5G,GACTiH,EACT,CAYA,SAASg/E,EAAYjmF,GACnB,OAAa,OAATA,EACK87G,EAAI97G,GAEA,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTgqH,GAELhR,EAAmBh5G,IACrBomC,EAAc6/C,EACP4jC,EAAiB7pH,KAE1Bg6D,EAAQw/C,QAAQx5G,GACTimF,EACT,CAYA,SAAS+jC,EAAiBhqH,GACxB,OAAgB,KAATA,EAAclT,EAAIkT,GAAQimF,EAAYjmF,EAC/C,CAYA,SAASkkH,EAAclkH,GAErB,OAAIw4G,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GACTiqH,GAEFnO,EAAI97G,EACb,CAYA,SAASiqH,EAASjqH,GAEhB,OAAa,KAATA,GAAe04G,EAAkB14G,IACnCg6D,EAAQw/C,QAAQx5G,GACTiqH,GAEFC,EAAgBlqH,EACzB,CAYA,SAASkqH,EAAgBlqH,GACvB,OAAIg5G,EAAmBh5G,IACrBomC,EAAc8jF,EACPL,EAAiB7pH,IAEtBk5G,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACTkqH,GAEFp9H,EAAIkT,EACb,CAYA,SAAS0pH,EAAQ1pH,GAEf,OAAa,KAATA,GAAe04G,EAAkB14G,IACnCg6D,EAAQw/C,QAAQx5G,GACT0pH,GAEI,KAAT1pH,GAAwB,KAATA,GAAei5G,EAA0Bj5G,GACnDmqH,EAAenqH,GAEjB87G,EAAI97G,EACb,CAYA,SAASmqH,EAAenqH,GACtB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTlT,GAII,KAATkT,GAAwB,KAATA,GAAew4G,EAAWx4G,IAC3Cg6D,EAAQw/C,QAAQx5G,GACToqH,GAELpR,EAAmBh5G,IACrBomC,EAAc+jF,EACPN,EAAiB7pH,IAEtBk5G,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACTmqH,GAEFr9H,EAAIkT,EACb,CAYA,SAASoqH,EAAqBpqH,GAE5B,OACW,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACA04G,EAAkB14G,IAElBg6D,EAAQw/C,QAAQx5G,GACToqH,GAEFC,EAA0BrqH,EACnC,CAaA,SAASqqH,EAA0BrqH,GACjC,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTsqH,GAELtR,EAAmBh5G,IACrBomC,EAAcikF,EACPR,EAAiB7pH,IAEtBk5G,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACTqqH,GAEFF,EAAenqH,EACxB,CAaA,SAASsqH,EAA4BtqH,GACnC,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,EAEO87G,EAAI97G,GAEA,KAATA,GAAwB,KAATA,GACjBg6D,EAAQw/C,QAAQx5G,GAChB21F,EAAS31F,EACFuqH,GAELvR,EAAmBh5G,IACrBomC,EAAckkF,EACPT,EAAiB7pH,IAEtBk5G,EAAcl5G,IAChBg6D,EAAQw/C,QAAQx5G,GACTsqH,IAETtwD,EAAQw/C,QAAQx5G,GACTwqH,EACT,CAYA,SAASD,EAA4BvqH,GACnC,OAAIA,IAAS21F,GACX37B,EAAQw/C,QAAQx5G,GAChB21F,OAASvqG,EACFq/H,GAEI,OAATzqH,EACK87G,EAAI97G,GAETg5G,EAAmBh5G,IACrBomC,EAAcmkF,EACPV,EAAiB7pH,KAE1Bg6D,EAAQw/C,QAAQx5G,GACTuqH,EACT,CAYA,SAASC,EAA8BxqH,GACrC,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,EAEO87G,EAAI97G,GAEA,KAATA,GAAwB,KAATA,GAAei5G,EAA0Bj5G,GACnDmqH,EAAenqH,IAExBg6D,EAAQw/C,QAAQx5G,GACTwqH,EACT,CAaA,SAASC,EAAiCzqH,GACxC,OAAa,KAATA,GAAwB,KAATA,GAAei5G,EAA0Bj5G,GACnDmqH,EAAenqH,GAEjB87G,EAAI97G,EACb,CAYA,SAASlT,EAAIkT,GACX,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,gBACbz/C,EAAQy/C,KAAK,YACNjvC,GAEFsxC,EAAI97G,EACb,CAgBA,SAAS6pH,EAAiB7pH,GAKxB,OAJAg6D,EAAQy/C,KAAK,gBACbz/C,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNiR,CACT,CAgBA,SAASA,EAAgB1qH,GAGvB,OAAOk5G,EAAcl5G,GACjBq5G,GACEr/C,EACA2wD,EACA,aACAh0C,EAAKnxD,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,qBACzCtmD,EACA,EANNiuH,CAOEr5G,GACF2qH,EAAsB3qH,EAC5B,CAgBA,SAAS2qH,EAAsB3qH,GAE7B,OADAg6D,EAAQu/C,MAAM,gBACPnzE,EAAYpmC,EACrB,CACF,GC9rBO,MAAM4qH,GAAiB,CAC5BpjI,KAAM,iBACNupG,SAQF,SAAgC/2B,EAASwQ,EAAIsxC,GAC3C,MAAMnlC,EAAOnzE,KACb,OAYA,SAAexD,GAMb,OALAg6D,EAAQu/C,MAAM,aACdv/C,EAAQu/C,MAAM,eACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,eACbz/C,EAAQy/C,KAAK,aACN5nB,CACT,EAGA,SAASA,EAAM7xF,GAKb,OAAgB,KAATA,GAAe,2BAA4B22E,EAAKnxD,OAAO8yF,WAC1DwD,EAAI97G,GACJwqE,EAAGxqE,EACT,CACF,EAxCE49G,WAAYsJ,GAAStJ,YCJhB,MAAMiN,GAAkB,CAC7BrjI,KAAM,kBACNupG,SAOF,SAAiC/2B,EAASwQ,EAAIsxC,GAC5C,OAaA,SAAe97G,GAGb,OAFAg6D,EAAQu/C,MAAM,mBACdv/C,EAAQw/C,QAAQx5G,GACT6xF,CACT,EAaA,SAASA,EAAM7xF,GACb,OAAIg5G,EAAmBh5G,IACrBg6D,EAAQy/C,KAAK,mBACNjvC,EAAGxqE,IAEL87G,EAAI97G,EACb,CACF,GC5CO,MAAM8qH,GAAW,CACtBtjI,KAAM,WACNupG,SA8EF,SAA0B/2B,EAASwQ,EAAIsxC,GAErC,IAEI79G,EAEA6O,EAJAq5G,EAAW,EAKf,OAcA,SAAenmH,GAGb,OAFAg6D,EAAQu/C,MAAM,YACdv/C,EAAQu/C,MAAM,oBACPiK,EAAaxjH,EACtB,EAYA,SAASwjH,EAAaxjH,GACpB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAChBmmH,IACO3C,IAETxpD,EAAQy/C,KAAK,oBACNsR,EAAQ/qH,GACjB,CAYA,SAAS+qH,EAAQ/qH,GAEf,OAAa,OAATA,EACK87G,EAAI97G,GAMA,KAATA,GACFg6D,EAAQu/C,MAAM,SACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,SACNsR,GAII,KAAT/qH,GACF8M,EAAQktD,EAAQu/C,MAAM,oBACtBt7G,EAAO,EACAioH,EAAclmH,IAEnBg5G,EAAmBh5G,IACrBg6D,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACNsR,IAIT/wD,EAAQu/C,MAAM,gBACPpsH,EAAK6S,GACd,CAYA,SAAS7S,EAAK6S,GACZ,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACAg5G,EAAmBh5G,IAEnBg6D,EAAQy/C,KAAK,gBACNsR,EAAQ/qH,KAEjBg6D,EAAQw/C,QAAQx5G,GACT7S,EACT,CAYA,SAAS+4H,EAAclmH,GAErB,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GAChB/B,IACOioH,GAILjoH,IAASkoH,GACXnsD,EAAQy/C,KAAK,oBACbz/C,EAAQy/C,KAAK,YACNjvC,EAAGxqE,KAIZ8M,EAAMrjB,KAAO,eACN0D,EAAK6S,GACd,CACF,EA7NEsyD,QAMF,SAAyBmoD,GACvB,IAGIpxH,EAEAkwH,EALAyR,EAAgBvQ,EAAOjyH,OAAS,EAChCyiI,EAAiB,EAOrB,IACsC,eAAnCxQ,EAAOwQ,GAAgB,GAAGxhI,MACU,UAAnCgxH,EAAOwQ,GAAgB,GAAGxhI,QACO,eAAlCgxH,EAAOuQ,GAAe,GAAGvhI,MACU,UAAlCgxH,EAAOuQ,GAAe,GAAGvhI,MAK3B,IAHAJ,EAAQ4hI,IAGC5hI,EAAQ2hI,GACf,GAA8B,iBAA1BvQ,EAAOpxH,GAAO,GAAGI,KAAyB,CAE5CgxH,EAAOwQ,GAAgB,GAAGxhI,KAAO,kBACjCgxH,EAAOuQ,GAAe,GAAGvhI,KAAO,kBAChCwhI,GAAkB,EAClBD,GAAiB,EACjB,KACF,CAKJ3hI,EAAQ4hI,EAAiB,EACzBD,IACA,OAAS3hI,GAAS2hI,QACF5/H,IAAVmuH,EACElwH,IAAU2hI,GAA2C,eAA1BvQ,EAAOpxH,GAAO,GAAGI,OAC9C8vH,EAAQlwH,GAGVA,IAAU2hI,GACgB,eAA1BvQ,EAAOpxH,GAAO,GAAGI,OAEjBgxH,EAAOlB,GAAO,GAAG9vH,KAAO,eACpBJ,IAAUkwH,EAAQ,IACpBkB,EAAOlB,GAAO,GAAGzsH,IAAM2tH,EAAOpxH,EAAQ,GAAG,GAAGyD,IAC5C2tH,EAAO7sF,OAAO2rF,EAAQ,EAAGlwH,EAAQkwH,EAAQ,GACzCyR,GAAiB3hI,EAAQkwH,EAAQ,EACjClwH,EAAQkwH,EAAQ,GAElBA,OAAQnuH,GAGZ,OAAOqvH,CACT,EA1DE5xH,SAgEF,SAAkBmX,GAEhB,OACW,KAATA,GACgD,oBAAhDwD,KAAKi3G,OAAOj3G,KAAKi3G,OAAOjyH,OAAS,GAAG,GAAGiB,IAE3C,GCzDO,MAAMqe,GAAW,CACtB,GAAMrR,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAMA,GACN,GAAM8qH,IAIK3H,GAAiB,CAC5B,GAAM32G,IAIKw6G,GAAc,CACzB,EAAE,GAAI2F,GACN,EAAE,GAAIA,GACN,GAAMA,IAIK56B,GAAO,CAClB,GAAM+6B,GACN,GAAM7C,GACN,GAAM,CAACgD,GAAiBhD,IACxB,GAAMoD,GACN,GAAMJ,GACN,GAAMhD,GACN,GAAMoF,GACN,IAAOA,IAIIt5E,GAAS,CACpB,GAAMs6E,GACN,GAAME,IAIKjlH,GAAO,CAClB,EAAE,GAAIklH,GACN,EAAE,GAAIA,GACN,EAAE,GAAIA,GACN,GAAMsB,GACN,GAAMzB,GACN,GAAM2B,GACN,GAAM,CAACQ,GAAUQ,IACjB,GAAMmB,GACN,GAAM,CAACC,GAAiB7D,IACxB,GAAME,GACN,GAAMuB,GACN,GAAMqC,IAIKlD,GAAa,CACxBj1B,KAAM,CAAC81B,GAAWyC,KAIPxC,GAAmB,CAC9B/1B,KAAM,CAAC,GAAI,KAIAopB,GAAU,CACrBppB,KAAM,ICzFR,MAAM1pG,GAAS,cCDR,SAASkiI,GAAgCxjI,EAAO0wD,GACrD,MAAMr4C,EAAO7T,OAAOkf,SAAS1jB,EAAO0wD,GACpC,OAEEr4C,EAAO,GACE,KAATA,GACCA,EAAO,IAAMA,EAAO,IAEpBA,EAAO,KAAOA,EAAO,KAErBA,EAAO,OAASA,EAAO,OAEvBA,EAAO,OAASA,EAAO,OACL,SAAX,MAAPA,IACkB,SAAX,MAAPA,IAEDA,EAAO,QAEA,SAEFjU,OAAOwe,aAAavK,EAC7B,CCjCA,MAAMorH,GACJ,oEAcK,SAASC,GAAa1jI,GAC3B,OAAOA,EAAMgG,QAAQy9H,GAA4Bn0C,GACnD,CAQA,SAASA,GAAO9oF,EAAIm9H,EAAIC,GACtB,GAAID,EAEF,OAAOA,EAKT,GAAa,KADAC,EAAGhkH,WAAW,GACV,CACf,MAAM2lG,EAAOqe,EAAGhkH,WAAW,GACrBikH,EAAe,MAATte,GAAyB,KAATA,EAC5B,OAAOie,GAAgCI,EAAGniI,MAAMoiI,EAAM,EAAI,GAAIA,EAAM,GAAK,GAC3E,CACA,OAAO3E,GAA8B0E,IAAOp9H,CAC9C,CCkHA,MAAMvD,GAAM,CAAC,EAAEvE,eAYFolI,GAcX,SAAU9jI,EAAOwsH,EAAU5sH,GAKzB,MAJwB,kBAAb4sH,IACT5sH,EAAU4sH,EACVA,OAAW/oH,GAcjB,SAAkB7D,GAEhB,MAAMmkI,EAAS,CACbC,WAAY,GACZC,eAAgB,CAAC,WAAY,WAAY,UAAW,YAAa,UACjErS,MAAO,CACL0P,SAAU4C,EAAOjrH,IACjBkrH,iBAAkBC,EAClBC,cAAeD,EACfE,WAAYJ,EAAO/1B,IACnByrB,WAAYsK,EAAOtK,GACnByF,gBAAiB+E,EACjBjF,mBAAoBiF,EACpBjG,WAAY+F,EAAOK,GACnBC,oBAAqBtY,EACrBuY,oBAAqBvY,EACrBuP,aAAcyI,EAAOK,EAAUrY,GAC/BiX,SAAUe,EAAOf,EAAUjX,GAC3BwY,aAAcN,EACd5+H,KAAM4+H,EACNO,cAAeP,EACf9oH,WAAY4oH,EAAO5oH,GACnBspH,4BAA6B1Y,EAC7B2Y,sBAAuB3Y,EACvB4Y,sBAAuB5Y,EACvB9K,SAAU8iB,EAAO9iB,IACjB8hB,gBAAiBgB,EAAOa,IACxBC,kBAAmBd,EAAOa,IAC1B5I,SAAU+H,EAAOv/H,GAAMunH,GACvB+Y,aAAcb,EACdtC,SAAUoC,EAAOv/H,GAAMunH,GACvBgZ,aAAcd,EACd3lB,MAAOylB,EAAOzlB,IACd9vG,MAAOu9G,EACPjzG,KAAMirH,EAAOjrH,IACbksH,SAAUjB,EAAOiB,IACjBC,cAAeC,EACfC,YAAapB,EAAOp1H,GAAMy2H,GAC1BC,cAAetB,EAAOp1H,IACtBktH,UAAWkI,EAAOlI,IAClB76G,UAAWskH,EACXC,gBAAiBxZ,EACjByZ,0BAA2BzZ,EAC3B0Z,oBAAqB1Z,EACrB2Z,cAAe3B,EAAO/1B,IACtB23B,OAAQ5B,EAAO4B,IACf/M,cAAemL,EAAOnL,KAExBjH,KAAM,CACJwS,WAAYyB,IACZC,mBAAoBC,EACpB3E,SAAUyE,IACV1B,cAAe6B,EACf/B,iBAAkBgC,EAClBvM,WAAYmM,IACZK,qBAAsBC,EACtBC,oCAAqCC,EACrCC,gCAAiCD,EACjCE,wBAAyBC,EACzBvI,WAAY4H,EAAOY,GACnBC,gBAAiBC,EACjBrC,oBAAqBsC,EACrBrC,oBAAqBsC,EACrBpC,cAAe0B,EACf5K,aAAcsK,EAAOiB,GACrB7D,SAAU4C,EAAOkB,GACjBvC,aAAc2B,EACd7gI,KAAM6gI,EACN/qH,WAAYyqH,IACZnB,4BAA6BsC,EAC7BrC,sBAAuBsC,EACvBrC,sBAAuBsC,EACvBhmB,SAAU2kB,IACV7C,gBAAiB6C,EAAOsB,GACxBrC,kBAAmBe,EAAOsB,GAC1BlL,SAAU4J,EAAOuB,GACjBrC,aAAcoB,EACdvE,SAAUiE,EAAOwB,GACjBrC,aAAcmB,EACd5nB,MAAOsnB,EAAOyB,GACd74H,MAAO84H,EACPC,UAAWC,EACXrI,WAAYsI,EACZ3uH,KAAM8sH,EAAO8B,GACb1C,SAAUY,IACVT,YAAaS,IACbP,cAAeO,IACf/J,UAAW+J,IACXL,gBAAiBoC,EACjBnC,0BAA2BoC,EAC3BnC,oBAAqBoC,EACrBC,SAAUC,EACVrC,cAAeE,EAAOoC,GACtBC,0BAA2BC,EAC3BC,kBAAmBC,EACnBzC,OAAQC,IACRhN,cAAegN,MAGnByC,GAAUzE,GAASnkI,GAAW,CAAC,GAAG6oI,iBAAmB,IAGrD,MAAMjjI,EAAO,CAAC,EACd,OAAO6pH,EAUP,SAASA,EAAQyD,GAEf,IAAItD,EAAO,CACT1tH,KAAM,OACNG,SAAU,IAGZ,MAAMqvE,EAAU,CACdzyC,MAAO,CAAC2wF,GACRne,WAAY,GACZ0yB,SACAnS,QACAE,OACA5F,SACAwc,SACAC,UACAC,WAGIC,EAAY,GAClB,IAAInnI,GAAS,EACb,OAASA,EAAQoxH,EAAOjyH,QAGtB,GAC4B,gBAA1BiyH,EAAOpxH,GAAO,GAAGI,MACS,kBAA1BgxH,EAAOpxH,GAAO,GAAGI,KAEjB,GAAyB,UAArBgxH,EAAOpxH,GAAO,GAChBmnI,EAAUhnI,KAAKH,OACV,CAELA,EAAQonI,EAAYhW,EADP+V,EAAU9pG,MACWr9B,EACpC,CAIJ,IADAA,GAAS,IACAA,EAAQoxH,EAAOjyH,QAAQ,CAC9B,MAAMkoI,EAAUhF,EAAOjR,EAAOpxH,GAAO,IACjCuB,GAAI9D,KAAK4pI,EAASjW,EAAOpxH,GAAO,GAAGI,OACrCinI,EAAQjW,EAAOpxH,GAAO,GAAGI,MAAM3C,KAC7BX,OAAOqhC,OACL,CACE+1F,eAAgB9C,EAAOpxH,GAAO,GAAGk0H,gBAEnCtkD,GAEFwhD,EAAOpxH,GAAO,GAGpB,CAGA,GAAI4vE,EAAQ+/B,WAAWxwG,OAAS,EAAG,CACjC,MAAMw+E,EAAO/N,EAAQ+/B,WAAW//B,EAAQ+/B,WAAWxwG,OAAS,IAC5Cw+E,EAAK,IAAM2pD,IACnB7pI,KAAKmyE,OAAS7tE,EAAW47E,EAAK,GACxC,CA0BA,IAvBAmwC,EAAKpxG,SAAW,CACd/c,MAAO6nH,GACL4J,EAAOjyH,OAAS,EACZiyH,EAAO,GAAG,GAAGzxH,MACb,CACEgd,KAAM,EACNL,OAAQ,EACRkG,OAAQ,IAGhB/e,IAAK+jH,GACH4J,EAAOjyH,OAAS,EACZiyH,EAAOA,EAAOjyH,OAAS,GAAG,GAAGsE,IAC7B,CACEkZ,KAAM,EACNL,OAAQ,EACRkG,OAAQ,KAMlBxiB,GAAS,IACAA,EAAQqiI,EAAOC,WAAWnjI,QACjC2uH,EAAOuU,EAAOC,WAAWtiI,GAAO8tH,IAASA,EAE3C,OAAOA,CACT,CAQA,SAASsZ,EAAYhW,EAAQzxH,EAAOR,GAClC,IAIIskI,EAEA1Q,EAEAwU,EAEA/P,EAVAx3H,EAAQL,EAAQ,EAChB6nI,GAAoB,EACpBC,GAAa,EASjB,OAASznI,GAASb,GAAQ,CACxB,MAAM8X,EAAQm6G,EAAOpxH,GAmCrB,GAjCoB,kBAAlBiX,EAAM,GAAG7W,MACS,gBAAlB6W,EAAM,GAAG7W,MACS,eAAlB6W,EAAM,GAAG7W,MAEQ,UAAb6W,EAAM,GACRuwH,IAEAA,IAEFhQ,OAAWz1H,GACgB,oBAAlBkV,EAAM,GAAG7W,KACD,UAAb6W,EAAM,MAENwsH,GACCjM,GACAgQ,GACAD,IAEDA,EAAsBvnI,GAExBw3H,OAAWz1H,GAGK,eAAlBkV,EAAM,GAAG7W,MACS,kBAAlB6W,EAAM,GAAG7W,MACS,mBAAlB6W,EAAM,GAAG7W,MACS,mBAAlB6W,EAAM,GAAG7W,MACS,6BAAlB6W,EAAM,GAAG7W,OAITo3H,OAAWz1H,IAGTylI,GACa,UAAbvwH,EAAM,IACY,mBAAlBA,EAAM,GAAG7W,OACY,IAAtBonI,GACc,SAAbvwH,EAAM,KACa,kBAAlBA,EAAM,GAAG7W,MACU,gBAAlB6W,EAAM,GAAG7W,MACb,CACA,GAAIqjI,EAAU,CACZ,IAAIiE,EAAY1nI,EAEhB,IADA+yH,OAAYhxH,EACL2lI,KAAa,CAClB,MAAMC,EAAYvW,EAAOsW,GACzB,GACwB,eAAtBC,EAAU,GAAGvnI,MACS,oBAAtBunI,EAAU,GAAGvnI,KACb,CACA,GAAqB,SAAjBunI,EAAU,GAAe,SACzB5U,IACF3B,EAAO2B,GAAW,GAAG3yH,KAAO,kBAC5BqnI,GAAa,GAEfE,EAAU,GAAGvnI,KAAO,aACpB2yH,EAAY2U,CACd,MAAO,GACiB,eAAtBC,EAAU,GAAGvnI,MACS,qBAAtBunI,EAAU,GAAGvnI,MACS,+BAAtBunI,EAAU,GAAGvnI,MACS,qBAAtBunI,EAAU,GAAGvnI,MACS,mBAAtBunI,EAAU,GAAGvnI,KAIb,KAEJ,CAEEmnI,KACExU,GAAawU,EAAsBxU,KAErC0Q,EAASmE,SAAU,GAIrBnE,EAAShgI,IAAM3G,OAAOqhC,OACpB,CAAC,EACD40F,EAAY3B,EAAO2B,GAAW,GAAGpzH,MAAQsX,EAAM,GAAGxT,KAEpD2tH,EAAO7sF,OAAOwuF,GAAa/yH,EAAO,EAAG,CAAC,OAAQyjI,EAAUxsH,EAAM,KAC9DjX,IACAb,GACF,CAGsB,mBAAlB8X,EAAM,GAAG7W,OACXqjI,EAAW,CACTrjI,KAAM,WACNwnI,SAAS,EACTjoI,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGlnB,EAAM,GAAGtX,OAElC8D,SAAK1B,GAGPqvH,EAAO7sF,OAAOvkC,EAAO,EAAG,CAAC,QAASyjI,EAAUxsH,EAAM,KAClDjX,IACAb,IACAooI,OAAsBxlI,EACtBy1H,GAAW,EAEf,CACF,CAEA,OADApG,EAAOzxH,GAAO,GAAGioI,QAAUH,EACpBtoI,CACT,CAcA,SAAS8nI,EAAQrpI,EAAKU,GACpBwF,EAAKlG,GAAOU,CACd,CAYA,SAAS4oI,EAAQtpI,GACf,OAAOkG,EAAKlG,EACd,CAYA,SAAS4kI,EAAOn9H,EAAQwiI,GACtB,OAAOt0H,EAOP,SAASA,EAAKkQ,GACZysG,EAAMzyH,KAAK0c,KAAM9U,EAAOoe,GAAQA,GAC5BokH,GAAKA,EAAIpqI,KAAK0c,KAAMsJ,EAC1B,CACF,CAMA,SAAS+mG,IACPrwG,KAAKgjB,MAAMh9B,KAAK,CACdC,KAAM,WACNG,SAAU,IAEd,CAgBA,SAAS2vH,EAAM5uH,EAAMmiB,EAAOqkH,GAU1B,OATe3tH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAEvCoB,SAASJ,KAAKmB,GACrB6Y,KAAKgjB,MAAMh9B,KAAKmB,GAChB6Y,KAAKw1F,WAAWxvG,KAAK,CAACsjB,EAAOqkH,IAE7BxmI,EAAKob,SAAW,CACd/c,MAAO6nH,GAAM/jG,EAAM9jB,QAEd2B,CACT,CAUA,SAAS+iI,EAAOwD,GACd,OAAOjmC,EAOP,SAASA,EAAMn+E,GACTokH,GAAKA,EAAIpqI,KAAK0c,KAAMsJ,GACxB2sG,EAAK3yH,KAAK0c,KAAMsJ,EAClB,CACF,CAYA,SAAS2sG,EAAK3sG,EAAOskH,GACnB,MAAMzmI,EAAO6Y,KAAKgjB,MAAME,MAClB9pB,EAAO4G,KAAKw1F,WAAWtyE,MAC7B,IAAK9pB,EACH,MAAM,IAAI9Q,MACR,iBACEghB,EAAMrjB,KACN,MACAmnH,EAAkB,CAChB5nH,MAAO8jB,EAAM9jB,MACb8D,IAAKggB,EAAMhgB,MAEb,yBAEC,GAAI8P,EAAK,GAAGnT,OAASqjB,EAAMrjB,KAChC,GAAI2nI,EACFA,EAAYtqI,KAAK0c,KAAMsJ,EAAOlQ,EAAK,QAC9B,EACWA,EAAK,IAAM+zH,IACnB7pI,KAAK0c,KAAMsJ,EAAOlQ,EAAK,GACjC,CAGF,OADAjS,EAAKob,SAASjZ,IAAM+jH,GAAM/jG,EAAMhgB,KACzBnC,CACT,CAMA,SAAS0lI,IACP,O/ClpBG,SAAkB1oI,EAAOJ,GAC9B,MAAMihB,EAAWjhB,GAAWqwH,EAQ5B,OAAOC,EAAIlwH,EAN2B,mBAA7B6gB,EAASsvG,iBACZtvG,EAASsvG,gBAGmB,mBAAzBtvG,EAASuvG,aAA4BvvG,EAASuvG,YAGzD,C+CwoBWxxH,CAASid,KAAKgjB,MAAME,MAC7B,CAUA,SAASwmG,IACPoD,EAAQ,+BAA+B,EACzC,CAMA,SAAStD,EAAqBlgH,GAC5B,GAAIyjH,EAAQ,+BAAgC,CACzB/sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCQ,MAAQmD,OAAOkf,SAAS7H,KAAK+5G,eAAezwG,GAAQ,IAC7DwjH,EAAQ,8BACV,CACF,CAMA,SAAS7B,IACP,MAAMthI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvC+N,KAAOpJ,CACd,CAMA,SAASuhI,IACP,MAAMvhI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCmwD,KAAOxrD,CACd,CAMA,SAASqhI,IAEH+B,EAAQ,oBACZ/sH,KAAKqwG,SACLyc,EAAQ,kBAAkB,GAC5B,CAMA,SAAShC,IACP,MAAMnhI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCb,MAAQwF,EAAKQ,QAAQ,2BAA4B,IACtD2iI,EAAQ,iBACV,CAMA,SAAS3B,IACP,MAAMxhI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCb,MAAQwF,EAAKQ,QAAQ,eAAgB,GAC5C,CAMA,SAASmhI,EAA4BhiH,GACnC,MAAMxW,EAAQkN,KAAK6sH,SACb1lI,EAAO6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAC5CmC,EAAK2L,MAAQA,EACb3L,EAAKswF,WAAaynC,GAChBl/G,KAAK+5G,eAAezwG,IACpBtiB,aACJ,CAMA,SAASukI,IACP,MAAM5hI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCmW,MAAQxR,CACf,CAMA,SAAS0hI,IACP,MAAM1hI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCuhE,IAAM58D,CACb,CAMA,SAASygI,EAAyB9gH,GAChC,MAAMniB,EAAO6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAC5C,IAAKmC,EAAK0mI,MAAO,CACf,MAAMA,EAAQ7tH,KAAK+5G,eAAezwG,GAAOtkB,OACzCmC,EAAK0mI,MAAQA,CACf,CACF,CAMA,SAASnB,IACPI,EAAQ,gCAAgC,EAC1C,CAMA,SAASN,EAAgCljH,GAC1BtJ,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvC6oI,MAAqD,KAA7C7tH,KAAK+5G,eAAezwG,GAAOvF,WAAW,GAAY,EAAI,CACrE,CAMA,SAASuoH,IACPQ,EAAQ,+BACV,CAOA,SAASvE,EAAYj/G,GACnB,MAAMniB,EAAO6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAC5C,IAAIw+E,EAAOr8E,EAAKf,SAASe,EAAKf,SAASpB,OAAS,GAC3Cw+E,GAAsB,SAAdA,EAAKv9E,OAEhBu9E,EAAOjlE,KAEPilE,EAAKjhE,SAAW,CACd/c,MAAO6nH,GAAM/jG,EAAM9jB,QAGrB2B,EAAKf,SAASJ,KAAKw9E,IAErBxjE,KAAKgjB,MAAMh9B,KAAKw9E,EAClB,CAOA,SAASgnD,EAAWlhH,GAClB,MAAMk6D,EAAOxjE,KAAKgjB,MAAME,MACxBsgD,EAAKr/E,OAAS6b,KAAK+5G,eAAezwG,GAClCk6D,EAAKjhE,SAASjZ,IAAM+jH,GAAM/jG,EAAMhgB,IAClC,CAOA,SAASyiI,EAAiBziH,GACxB,MAAMmsD,EAAUz1D,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAE/C,GAAI+nI,EAAQ,eAAgB,CAI1B,OAHat3D,EAAQrvE,SAASqvE,EAAQrvE,SAASpB,OAAS,GACnDud,SAASjZ,IAAM+jH,GAAM/jG,EAAMhgB,UAChCwjI,EAAQ,cAEV,EAEGC,EAAQ,iCACT7E,EAAOE,eAAel6E,SAASunB,EAAQxvE,QAEvCsiI,EAAYjlI,KAAK0c,KAAMsJ,GACvBkhH,EAAWlnI,KAAK0c,KAAMsJ,GAE1B,CAOA,SAASkiH,IACPsB,EAAQ,eAAe,EACzB,CAOA,SAASrB,IACP,MAAM9hI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCb,MAAQwF,CACf,CAOA,SAAS+hI,IACP,MAAM/hI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCb,MAAQwF,CACf,CAOA,SAASyhI,IACP,MAAMzhI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCb,MAAQwF,CACf,CAOA,SAASqiI,IACP,MAAM7kI,EAAO6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAI5C,GAAI+nI,EAAQ,eAAgB,CAE1B,MAAMe,EAAgBf,EAAQ,kBAAoB,WAClD5lI,EAAKlB,MAAQ,YAEbkB,EAAK2mI,cAAgBA,SAEd3mI,EAAKo/D,WACLp/D,EAAKgU,KACd,aAEShU,EAAKswF,kBAELtwF,EAAK2L,MAEdg6H,EAAQ,gBACV,CAOA,SAASnB,IACP,MAAMxkI,EAAO6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAI5C,GAAI+nI,EAAQ,eAAgB,CAE1B,MAAMe,EAAgBf,EAAQ,kBAAoB,WAClD5lI,EAAKlB,MAAQ,YAEbkB,EAAK2mI,cAAgBA,SAEd3mI,EAAKo/D,WACLp/D,EAAKgU,KACd,aAEShU,EAAKswF,kBAELtwF,EAAK2L,MAEdg6H,EAAQ,gBACV,CAOA,SAAShB,EAAgBxiH,GACvB,MAAM0/B,EAAShpC,KAAK+5G,eAAezwG,GAC7B+xB,EAAWr7B,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAGhDq2C,EAASvoC,MAAQ+0H,GAAa7+E,GAE9B3N,EAASo8C,WAAaynC,GAAoBl2E,GAAQhiD,aACpD,CAOA,SAAS4kI,IACP,MAAM/yF,EAAW74B,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAC1Cb,EAAQ6b,KAAK6sH,SACb1lI,EAAO6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAG5C,GADA8nI,EAAQ,eAAe,GACL,SAAd3lI,EAAKlB,KAAiB,CAGxB,MAAMG,EAAWyyC,EAASzyC,SAC1Be,EAAKf,SAAWA,CAClB,MACEe,EAAKoI,IAAMpL,CAEf,CAOA,SAAS+nI,IACP,MAAMviI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCuhE,IAAM58D,CACb,CAOA,SAASwiI,IACP,MAAMxiI,EAAOqW,KAAK6sH,SACL7sH,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCmW,MAAQxR,CACf,CAOA,SAAS0iI,IACPS,EAAQ,cACV,CAOA,SAASlD,IACPkD,EAAQ,gBAAiB,YAC3B,CAOA,SAASb,EAAsB3iH,GAC7B,MAAMxW,EAAQkN,KAAK6sH,SACb1lI,EAAO6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAG5CmC,EAAK2L,MAAQA,EAEb3L,EAAKswF,WAAaynC,GAChBl/G,KAAK+5G,eAAezwG,IACpBtiB,cACF8lI,EAAQ,gBAAiB,OAC3B,CAOA,SAASpC,EAA+BphH,GACtCwjH,EAAQ,yBAA0BxjH,EAAMrjB,KAC1C,CAMA,SAAS4kI,EAA8BvhH,GACrC,MAAM3f,EAAOqW,KAAK+5G,eAAezwG,GAC3BrjB,EAAO8mI,EAAQ,0BAErB,IAAI5oI,EACJ,GAAI8B,EACF9B,EAAQwjI,GACNh+H,EACS,oCAAT1D,EAA6C,GAAK,IAEpD6mI,EAAQ,8BACH,CAEL3oI,EADek/H,GAA8B15H,EAE/C,CACA,MAAM65E,EAAOxjE,KAAKgjB,MAAME,MACxBsgD,EAAKr/E,OAASA,EACdq/E,EAAKjhE,SAASjZ,IAAM+jH,GAAM/jG,EAAMhgB,IAClC,CAMA,SAASghI,EAAuBhhH,GAC9BkhH,EAAWlnI,KAAK0c,KAAMsJ,GACTtJ,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCuhE,IAAMvmD,KAAK+5G,eAAezwG,EACjC,CAMA,SAAS+gH,EAAoB/gH,GAC3BkhH,EAAWlnI,KAAK0c,KAAMsJ,GACTtJ,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvCuhE,IAAM,UAAYvmD,KAAK+5G,eAAezwG,EAC7C,CAOA,SAASy0G,IACP,MAAO,CACL93H,KAAM,aACNG,SAAU,GAEd,CAGA,SAASsiI,IACP,MAAO,CACLziI,KAAM,OACN8M,KAAM,KACNoiD,KAAM,KACNhxD,MAAO,GAEX,CAGA,SAASmjI,IACP,MAAO,CACLrhI,KAAM,aACN9B,MAAO,GAEX,CAGA,SAASsb,IACP,MAAO,CACLxZ,KAAM,aACNwxF,WAAY,GACZ3kF,MAAO,KACPqI,MAAO,KACPorD,IAAK,GAET,CAGA,SAASg/C,KACP,MAAO,CACLt/G,KAAM,WACNG,SAAU,GAEd,CAGA,SAASksG,KAEP,MAAO,CACLrsG,KAAM,UACN4nI,WAAOjmI,EACPxB,SAAU,GAEd,CAGA,SAAS8iI,KACP,MAAO,CACLjjI,KAAM,QAEV,CAGA,SAAS6C,KACP,MAAO,CACL7C,KAAM,OACN9B,MAAO,GAEX,CAGA,SAASy+G,KACP,MAAO,CACL38G,KAAM,QACNkV,MAAO,KACPorD,IAAK,GACLh3D,IAAK,KAET,CAGA,SAAS6N,KACP,MAAO,CACLnX,KAAM,OACNkV,MAAO,KACPorD,IAAK,GACLngE,SAAU,GAEd,CAMA,SAAS6M,GAAKqW,GACZ,MAAO,CACLrjB,KAAM,OACN8nI,QAAwB,gBAAfzkH,EAAMrjB,KACfT,MAAO,KACPinG,OAAQnjF,EAAMmkH,QACdrnI,SAAU,GAEd,CAMA,SAASkjI,GAAShgH,GAChB,MAAO,CACLrjB,KAAM,WACNwmG,OAAQnjF,EAAMmkH,QACdz9H,QAAS,KACT5J,SAAU,GAEd,CAGA,SAAS+5H,KACP,MAAO,CACLl6H,KAAM,YACNG,SAAU,GAEd,CAGA,SAAS6jI,KACP,MAAO,CACLhkI,KAAM,SACNG,SAAU,GAEd,CAGA,SAASmY,KACP,MAAO,CACLtY,KAAM,OACN9B,MAAO,GAEX,CAGA,SAAS+4H,KACP,MAAO,CACLj3H,KAAM,gBAEV,CACF,CA3kCW+nI,CAASjqI,EAATiqI,CChLJ,SAAqB/W,GAC1B,MAAQyB,GAAYzB,KAGpB,OAAOA,CACT,CD4KMgX,CEvKC,SAAelqI,GACpB,MAMMi+B,EAAS,CACbtiB,QAAS,GACTmzE,KAAM,CAAC,EACPiiC,WANAL,EAAkB,CAACyZ,MAHJnqI,GAAW,CAAC,GAGwB2wH,YAAc,KAOjEhtH,QAASwD,EAAOxD,IAChB4c,SAAUpZ,EAAOoZ,IACjB0gF,KAAM95F,EAAO85F,IACbh8C,OAAQ99C,EAAO89C,IACfzqC,KAAMrT,EAAOqT,KAEf,OAAOyjB,EAKP,SAAS92B,EAAO8uH,GACd,OAEA,SAAiBtP,GACf,OAAOsQ,GAAgBh5F,EAAQg4F,EAAStP,EAC1C,CACF,CACF,CF2IQniG,CAAMxkB,GAASugB,WAAWg0B,MHxK3B,WACL,IAKI61F,EALAhsH,EAAS,EACTkuG,EAAS,GAET7qH,GAAQ,EAGZ,OAGA,SAAsBrB,EAAOwsH,EAAUrnH,GAErC,MAAMqxH,EAAS,GAEf,IAAIr1H,EAEAsgB,EAEAwzG,EAEAgV,EAEA5xH,EAaJ,IAVArY,EAAQksH,EAASlsH,EAAMpB,SAAS4tH,GAChCyI,EAAgB,EAChB/I,EAAS,GACL7qH,IAE0B,QAAxBrB,EAAM4f,WAAW,IACnBq1G,IAEF5zH,OAAQoC,GAEHwxH,EAAgBj1H,EAAMa,QAAQ,CAMnC,GALAS,GAAOC,UAAY0zH,EACnB9zH,EAAQG,GAAOE,KAAKxB,GACpBiqI,EACE9oI,QAAyBsC,IAAhBtC,EAAMO,MAAsBP,EAAMO,MAAQ1B,EAAMa,OAC3DwX,EAAOrY,EAAM4f,WAAWqqH,IACnB9oI,EAAO,CACV+qH,EAASlsH,EAAMyB,MAAMwzH,GACrB,KACF,CACA,GAAa,KAAT58G,GAAe48G,IAAkBgV,GAAeD,EAClDxT,EAAO30H,MAAM,GACbmoI,OAAmBvmI,OAUnB,OARIumI,IACFxT,EAAO30H,MAAM,GACbmoI,OAAmBvmI,GAEjBwxH,EAAgBgV,IAClBzT,EAAO30H,KAAK7B,EAAMyB,MAAMwzH,EAAegV,IACvCjsH,GAAUisH,EAAchV,GAElB58G,GACN,KAAK,EACHm+G,EAAO30H,KAAK,OACZmc,IACA,MAEF,KAAK,EAGH,IAFAyD,EAA+B,EAAxBrF,KAAKsmE,KAAK1kE,EAAS,GAC1Bw4G,EAAO30H,MAAM,GACNmc,IAAWyD,GAAM+0G,EAAO30H,MAAM,GACrC,MAEF,KAAK,GACH20H,EAAO30H,MAAM,GACbmc,EAAS,EACT,MAEF,QACEgsH,GAAmB,EACnBhsH,EAAS,EAIfi3G,EAAgBgV,EAAc,CAChC,CAMA,OALI9kI,IACE6kI,GAAkBxT,EAAO30H,MAAM,GAC/BqqH,GAAQsK,EAAO30H,KAAKqqH,GACxBsK,EAAO30H,KAAK,OAEP20H,CACT,CACF,CG+EwC0T,GAAalqI,EAAOwsH,GAAU,KAGpE,EAglCF,SAAStD,GAAMviE,GACb,MAAO,CACLtoC,KAAMsoC,EAAEtoC,KACRL,OAAQ2oC,EAAE3oC,OACVkG,OAAQyiC,EAAEziC,OAEd,CAOA,SAASskH,GAAU2B,EAAU5Z,GAC3B,IAAI7uH,GAAS,EACb,OAASA,EAAQ6uH,EAAW1vH,QAAQ,CAClC,MAAMb,EAAQuwH,EAAW7uH,GACrBxC,MAAMF,QAAQgB,GAChBwoI,GAAU2B,EAAUnqI,GAEpBoiH,GAAU+nB,EAAUnqI,EAExB,CACF,CAOA,SAASoiH,GAAU+nB,EAAU/nB,GAE3B,IAAI9iH,EACJ,IAAKA,KAAO8iH,EACV,GAAIn/G,GAAI9D,KAAKijH,EAAW9iH,GACtB,GAAY,mBAARA,EAA0B,CAC5B,MAAMoxH,EAAQtO,EAAU9iH,GACpBoxH,GACFyZ,EAAS7qI,GAAKuC,QAAQ6uH,EAE1B,MAAO,GAAY,eAARpxH,EAAsB,CAC/B,MAAMoxH,EAAQtO,EAAU9iH,GACpBoxH,GACFyZ,EAAS7qI,GAAKuC,QAAQ6uH,EAE1B,MAAO,GAAY,UAARpxH,GAA2B,SAARA,EAAgB,CAC5C,MAAMoxH,EAAQtO,EAAU9iH,GACpBoxH,GACFlyH,OAAOqhC,OAAOsqG,EAAS7qI,GAAMoxH,EAEjC,CAGN,CAGA,SAASsY,GAAetjE,EAAMgrD,GAC5B,MAAIhrD,EACI,IAAIvhE,MACR,iBACEuhE,EAAK5jE,KACL,MACAmnH,EAAkB,CAChB5nH,MAAOqkE,EAAKrkE,MACZ8D,IAAKugE,EAAKvgE,MAEZ,0BACAurH,EAAM5uH,KACN,MACAmnH,EAAkB,CAChB5nH,MAAOqvH,EAAMrvH,MACb8D,IAAKurH,EAAMvrH,MAEb,aAGE,IAAIhB,MACR,oCACEusH,EAAM5uH,KACN,MACAmnH,EAAkB,CAChB5nH,MAAOqvH,EAAMrvH,MACb8D,IAAKurH,EAAMvrH,MAEb,kBAGR,CG31Ce,SAASilI,GAAYxqI,GAkBlCpB,OAAOqhC,OAAOhkB,KAAM,CAACwyG,OAhBLhvB,IAEd,MAAMx+E,EAAmChF,KAAKrW,KAAK,YAEnD,OAAOs+H,GACLzkC,EACA7gG,OAAOqhC,OAAO,CAAC,EAAGhf,EAAUjhB,EAAS,CAInC2wH,WAAY10G,KAAKrW,KAAK,wBAA0B,GAChDijI,gBAAiB5sH,KAAKrW,KAAK,2BAA6B,KAE3D,GAIL,CC6BO,SAAS6kI,GAAarqI,GAE3B,MAAM4C,EAAS,GACf,IAAIlB,GAAS,EACTL,EAAQ,EACRipI,EAAO,EACX,OAAS5oI,EAAQ1B,EAAMa,QAAQ,CAC7B,MAAMwX,EAAOrY,EAAM4f,WAAWle,GAE9B,IAAIsE,EAAU,GAGd,GACW,KAATqS,GACA04G,EAAkB/wH,EAAM4f,WAAWle,EAAQ,KAC3CqvH,EAAkB/wH,EAAM4f,WAAWle,EAAQ,IAE3C4oI,EAAO,OAGJ,GAAIjyH,EAAO,IACT,oBAAoBxS,KAAKzB,OAAOwe,aAAavK,MAChDrS,EAAU5B,OAAOwe,aAAavK,SAI7B,GAAIA,EAAO,OAASA,EAAO,MAAO,CACrC,MAAMoJ,EAAOzhB,EAAM4f,WAAWle,EAAQ,GAGlC2W,EAAO,OAASoJ,EAAO,OAASA,EAAO,OACzCzb,EAAU5B,OAAOwe,aAAavK,EAAMoJ,GACpC6oH,EAAO,GAIPtkI,EAAU,QAEd,MAGEA,EAAU5B,OAAOwe,aAAavK,GAE5BrS,IACFpD,EAAOf,KAAK7B,EAAMyB,MAAMJ,EAAOK,GAAQmkD,mBAAmB7/C,IAC1D3E,EAAQK,EAAQ4oI,EAAO,EACvBtkI,EAAU,IAERskI,IACF5oI,GAAS4oI,EACTA,EAAO,EAEX,CACA,OAAO1nI,EAAOiB,KAAK,IAAM7D,EAAMyB,MAAMJ,EACvC,CCrBO,MAyEMkpI,GAYT,SAAU1kI,GACR,QAAapC,IAAToC,GAA+B,OAATA,EACxB,OAAOg9E,GAGT,GAAoB,kBAATh9E,EACT,OA8ER,SAAqB8V,GACnB,OAAO6uH,GAAY1oI,GAKnB,SAASA,EAAKkB,GACZ,OAAOA,GAAQA,EAAKlB,OAAS6Z,CAC/B,CACF,CAvFe8uH,CAAY5kI,GAGrB,GAAoB,kBAATA,EACT,OAAO3G,MAAMF,QAAQ6G,GAe7B,SAAoBqd,GAElB,MAAM1H,EAAS,GACf,IAAI9Z,GAAS,EAEb,OAASA,EAAQwhB,EAAMriB,QACrB2a,EAAO9Z,GAAS6oI,GAAQrnH,EAAMxhB,IAGhC,OAAO8oI,GAAYzlF,GAOnB,SAASA,IACP,IAAIrjD,GAAS,EAAC,QAAA+oH,EAAA9pH,UAAAE,OADA0sH,EAAU,IAAAruH,MAAAurH,GAAAE,EAAA,EAAAA,EAAAF,EAAAE,IAAV4C,EAAU5C,GAAAhqH,UAAAgqH,GAGxB,OAASjpH,EAAQ8Z,EAAO3a,QACtB,GAAI2a,EAAO9Z,GAAOvC,KAAK0c,QAAS0xG,GAAa,OAAO,EAGtD,OAAO,CACT,CACF,CAxCqCmd,CAAW7kI,GAgDhD,SAAsB8V,GACpB,OAAO6uH,GAAY/kB,GAMnB,SAASA,EAAIziH,GAEX,IAAI1D,EAEJ,IAAKA,KAAOqc,EAEV,GAAI3Y,EAAK1D,KAASqc,EAAMrc,GAAM,OAAO,EAGvC,OAAO,CACT,CACF,CAlEwDqrI,CAAa9kI,GAG/D,GAAoB,oBAATA,EACT,OAAO2kI,GAAY3kI,GAGrB,MAAM,IAAI1B,MAAM,+CAClB,EAmFJ,SAASqmI,GAAY7uH,GACnB,OAQA,SAAmB3Y,GAAqB,QAAAiqH,EAAAtsH,UAAAE,OAAZ0sH,EAAU,IAAAruH,MAAA+tH,EAAA,EAAAA,EAAA,KAAAC,EAAA,EAAAA,EAAAD,EAAAC,IAAVK,EAAUL,EAAA,GAAAvsH,UAAAusH,GACpC,OAAO9iB,QACLpnG,GACkB,kBAATA,GACP,SAAUA,GAEVonG,QAAQzuF,EAAMxc,KAAK0c,KAAM7Y,KAASuqH,IAExC,CACF,CAEA,SAAS1qC,KACP,OAAO,CACT,CC5NO,MAAM+nD,IAAW,EAKXC,IAAO,EAoCPC,GAeT,SAAUtb,EAAM3pH,EAAMklI,EAASC,GACT,oBAATnlI,GAA0C,oBAAZklI,IACvCC,EAAUD,EAEVA,EAAUllI,EACVA,EAAO,MAGT,MAAMsI,EAAKo8H,GAAQ1kI,GACbiR,EAAOk0H,GAAW,EAAI,GAS5B,SAASpmI,EAAQ5B,EAAMtB,EAAOupI,GAG5B,MAAMjrI,EAAQgD,GAAwB,kBAATA,EAAoBA,EAAO,CAAC,EAEzD,GAA0B,kBAAfhD,EAAM8B,KAAmB,CAClC,MAAMjC,EAEqB,kBAAlBG,EAAM+B,QACT/B,EAAM+B,QAEc,kBAAf/B,EAAMH,KACXG,EAAMH,UACN4D,EAENjF,OAAOK,eAAeqsI,EAAO,OAAQ,CACnClrI,MACE,SAAiBgD,EAAKlB,MAAQjC,EAAO,IAAMA,EAAO,IAAM,IAAO,KAErE,CAEA,OAAOqrI,EAEP,SAASA,IAEP,IAEIC,EAEAjnH,EAEAknH,EANAxoI,EAAS,GAQb,KAAKiD,GAAQsI,EAAGnL,EAAMtB,EAAOupI,EAAQA,EAAQpqI,OAAS,IAAM,SAC1D+B,EA0CZ,SAAkB5C,GAChB,GAAId,MAAMF,QAAQgB,GAChB,OAAOA,EAGT,GAAqB,kBAAVA,EACT,MAAO,CAAC4qI,GAAU5qI,GAGpB,MAAO,CAACA,EACV,CApDqBqrI,CAASN,EAAQ/nI,EAAMioI,IAE5BroI,EAAO,KAAOioI,IAChB,OAAOjoI,EAKX,GAAII,EAAKf,UA1GC,SA0GWW,EAAO,GAO1B,IALAshB,GAAU8mH,EAAUhoI,EAAKf,SAASpB,QAAU,GAAKiW,EAEjDs0H,EAAeH,EAAQlnI,OAAOf,GAGvBkhB,GAAU,GAAKA,EAASlhB,EAAKf,SAASpB,QAAQ,CAInD,GAFAsqI,EAAYvmI,EAAQ5B,EAAKf,SAASiiB,GAASA,EAAQknH,EAAvCxmI,GAERumI,EAAU,KAAON,GACnB,OAAOM,EAGTjnH,EAC0B,kBAAjBinH,EAAU,GAAkBA,EAAU,GAAKjnH,EAASpN,CAC/D,CAGF,OAAOlU,CACT,CACF,CAvEAgC,CAAQ4qH,OAAM/rH,EAAW,GAAzBmB,EAwEF,EC7EG,MAAMsmI,GAeT,SAAU1b,EAAM3pH,EAAMklI,EAASC,GACT,oBAATnlI,GAA0C,oBAAZklI,IACvCC,EAAUD,EACVA,EAAUllI,EACVA,EAAO,MAGTilI,GAAatb,EAAM3pH,GAMnB,SAAkB7C,EAAMioI,GACtB,MAAMppG,EAASopG,EAAQA,EAAQpqI,OAAS,GACxC,OAAOkqI,EACL/nI,EACA6+B,EAASA,EAAO5/B,SAASgD,QAAQjC,GAAQ,KACzC6+B,EAEJ,GAbmCmpG,EAcrC,ECrJSM,GAAapiB,GAAM,SAUnBqiB,GAAWriB,GAAM,OAsB9B,SAASA,GAAMpnH,GACb,OAQA,SAAekB,GACb,MAAMkmH,EAASlmH,GAAQA,EAAKob,UAAYpb,EAAKob,SAAStc,IAAU,CAAC,EAGjE,MAAO,CAELuc,KAAM6qG,EAAM7qG,MAAQ,KAEpBL,OAAQkrG,EAAMlrG,QAAU,KAExBkG,OAAQglG,EAAMhlG,QAAU,EAAIglG,EAAMhlG,OAAS,KAE/C,CACF,CChEA,MAAMjhB,GAAM,CAAC,EAAEvE,eA0Cf,SAAS8sI,GAAMxrI,GACb,OAAOoE,OAAOpE,GAAS,IAAImG,aAC7B,CC7CO,SAASslI,GAAkBvnG,EAAOlhC,GACvC,MAAMrB,EAAKyC,OAAOpB,EAAKswF,YAAYntF,cAC7BulI,EAASrB,GAAa1oI,EAAGkB,eACzBnB,EAAQwiC,EAAMynG,cAAc1mI,QAAQtD,GAE1C,IAAIunG,GAEW,IAAXxnG,GACFwiC,EAAMynG,cAAc9pI,KAAKF,GACzBuiC,EAAM0nG,eAAejqI,GAAM,EAC3BunG,EAAUhlE,EAAMynG,cAAc9qI,SAE9BqjC,EAAM0nG,eAAejqI,KACrBunG,EAAUxnG,EAAQ,GAGpB,MAAMmqI,EAAe3nG,EAAM0nG,eAAejqI,GAGpCsX,EAAO,CACXnX,KAAM,UACNC,QAAS,IACTC,WAAY,CACV2L,KAAM,IAAMu2B,EAAM4nG,cAAgB,MAAQJ,EAC1C/pI,GACEuiC,EAAM4nG,cACN,SACAJ,GACCG,EAAe,EAAI,IAAMA,EAAe,IAC3CE,iBAAiB,EACjBlkI,gBAAiB,CAAC,mBAEpB5F,SAAU,CAAC,CAACH,KAAM,OAAQ9B,MAAOoE,OAAO8kG,MAE1ChlE,EAAM8nG,MAAMhpI,EAAMiW,GAGlB,MAAMg3E,EAAM,CACVnuF,KAAM,UACNC,QAAS,MACTC,WAAY,CAAC,EACbC,SAAU,CAACgX,IAGb,OADAirB,EAAM8nG,MAAMhpI,EAAMitF,GACX/rD,EAAM+nG,UAAUjpI,EAAMitF,EAC/B,CCpCO,SAASi8C,GAAOhoG,EAAOlhC,GAC5B,MAAMmpI,EAAUnpI,EAAK2mI,cACrB,IAAIvkC,EAAS,IAQb,GANgB,cAAZ+mC,EACF/mC,GAAU,KACW,SAAZ+mC,IACT/mC,GAAU,KAAOpiG,EAAK2L,OAAS3L,EAAKswF,YAAc,KAGlC,mBAAdtwF,EAAKlB,KACP,MAAO,CAACA,KAAM,OAAQ9B,MAAO,KAAOgD,EAAKoI,IAAMg6F,GAGjD,MAAMgnC,EAAWloG,EAAMuhF,IAAIziH,GACrBuiH,EAAO6mB,EAAS,GAElB7mB,GAAsB,SAAdA,EAAKzjH,KACfyjH,EAAKvlH,MAAQ,IAAMulH,EAAKvlH,MAExBosI,EAAShpI,QAAQ,CAACtB,KAAM,OAAQ9B,MAAO,MAGzC,MAAMq/E,EAAO+sD,EAASA,EAASvrI,OAAS,GAQxC,OANIw+E,GAAsB,SAAdA,EAAKv9E,KACfu9E,EAAKr/E,OAASolG,EAEdgnC,EAASvqI,KAAK,CAACC,KAAM,OAAQ9B,MAAOolG,IAG/BgnC,CACT,CC+DA,SAASC,GAAcrpI,GACrB,MAAMslG,EAAStlG,EAAKslG,OAEpB,YAAkB7kG,IAAX6kG,GAAmC,OAAXA,EAC3BtlG,EAAKf,SAASpB,OAAS,EACvBynG,CACN,CChIA,MAAM7lF,GAAM,EACNpd,GAAQ,GAWP,SAASinI,GAAUtsI,GACxB,MAAMwe,EAASpa,OAAOpE,GAChBsB,EAAS,YACf,IAAIH,EAAQG,EAAOE,KAAKgd,GACpBu4B,EAAO,EAEX,MAAM74B,EAAQ,GAEd,KAAO/c,GACL+c,EAAMrc,KACJ0qI,GAAS/tH,EAAO/c,MAAMs1C,EAAM51C,EAAMO,OAAQq1C,EAAO,GAAG,GACpD51C,EAAM,IAGR41C,EAAO51C,EAAMO,MAAQP,EAAM,GAAGN,OAC9BM,EAAQG,EAAOE,KAAKgd,GAKtB,OAFAN,EAAMrc,KAAK0qI,GAAS/tH,EAAO/c,MAAMs1C,GAAOA,EAAO,GAAG,IAE3C74B,EAAMra,KAAK,GACpB,CAYA,SAAS0oI,GAASvsI,EAAOqB,EAAO8D,GAC9B,IAAIwyH,EAAa,EACbE,EAAW73H,EAAMa,OAErB,GAAIQ,EAAO,CACT,IAAIgX,EAAOrY,EAAMwsI,YAAY7U,GAE7B,KAAOt/G,IAASoK,IAAOpK,IAAShT,IAC9BsyH,IACAt/G,EAAOrY,EAAMwsI,YAAY7U,EAE7B,CAEA,GAAIxyH,EAAK,CACP,IAAIkT,EAAOrY,EAAMwsI,YAAY3U,EAAW,GAExC,KAAOx/G,IAASoK,IAAOpK,IAAShT,IAC9BwyH,IACAx/G,EAAOrY,EAAMwsI,YAAY3U,EAAW,EAExC,CAEA,OAAOA,EAAWF,EAAa33H,EAAMyB,MAAMk2H,EAAYE,GAAY,EACrE,CCxCO,MAAM4U,GAAW,CACtB18B,WCbK,SAAoB7rE,EAAOlhC,GAEhC,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,aACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAM7sB,KAAK6sB,EAAMuhF,IAAIziH,IAAO,IAGxC,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EDIE8pI,MEbK,SAAmBxoG,EAAOlhC,GAE/B,MAAMJ,EAAS,CAACd,KAAM,UAAWC,QAAS,KAAMC,WAAY,CAAC,EAAGC,SAAU,IAE1E,OADAiiC,EAAM8nG,MAAMhpI,EAAMJ,GACX,CAACshC,EAAM+nG,UAAUjpI,EAAMJ,GAAS,CAACd,KAAM,OAAQ9B,MAAO,MAC/D,EFSEqY,KGbK,SAAc6rB,EAAOlhC,GAC1B,MAAMhD,EAAQgD,EAAKhD,MAAQgD,EAAKhD,MAAQ,KAAO,GAGzC4O,EAAO5L,EAAK4L,KAAO5L,EAAK4L,KAAKzN,MAAM,uBAAyB,KAE5Da,EAAa,CAAC,EAEhB4M,IACF5M,EAAWJ,UAAY,CAAC,YAAcgN,IAKxC,IAAIhM,EAAS,CACXd,KAAM,UACNC,QAAS,OACTC,aACAC,SAAU,CAAC,CAACH,KAAM,OAAQ9B,WAa5B,OAVIgD,EAAKguD,OACPpuD,EAAO4C,KAAO,CAACwrD,KAAMhuD,EAAKguD,OAG5B9sB,EAAM8nG,MAAMhpI,EAAMJ,GAClBA,EAASshC,EAAM+nG,UAAUjpI,EAAMJ,GAG/BA,EAAS,CAACd,KAAM,UAAWC,QAAS,MAAOC,WAAY,CAAC,EAAGC,SAAU,CAACW,IACtEshC,EAAM8nG,MAAMhpI,EAAMJ,GACXA,CACT,EHlBEk1D,OIfK,SAAuB5zB,EAAOlhC,GAEnC,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,MACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EJMEw+G,SKjBK,SAAkBl9E,EAAOlhC,GAE9B,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,KACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,ELQE6oI,kBAAiB,GACjB/sB,SMXK,SAAkBx6E,EAAOlhC,GAC9B,MAAM2pI,EAAezoG,EAAMyoG,aAC3B,IAAI/oB,EAAK,EAET,KAAOA,KAAM+oB,GAAc/oB,IAE3B,MAAMtwB,EAAalvF,OAAOw/G,GAS1B,OAPA+oB,EAAar5C,GAAc,CACzBxxF,KAAM,qBACNwxF,aACArxF,SAAU,CAAC,CAACH,KAAM,YAAaG,SAAUe,EAAKf,WAC9Cmc,SAAUpb,EAAKob,UAGVqtH,GAAkBvnG,EAAO,CAC9BpiC,KAAM,oBACNwxF,aACAl1E,SAAUpb,EAAKob,UAEnB,ENRE+vF,QOpBK,SAAiBjqE,EAAOlhC,GAE7B,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,IAAMiB,EAAK0mI,MACpB1nI,WAAY,CAAC,EACbC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EPWE+B,KQnBK,SAAcu/B,EAAOlhC,GAC1B,GAAIkhC,EAAM0oG,UAAW,CAEnB,MAAMhqI,EAAS,CAACd,KAAM,MAAO9B,MAAOgD,EAAKhD,OAEzC,OADAkkC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,CAGA,OAAO,IACT,ERUEiqI,eSjBK,SAAwB3oG,EAAOlhC,GACpC,MAAMuuG,EAAMrtE,EAAM5oB,WAAWtY,EAAKswF,YAElC,IAAKie,EACH,OAAO26B,GAAOhoG,EAAOlhC,GAIvB,MAAMhB,EAAa,CAACzB,IAAK8pI,GAAa94B,EAAInvC,KAAO,IAAKh3D,IAAKpI,EAAKoI,KAE9C,OAAdmmG,EAAIv6F,YAAgCvT,IAAd8tG,EAAIv6F,QAC5BhV,EAAWgV,MAAQu6F,EAAIv6F,OAIzB,MAAMpU,EAAS,CAACd,KAAM,UAAWC,QAAS,MAAOC,aAAYC,SAAU,IAEvE,OADAiiC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,ETAE67G,MUpBK,SAAev6E,EAAOlhC,GAE3B,MAAMhB,EAAa,CAACzB,IAAK8pI,GAAarnI,EAAKo/D,MAE1B,OAAbp/D,EAAKoI,UAA6B3H,IAAbT,EAAKoI,MAC5BpJ,EAAWoJ,IAAMpI,EAAKoI,KAGL,OAAfpI,EAAKgU,YAAiCvT,IAAfT,EAAKgU,QAC9BhV,EAAWgV,MAAQhU,EAAKgU,OAI1B,MAAMpU,EAAS,CAACd,KAAM,UAAWC,QAAS,MAAOC,aAAYC,SAAU,IAEvE,OADAiiC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EVKEkqI,WWvBK,SAAoB5oG,EAAOlhC,GAEhC,MAAMoX,EAAO,CAACtY,KAAM,OAAQ9B,MAAOgD,EAAKhD,MAAMgG,QAAQ,YAAa,MACnEk+B,EAAM8nG,MAAMhpI,EAAMoX,GAGlB,MAAMxX,EAAS,CACbd,KAAM,UACNC,QAAS,OACTC,WAAY,CAAC,EACbC,SAAU,CAACmY,IAGb,OADA8pB,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EXUEmqI,cYpBK,SAAuB7oG,EAAOlhC,GACnC,MAAMuuG,EAAMrtE,EAAM5oB,WAAWtY,EAAKswF,YAElC,IAAKie,EACH,OAAO26B,GAAOhoG,EAAOlhC,GAIvB,MAAMhB,EAAa,CAAC2L,KAAM08H,GAAa94B,EAAInvC,KAAO,KAEhC,OAAdmvC,EAAIv6F,YAAgCvT,IAAd8tG,EAAIv6F,QAC5BhV,EAAWgV,MAAQu6F,EAAIv6F,OAIzB,MAAMpU,EAAS,CACbd,KAAM,UACNC,QAAS,IACTC,aACAC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EZFEqW,KavBK,SAAcirB,EAAOlhC,GAE1B,MAAMhB,EAAa,CAAC2L,KAAM08H,GAAarnI,EAAKo/D,MAEzB,OAAfp/D,EAAKgU,YAAiCvT,IAAfT,EAAKgU,QAC9BhV,EAAWgV,MAAQhU,EAAKgU,OAI1B,MAAMpU,EAAS,CACbd,KAAM,UACNC,QAAS,IACTC,aACAC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EbOEuiI,SFfK,SAAkBjhG,EAAOlhC,EAAM6+B,GACpC,MAAM5mB,EAAUipB,EAAMuhF,IAAIziH,GACpBgqI,EAAQnrG,EAyEhB,SAAmB7+B,GACjB,IAAIgqI,GAAQ,EACZ,GAAkB,SAAdhqI,EAAKlB,KAAiB,CACxBkrI,EAAQhqI,EAAKslG,SAAU,EACvB,MAAMrmG,EAAWe,EAAKf,SACtB,IAAIP,GAAS,EAEb,MAAQsrI,KAAWtrI,EAAQO,EAASpB,QAClCmsI,EAAQX,GAAcpqI,EAASP,GAEnC,CAEA,OAAOsrI,CACT,CAtFyBC,CAAUprG,GAAUwqG,GAAcrpI,GAEnDhB,EAAa,CAAC,EAEdC,EAAW,GAEjB,GAA4B,mBAAjBe,EAAK6I,QAAuB,CACrC,MAAM05G,EAAOtqG,EAAQ,GAErB,IAAI+gH,EAEAzW,GAAsB,YAAdA,EAAKzjH,MAAuC,MAAjByjH,EAAKxjH,QAC1Ci6H,EAAYzW,GAEZyW,EAAY,CAACl6H,KAAM,UAAWC,QAAS,IAAKC,WAAY,CAAC,EAAGC,SAAU,IACtEgZ,EAAQ7X,QAAQ44H,IAGdA,EAAU/5H,SAASpB,OAAS,GAC9Bm7H,EAAU/5H,SAASmB,QAAQ,CAACtB,KAAM,OAAQ9B,MAAO,MAGnDg8H,EAAU/5H,SAASmB,QAAQ,CACzBtB,KAAM,UACNC,QAAS,QACTC,WAAY,CAACF,KAAM,WAAY+J,QAAS7I,EAAK6I,QAASe,UAAU,GAChE3K,SAAU,KAKZD,EAAWJ,UAAY,CAAC,iBAC1B,CAEA,IAAIF,GAAS,EAEb,OAASA,EAAQuZ,EAAQpa,QAAQ,CAC/B,MAAMu1C,EAAQn7B,EAAQvZ,IAIpBsrI,GACU,IAAVtrI,GACe,YAAf00C,EAAMt0C,MACY,MAAlBs0C,EAAMr0C,UAENE,EAASJ,KAAK,CAACC,KAAM,OAAQ9B,MAAO,OAGnB,YAAfo2C,EAAMt0C,MAAwC,MAAlBs0C,EAAMr0C,SAAoBirI,EAGxD/qI,EAASJ,KAAKu0C,GAFdn0C,EAASJ,QAAQu0C,EAAMn0C,SAI3B,CAEA,MAAMo9E,EAAOpkE,EAAQA,EAAQpa,OAAS,GAGlCw+E,IAAS2tD,GAAuB,YAAd3tD,EAAKv9E,MAAuC,MAAjBu9E,EAAKt9E,UACpDE,EAASJ,KAAK,CAACC,KAAM,OAAQ9B,MAAO,OAItC,MAAM4C,EAAS,CAACd,KAAM,UAAWC,QAAS,KAAMC,aAAYC,YAE5D,OADAiiC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EErDEkM,Kc3BK,SAAco1B,EAAOlhC,GAE1B,MAAMhB,EAAa,CAAC,EACdiZ,EAAUipB,EAAMuhF,IAAIziH,GAC1B,IAAItB,GAAS,EAOb,IAL0B,kBAAfsB,EAAK3B,OAAqC,IAAf2B,EAAK3B,QACzCW,EAAWX,MAAQ2B,EAAK3B,SAIjBK,EAAQuZ,EAAQpa,QAAQ,CAC/B,MAAMu1C,EAAQn7B,EAAQvZ,GAEtB,GACiB,YAAf00C,EAAMt0C,MACY,OAAlBs0C,EAAMr0C,SACNq0C,EAAMp0C,YACN9C,MAAMF,QAAQo3C,EAAMp0C,WAAWJ,YAC/Bw0C,EAAMp0C,WAAWJ,UAAUmoD,SAAS,kBACpC,CACA/nD,EAAWJ,UAAY,CAAC,sBACxB,KACF,CACF,CAGA,MAAMgB,EAAS,CACbd,KAAM,UACNC,QAASiB,EAAK4mI,QAAU,KAAO,KAC/B5nI,aACAC,SAAUiiC,EAAM7sB,KAAK4D,GAAS,IAGhC,OADAipB,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EdPEo5H,Ue7BK,SAAmB93F,EAAOlhC,GAE/B,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,IACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EfoBEqhH,KgB7BK,SAAc//E,EAAOlhC,GAE1B,MAAMJ,EAAS,CAACd,KAAM,OAAQG,SAAUiiC,EAAM7sB,KAAK6sB,EAAMuhF,IAAIziH,KAE7D,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EhByBEkjI,OiB/BK,SAAgB5hG,EAAOlhC,GAE5B,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,SACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EjBsBEmxF,MkB9BK,SAAe7vD,EAAOlhC,GAC3B,MAAM8S,EAAOouB,EAAMuhF,IAAIziH,GACjBkqI,EAAWp3H,EAAKqpC,QAEhBguF,EAAe,GAErB,GAAID,EAAU,CAEZ,MAAM3nB,EAAO,CACXzjH,KAAM,UACNC,QAAS,QACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAM7sB,KAAK,CAAC61H,IAAW,IAEnChpG,EAAM8nG,MAAMhpI,EAAKf,SAAS,GAAIsjH,GAC9B4nB,EAAatrI,KAAK0jH,EACpB,CAEA,GAAIzvG,EAAKjV,OAAS,EAAG,CAEnB,MAAMwqD,EAAO,CACXvpD,KAAM,UACNC,QAAS,QACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAM7sB,KAAKvB,GAAM,IAGvBzU,EAAQiqI,GAAWtoI,EAAKf,SAAS,IACjCkD,EAAMomI,GAASvoI,EAAKf,SAASe,EAAKf,SAASpB,OAAS,IACtDQ,EAAMgd,MAAQlZ,EAAIkZ,OAAMgtC,EAAKjtC,SAAW,CAAC/c,QAAO8D,QACpDgoI,EAAatrI,KAAKwpD,EACpB,CAGA,MAAMzoD,EAAS,CACbd,KAAM,UACNC,QAAS,QACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAM7sB,KAAK81H,GAAc,IAGrC,OADAjpG,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,ElBXEgtG,UmBjCK,SAAmB1rE,EAAOlhC,GAI/B,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,KACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAMuhF,IAAIziH,IAGtB,OADAkhC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EnBsBE6+F,SoBtBK,SAAkBv9D,EAAOlhC,EAAM6+B,GACpC,MAAMO,EAAWP,EAASA,EAAO5/B,cAAWwB,EAGtC1B,EAAuB,KADZqgC,EAAWA,EAASn9B,QAAQjC,GAAQ,GACpB,KAAO,KAClCsU,EAAQuqB,GAA0B,UAAhBA,EAAO//B,KAAmB+/B,EAAOvqB,WAAQ7T,EAC3D5C,EAASyW,EAAQA,EAAMzW,OAASmC,EAAKf,SAASpB,OACpD,IAAIusI,GAAa,EAEjB,MAAMC,EAAQ,GAEd,OAASD,EAAYvsI,GAAQ,CAE3B,MAAMq/F,EAAOl9F,EAAKf,SAASmrI,GAErBprI,EAAa,CAAC,EACdsrI,EAAah2H,EAAQA,EAAM81H,QAAa3pI,EAE1C6pI,IACFtrI,EAAWsV,MAAQg2H,GAIrB,IAAI1qI,EAAS,CAACd,KAAM,UAAWC,UAASC,aAAYC,SAAU,IAE1Di+F,IACFt9F,EAAOX,SAAWiiC,EAAMuhF,IAAIvlB,GAC5Bh8D,EAAM8nG,MAAM9rC,EAAMt9F,GAClBA,EAASshC,EAAM+nG,UAAUjpI,EAAMJ,IAGjCyqI,EAAMxrI,KAAKe,EACb,CAGA,MAAMA,EAAS,CACbd,KAAM,UACNC,QAAS,KACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAM7sB,KAAKg2H,GAAO,IAG9B,OADAnpG,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EpBpBEwX,KqBhCK,SAAc8pB,EAAOlhC,GAE1B,MAAMJ,EAAS,CAACd,KAAM,OAAQ9B,MAAOssI,GAAUloI,OAAOpB,EAAKhD,SAE3D,OADAkkC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,ErB4BEm2H,csBpCK,SAAuB70F,EAAOlhC,GAEnC,MAAMJ,EAAS,CACbd,KAAM,UACNC,QAAS,KACTC,WAAY,CAAC,EACbC,SAAU,IAGZ,OADAiiC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,EtB2BEo8G,KAAMhZ,GACN8J,KAAM9J,GACN1qF,WAAY0qF,GACZunC,mBAAoBvnC,IAItB,SAASA,KAEP,OAAO,IACT,CuBwGA,MAAM/iG,GAAM,CAAC,EAAEvE,eAYR,SAAS8uI,GAAYhe,EAAM5vH,GAChC,MAAMihB,EAAWjhB,GAAW,CAAC,EACvBgtI,EAAY/rH,EAAS4sH,qBAAsB,EAE3Cd,EAAe,CAAC,EA2DtB,OAnDAzoG,EAAM0oG,UAAYA,EAElB1oG,EAAM4nG,mBACuBroI,IAA3Bod,EAASirH,eAA0D,OAA3BjrH,EAASirH,cAC7C,gBACAjrH,EAASirH,cAEf5nG,EAAMwpG,cAAgB7sH,EAAS6sH,eAAiB,YAEhDxpG,EAAMypG,qBAAuB9sH,EAAS8sH,sBAAwB,KAE9DzpG,EAAM0pG,wBAA0B/sH,EAAS+sH,yBAA2B,CAClEhsI,UAAW,CAAC,YAGdsiC,EAAM2pG,kBAAoBhtH,EAASgtH,mBAAqB,kBAExD3pG,EAAM4pG,eAAiBjtH,EAASitH,eAEhC5pG,EAAM6pG,YAAcltH,EAASktH,YAE7B7pG,EAAMuoG,SAAW,IAAIA,MAAa5rH,EAAS4rH,UAI3CvoG,EAAM5oB,W5BxLD,SAAqBk0G,GAE1B,MAAM9oC,EAAQloF,OAAOuI,OAAO,MAE5B,IAAKyoH,IAASA,EAAK1tH,KACjB,MAAM,IAAIqC,MAAM,wCAUlB,OAPA+mI,GAAM1b,EAAM,cAAel0G,IACzB,MAAM3Z,EAAK6pI,GAAMlwH,EAAWg4E,YACxB3xF,IAAOsB,GAAI9D,KAAKunF,EAAO/kF,KACzB+kF,EAAM/kF,GAAM2Z,EACd,IAMF,SAAoBg4E,GAClB,MAAM3xF,EAAK6pI,GAAMl4C,GAEjB,OAAO3xF,GAAMsB,GAAI9D,KAAKunF,EAAO/kF,GAAM+kF,EAAM/kF,GAAM,IACjD,CACF,C4BiKqBqa,CAAYwzG,GAC/BtrF,EAAMyoG,aAAeA,EAErBzoG,EAAMynG,cAAgB,GAEtBznG,EAAM0nG,eAAiB,CAAC,EAExB1nG,EAAM8nG,MAAQA,GACd9nG,EAAM+nG,UAAYA,GAClB/nG,EAAMgsF,IAsGN,SAAkBltH,EAAM6+B,GAEtB,OAAOquF,GAAIhsF,EAAOlhC,EAAM6+B,EAC1B,EAxGAqC,EAAMuhF,IAkHN,SAAkB5jF,GAEhB,OAAO4jF,GAAIvhF,EAAOrC,EACpB,EApHAqC,EAAM7sB,KAAOA,GAEb6sB,EAAM8pG,QAAUA,EAEhB9C,GAAM1b,EAAM,sBAAuBl0G,IACjC,MAAM3Z,EAAKyC,OAAOkX,EAAWg4E,YAAYntF,cAIpClD,GAAI9D,KAAKwtI,EAAchrI,KAC1BgrI,EAAahrI,GAAM2Z,EACrB,IAIK4oB,EAWP,SAAS8pG,EAAQtoE,EAAMgrD,GAErB,GAAIhrD,GAAQ,SAAUA,GAAQA,EAAKlgE,KAAM,CAEvC,MAAMA,EAAOkgE,EAAKlgE,KAEdA,EAAKyoI,QACY,YAAfvd,EAAM5uH,OACR4uH,EAAQ,CACN5uH,KAAM,UACNC,QAAS,GACTC,WAAY,CAAC,EACbC,SAAU,KAIdyuH,EAAM3uH,QAAUyD,EAAKyoI,OAGJ,YAAfvd,EAAM5uH,MAAsB0D,EAAK0oI,cACnCxd,EAAM1uH,WAAa,IAAI0uH,EAAM1uH,cAAewD,EAAK0oI,cAG/C,aAAcxd,GAASA,EAAMzuH,UAAYuD,EAAK2oI,YAChDzd,EAAMzuH,SAAWuD,EAAK2oI,UAE1B,CAEA,GAAIzoE,EAAM,CACR,MAAMopB,EAAM,SAAUppB,EAAOA,EAAO,CAACtnD,SAAUsnD,ICpQ9C,SAAmB1iE,GACxB,OACGA,IACAA,EAAKob,WACLpb,EAAKob,SAAS/c,QACd2B,EAAKob,SAAS/c,MAAMgd,OACpBrb,EAAKob,SAAS/c,MAAM2c,SACpBhb,EAAKob,SAASjZ,MACdnC,EAAKob,SAASjZ,IAAIkZ,OAClBrb,EAAKob,SAASjZ,IAAI6Y,MAEvB,ED2PWowH,CAAUt/C,KAEb4hC,EAAMtyG,SAAW,CAAC/c,MAAOiqI,GAAWx8C,GAAM3pF,IAAKomI,GAASz8C,IAE5D,CAEA,OAAO4hC,CACT,CAUA,SAASxsF,EAAMlhC,EAAMjB,EAASX,EAAOa,GAOnC,OANI/C,MAAMF,QAAQoC,KAChBa,EAAWb,EACXA,EAAQ,CAAC,GAIJ4sI,EAAQhrI,EAAM,CACnBlB,KAAM,UACNC,UACAC,WAAYZ,GAAS,CAAC,EACtBa,SAAUA,GAAY,IAE1B,CA8BF,CAYA,SAAS+pI,GAAMzlB,EAAMC,GACfD,EAAKnoG,WAAUooG,EAAGpoG,S7BpTjB,SAAkBpb,GACvB,MAAO,CAAC3B,MAAOiqI,GAAWtoI,GAAOmC,IAAKomI,GAASvoI,GACjD,C6BkTmCob,CAASmoG,GAC5C,CAcA,SAAS0lB,GAAU1lB,EAAMC,GAEvB,IAAI5jH,EAAS4jH,EAGb,GAAID,GAAQA,EAAK/gH,KAAM,CACrB,MAAMyoI,EAAQ1nB,EAAK/gH,KAAKyoI,MAClBE,EAAY5nB,EAAK/gH,KAAK2oI,UACtBD,EAAc3nB,EAAK/gH,KAAK0oI,YAET,kBAAVD,IAGW,YAAhBrrI,EAAOd,KACTc,EAAOb,QAAUksI,EAOjBrrI,EAAS,CACPd,KAAM,UACNC,QAASksI,EACTjsI,WAAY,CAAC,EACbC,SAAU,KAeI,YAAhBW,EAAOd,MAAsBosI,IAC/BtrI,EAAOZ,WAAa,IAAIY,EAAOZ,cAAeksI,IAI9C,aAActrI,GACdA,EAAOX,UACO,OAAdksI,QACc1qI,IAAd0qI,IAGAvrI,EAAOX,SAAWksI,EAEtB,CAEA,OAAOvrI,CACT,CAeO,SAASstH,GAAIhsF,EAAOlhC,EAAM6+B,GAC/B,MAAM//B,EAAOkB,GAAQA,EAAKlB,KAG1B,IAAKA,EACH,MAAM,IAAIqC,MAAM,uBAAyBnB,EAAO,KAGlD,OAAIC,GAAI9D,KAAK+kC,EAAMuoG,SAAU3qI,GACpBoiC,EAAMuoG,SAAS3qI,GAAMoiC,EAAOlhC,EAAM6+B,GAGvCqC,EAAM6pG,aAAe7pG,EAAM6pG,YAAYhkF,SAASjoD,GAG3C,aAAckB,EAAO,IAAIA,EAAMf,SAAUwjH,GAAIvhF,EAAOlhC,IAASA,EAGlEkhC,EAAM4pG,eACD5pG,EAAM4pG,eAAe5pG,EAAOlhC,EAAM6+B,GAiE7C,SAA+BqC,EAAOlhC,GACpC,MAAMwC,EAAOxC,EAAKwC,MAAQ,CAAC,EAErB5C,IACJ,UAAWI,IACTC,GAAI9D,KAAKqG,EAAM,gBAAkBvC,GAAI9D,KAAKqG,EAAM,aAE9C,CACE1D,KAAM,UACNC,QAAS,MACTC,WAAY,CAAC,EACbC,SAAUwjH,GAAIvhF,EAAOlhC,IALvB,CAAClB,KAAM,OAAQ9B,MAAOgD,EAAKhD,OASjC,OADAkkC,EAAM8nG,MAAMhpI,EAAMJ,GACXshC,EAAM+nG,UAAUjpI,EAAMJ,EAC/B,CA9ESyrI,CAAsBnqG,EAAOlhC,EACtC,CAaO,SAASyiH,GAAIvhF,EAAOrC,GAEzB,MAAMl/B,EAAS,GAEf,GAAI,aAAck/B,EAAQ,CACxB,MAAM39B,EAAQ29B,EAAO5/B,SACrB,IAAIP,GAAS,EACb,OAASA,EAAQwC,EAAMrD,QAAQ,CAC7B,MAAM+B,EAASstH,GAAIhsF,EAAOhgC,EAAMxC,GAAQmgC,GAGxC,GAAIj/B,EAAQ,CACV,GAAIlB,GAAmC,UAA1BwC,EAAMxC,EAAQ,GAAGI,OACvB5C,MAAMF,QAAQ4D,IAA2B,SAAhBA,EAAOd,OACnCc,EAAO5C,MAAQ4C,EAAO5C,MAAMgG,QAAQ,OAAQ,MAGzC9G,MAAMF,QAAQ4D,IAA2B,YAAhBA,EAAOd,MAAoB,CACvD,MAAMyjH,EAAO3iH,EAAOX,SAAS,GAEzBsjH,GAAsB,SAAdA,EAAKzjH,OACfyjH,EAAKvlH,MAAQulH,EAAKvlH,MAAMgG,QAAQ,OAAQ,IAE5C,CAGE9G,MAAMF,QAAQ4D,GAChBD,EAAOd,QAAQe,GAEfD,EAAOd,KAAKe,EAEhB,CACF,CACF,CAEA,OAAOD,CACT,CA0CO,SAAS0U,GAAKnT,EAAO8oI,GAE1B,MAAMpqI,EAAS,GACf,IAAIlB,GAAS,EAMb,IAJIsrI,GACFpqI,EAAOf,KAAK,CAACC,KAAM,OAAQ9B,MAAO,SAG3B0B,EAAQwC,EAAMrD,QACjBa,GAAOkB,EAAOf,KAAK,CAACC,KAAM,OAAQ9B,MAAO,OAC7C4C,EAAOf,KAAKqC,EAAMxC,IAOpB,OAJIsrI,GAAS9oI,EAAMrD,OAAS,GAC1B+B,EAAOf,KAAK,CAACC,KAAM,OAAQ9B,MAAO,OAG7B4C,CACT,CEheO,SAAS0rI,GAAO9e,EAAM5vH,GAC3B,MAAMskC,EAAQspG,GAAYhe,EAAM5vH,GAC1BoD,EAAOkhC,EAAMgsF,IAAIV,EAAM,MACvB+e,EClFD,SAAgBrqG,GAErB,MAAMsqG,EAAY,GAClB,IAAI9sI,GAAS,EAEb,OAASA,EAAQwiC,EAAMynG,cAAc9qI,QAAQ,CAC3C,MAAM0wG,EAAMrtE,EAAMyoG,aAAazoG,EAAMynG,cAAcjqI,IAEnD,IAAK6vG,EACH,SAGF,MAAMhuG,EAAU2gC,EAAMuhF,IAAIlU,GACpB5vG,EAAKyC,OAAOmtG,EAAIje,YAAYntF,cAC5BulI,EAASrB,GAAa1oI,EAAGkB,eAC/B,IAAI4rI,EAAiB,EAErB,MAAMC,EAAiB,GAEvB,OAASD,GAAkBvqG,EAAM0nG,eAAejqI,IAAK,CAEnD,MAAMgtI,EAAgB,CACpB7sI,KAAM,UACNC,QAAS,IACTC,WAAY,CACV2L,KACE,IACAu2B,EAAM4nG,cACN,SACAJ,GACC+C,EAAiB,EAAI,IAAMA,EAAiB,IAC/CG,qBAAqB,EACrBhtI,UAAW,CAAC,yBACZ6G,UAAWy7B,EAAM2pG,mBAEnB5rI,SAAU,CAAC,CAACH,KAAM,OAAQ9B,MAAO,YAG/ByuI,EAAiB,GACnBE,EAAc1sI,SAASJ,KAAK,CAC1BC,KAAM,UACNC,QAAS,MACTE,SAAU,CAAC,CAACH,KAAM,OAAQ9B,MAAOoE,OAAOqqI,OAIxCC,EAAe7tI,OAAS,GAC1B6tI,EAAe7sI,KAAK,CAACC,KAAM,OAAQ9B,MAAO,MAG5C0uI,EAAe7sI,KAAK8sI,EACtB,CAEA,MAAMtvD,EAAO97E,EAAQA,EAAQ1C,OAAS,GAEtC,GAAIw+E,GAAsB,YAAdA,EAAKv9E,MAAuC,MAAjBu9E,EAAKt9E,QAAiB,CAC3D,MAAM8sI,EAAWxvD,EAAKp9E,SAASo9E,EAAKp9E,SAASpB,OAAS,GAClDguI,GAA8B,SAAlBA,EAAS/sI,KACvB+sI,EAAS7uI,OAAS,IAElBq/E,EAAKp9E,SAASJ,KAAK,CAACC,KAAM,OAAQ9B,MAAO,MAG3Cq/E,EAAKp9E,SAASJ,QAAQ6sI,EACxB,MACEnrI,EAAQ1B,QAAQ6sI,GAIlB,MAAMvJ,EAAW,CACfrjI,KAAM,UACNC,QAAS,KACTC,WAAY,CAACL,GAAIuiC,EAAM4nG,cAAgB,MAAQJ,GAC/CzpI,SAAUiiC,EAAM7sB,KAAK9T,GAAS,IAGhC2gC,EAAM8nG,MAAMz6B,EAAK4zB,GAEjBqJ,EAAU3sI,KAAKsjI,EACjB,CAEA,GAAyB,IAArBqJ,EAAU3tI,OAId,MAAO,CACLiB,KAAM,UACNC,QAAS,UACTC,WAAY,CAAC8sI,eAAe,EAAMltI,UAAW,CAAC,cAC9CK,SAAU,CACR,CACEH,KAAM,UACNC,QAASmiC,EAAMypG,qBACf3rI,WAAY,IAEPgmF,KAAK5jE,MAAM4jE,KAAKC,UAAU/jD,EAAM0pG,0BACnCjsI,GAAI,kBAENM,SAAU,CAAC,CAACH,KAAM,OAAQ9B,MAAOkkC,EAAMwpG,iBAEzC,CAAC5rI,KAAM,OAAQ9B,MAAO,MACtB,CACE8B,KAAM,UACNC,QAAS,KACTC,WAAY,CAAC,EACbC,SAAUiiC,EAAM7sB,KAAKm3H,GAAW,IAElC,CAAC1sI,KAAM,OAAQ9B,MAAO,OAG5B,CD5Be+uI,CAAO7qG,GAUpB,OARIqqG,GAIFvrI,EAAKf,SAASJ,KAAK,CAACC,KAAM,OAAQ9B,MAAO,MAAOuuI,GAI3CrvI,MAAMF,QAAQgE,GAAQ,CAAClB,KAAM,OAAQG,SAAUe,GAAQA,CAChE,CE3EA,MAUA,GAPI,SAAU8sH,EAAalwH,GACrB,OAAOkwH,GAAe,QAASA,EAcrC,SAAgBA,EAAalwH,GAC3B,MAAO,CAACoD,EAAMg9F,EAAMv+E,KAClBquG,EAAYj/B,IAAIy9C,GAAOtrI,EAAMpD,GAAUogG,GAAOthF,IAC5C+C,EAAK/C,EAAM,GACX,CAEN,CAnBUswH,CAAOlf,EAAalwH,GA2B9B,SAAgBA,GAEd,OAAQoD,GAASsrI,GAAOtrI,EAAMpD,EAChC,CA7BUqvI,CAAOnf,GAAelwH,EAC5B,iBCpCG,MAAMyb,GAOX5b,WAAAA,CAAYsD,EAAU0C,EAAQJ,GAC5BwW,KAAK9Y,SAAWA,EAChB8Y,KAAKpW,OAASA,EACVJ,IACFwW,KAAKxW,MAAQA,EAEjB,ECPK,SAASoB,GAAMuV,EAAa3W,GAEjC,MAAMtC,EAAW,CAAC,EAEZ0C,EAAS,CAAC,EAChB,IAAI/D,GAAS,EAEb,OAASA,EAAQsa,EAAYnb,QAC3BrC,OAAOqhC,OAAO98B,EAAUiZ,EAAYta,GAAOqB,UAC3CvE,OAAOqhC,OAAOp6B,EAAQuW,EAAYta,GAAO+D,QAG3C,OAAO,IAAI4V,GAAOtY,EAAU0C,EAAQJ,EACtC,CCrBO,SAASjD,GAAUpC,GACxB,OAAOA,EAAM6C,aACf,CFiBAwY,GAAO5c,UAAUsE,SAAW,CAAC,EAE7BsY,GAAO5c,UAAUgH,OAAS,CAAC,EAE3B4V,GAAO5c,UAAU4G,MAAQ,KG3BlB,MAAME,GAMX9F,WAAAA,CAAYsD,EAAUgD,GAEpB8V,KAAK9Y,SAAWA,EAEhB8Y,KAAK9V,UAAYA,CACnB,EAIFR,GAAK9G,UAAU4G,MAAQ,KACvBE,GAAK9G,UAAUgG,SAAU,EACzBc,GAAK9G,UAAUuI,YAAa,EAC5BzB,GAAK9G,UAAUiG,mBAAoB,EACnCa,GAAK9G,UAAU4F,QAAS,EACxBkB,GAAK9G,UAAUkF,gBAAiB,EAChC4B,GAAK9G,UAAUiF,gBAAiB,EAChC6B,GAAK9G,UAAUmF,uBAAwB,EACvC2B,GAAK9G,UAAUiM,iBAAkB,EACjCnF,GAAK9G,UAAU8c,SAAU,ECxBzB,IAAIW,GAAS,EAEN,MAAMzX,GAAU0X,KACVnV,GAAamV,KACbzX,GAAoByX,KACpB9X,GAAS8X,KACTzY,GAAiByY,KACjBxY,GAAiBwY,KACjBvY,GAAwBuY,KAErC,SAASA,KACP,OAAO,KAAOD,EAChB,CCPA,MAAMV,GAAShd,OAAOslC,KAAKh9B,GAEpB,MAAMxB,WAAoBC,GAQ/B9F,WAAAA,CAAYsD,EAAUgD,EAAW2V,EAAMrW,GACrC,IAAI3D,GAAS,EAMb,GAJAi7B,MAAM55B,EAAUgD,GAEhB6V,GAAKC,KAAM,QAASxW,GAEA,kBAATqW,EACT,OAASha,EAAQ8Z,GAAO3a,QAAQ,CAC9B,MAAM8a,EAAQH,GAAO9Z,GACrBka,GAAKC,KAAML,GAAO9Z,IAASga,EAAO5U,EAAM6U,MAAY7U,EAAM6U,GAC5D,CAEJ,EAUF,SAASC,GAAKjZ,EAAQrD,EAAKU,GACrBA,IAEF2C,EAAOrD,GAAOU,EAElB,CAZAsF,GAAY7G,UAAU8c,SAAU,ECbhC,MAAMtY,GAAM,CAAC,EAAEvE,eAMR,SAASqI,GAAOuU,GAErB,MAAMvY,EAAW,CAAC,EAEZ0C,EAAS,CAAC,EAEhB,IAAIC,EAEJ,IAAKA,KAAQ4V,EAAWtZ,WACtB,GAAIiB,GAAI9D,KAAKmc,EAAWtZ,WAAY0D,GAAO,CACzC,MAAM1F,EAAQsb,EAAWtZ,WAAW0D,GAC9BlC,EAAO,IAAI8B,GACfI,EACA4V,EAAWrU,UAAUqU,EAAWjR,YAAc,CAAC,EAAG3E,GAClD1F,EACAsb,EAAWjW,OAIXiW,EAAW5Q,iBACX4Q,EAAW5Q,gBAAgBq/C,SAASrkD,KAEpClC,EAAKkH,iBAAkB,GAGzB3H,EAAS2C,GAAQlC,EAEjBiC,EAAOrD,GAAUsD,IAASA,EAC1BD,EAAOrD,GAAUoB,EAAKuC,YAAcL,CACtC,CAGF,OAAO,IAAI2V,GAAOtY,EAAU0C,EAAQ6V,EAAWjW,MACjD,CCvDO,MAAMqB,GAAQK,GAAO,CAC1B1B,MAAO,QACP4B,UAASA,CAACC,EAAGxB,IACJ,SAAWA,EAAKjE,MAAM,GAAGoB,cAElCb,WAAY,CACVsa,aAAc,KACdC,aAAc,KACdC,UAAW,KACXC,UAAW,KACXC,UAAW,KACXC,WAAY,KACZC,UAAW,QCZFjW,GAAMI,GAAO,CACxB1B,MAAO,MACP4B,UAASA,CAACC,EAAGxB,IACJ,OAASA,EAAKjE,MAAM,GAAGoB,cAEhCb,WAAY,CAAC6a,QAAS,KAAMC,QAAS,KAAMC,SAAU,QCFhD,SAAS3B,GAAuB/Q,EAAYtE,GACjD,OAAOA,KAAasE,EAAaA,EAAWtE,GAAaA,CAC3D,CCAO,SAASqE,GAAyBC,EAAYtH,GACnD,OAAOqY,GAAuB/Q,EAAYtH,EAASF,cACrD,CCNO,MAAM+D,GAAQG,GAAO,CAC1B1B,MAAO,QACPgF,WAAY,CAAC2S,WAAY,eACzB/V,UAAWmD,GACXpI,WAAY,CAAC4E,MAAO,KAAMqW,WAAY,QCJ3BpW,GAAOE,GAAO,CACzBE,UAASA,CAACC,EAAGxB,IACK,SAATA,EAAkBA,EAAO,QAAUA,EAAKjE,MAAM,GAAGoB,cAE1Db,WAAY,CACVmF,qBAAsB,KACtBC,WAAYJ,GACZK,iBAAkB,KAClBC,SAAUN,GACVO,YAAaP,GACbQ,aAAcnD,GACdoD,aAAcpD,GACdqD,YAAarD,GACbsD,aAAcjE,GACdkE,YAAa,KACbC,gBAAiBnE,GACjBoE,YAAa,KACbC,aAAcf,GACdgB,eAAgBtE,GAChBuE,iBAAkB,KAClBC,aAAclB,GACdmB,WAAYzE,GACZ0E,YAAapB,GACbqB,aAAc,KACdC,WAAYtB,GACZuB,YAAa,KACbC,iBAAkB,KAClBC,UAAW,KACXC,eAAgBhF,GAChBiF,UAAWtE,GACXuE,SAAU,KACVC,UAAW7B,GACX8B,cAAe9B,GACf+B,oBAAqB/B,GACrBgC,gBAAiB,KACjBC,SAAUvF,GACVwF,gBAAiB,KACjBC,aAAc9E,GACd+E,YAAapC,GACbqC,aAAcrC,GACdsC,aAAc,KACdC,aAAcvC,GACdwC,oBAAqB9F,GACrB+F,aAAcpF,GACdqF,aAAcrF,GACdsF,YAAatF,GACbuF,aAAc5C,GACd6C,YAAaxF,GACbyF,SAAU,KACVC,aAAc1F,GACd2F,aAAc3F,GACd4F,aAAc5F,GACd6F,cAAe,KACfC,KAAM,QC7CGxF,GAAOoC,GAAO,CACzB1B,MAAO,OACPgF,WAAY,CACVC,cAAe,iBACfC,UAAW,QACXC,QAAS,MACTC,UAAW,cAEbxD,UAAWmD,GACXM,gBAAiB,CAAC,UAAW,WAAY,QAAS,YAClD1I,WAAY,CAEV2I,KAAM,KACNC,OAAQjH,GACRkH,cAAenH,GACfoH,UAAWpH,GACXqH,OAAQ,KACRC,MAAO,KACPC,gBAAiBxG,GACjByG,oBAAqBzG,GACrB0G,eAAgB1G,GAChB2G,IAAK,KACLC,GAAI,KACJC,MAAO7G,GACP8G,eAAgB,KAChBC,aAAc9H,GACd+H,UAAWhH,GACXiH,SAAUjH,GACVyqI,SAAUxrI,GACViI,QAAS,KACTC,QAAS,KACTC,QAASpH,GACTqH,KAAM,KACNlK,UAAW8B,GACXqI,KAAM1H,GACN2H,QAAS,KACTzI,QAAS,KACT0I,gBAAiBjF,GACjBkF,SAAUzH,GACV0H,aAAczI,GACd0I,OAAQ/H,GAASV,GACjB0I,YAAa,KACb7G,KAAM,KACN8G,SAAU,KACVC,SAAU,KACVC,QAAS/H,GACTgI,MAAOhI,GACPiI,IAAK,KACLC,QAAS,KACTC,SAAUnI,GACVoI,SAAUnI,GACVoI,UAAW9F,GACX+F,QAAS,KACTC,aAAc,KACdmiI,cAAe,KACfliI,KAAM,KACNC,WAAY,KACZC,YAAa,KACbC,WAAY,KACZC,eAAgB5I,GAChB6I,WAAY,KACZC,QAAS7J,GACT8J,OAAQnJ,GACRoJ,OAAQhJ,GACRiJ,KAAMrJ,GACNsJ,KAAM,KACNC,SAAU,KACVC,QAASnK,GACToK,UAAWpK,GACX/B,GAAI,KACJoM,WAAY,KACZC,YAAa,KACbohI,MAAO3qI,GACPwJ,UAAW,KACXC,UAAW,KACXC,GAAI,KACJC,MAAO3J,GACP4J,OAAQ,KACRC,SAAU5K,GACV6K,QAAS7K,GACT8K,UAAW/J,GACXgK,SAAU/K,GACVgL,KAAM,KACNC,MAAO,KACPC,KAAM,KACNC,SAAU,KACVC,KAAM,KACNC,QAAS,KACTC,KAAMvK,GACNwK,IAAK5K,GACL6K,SAAU,KACVC,IAAK,KACLC,UAAW/K,GACXgL,MAAO,KACPC,OAAQ,KACRC,IAAK,KACLC,UAAWnL,GACXoL,SAAUhL,GACViL,MAAOjL,GACP5E,KAAM,KACN8P,MAAO,KACPC,SAAUnL,GACVoL,WAAYpL,GACZqL,QAAS,KACTC,aAAc,KACdC,WAAY,KACZq/H,cAAe,KACfp/H,cAAe,KACfq/H,eAAgB,KAChBp/H,eAAgB,KAChBC,OAAQ,KACRC,SAAU,KACVC,UAAW,KACXC,iBAAkB,KAClBC,SAAU,KACVC,QAAS,KACTC,QAAS,KACT8+H,cAAe,KACf7+H,cAAe,KACf8+H,kBAAmB,KACnB7+H,OAAQ,KACRC,YAAa,KACbC,MAAO,KACPC,WAAY,KACZC,OAAQ,KACRC,UAAW,KACXC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,OAAQ,KACRC,iBAAkB,KAClBC,UAAW,KACXC,QAAS,KACTC,QAAS,KACTC,QAAS,KACTC,WAAY,KACZC,aAAc,KACdC,QAAS,KACTC,UAAW,KACXC,UAAW,KACXC,WAAY,KACZC,QAAS,KACTC,iBAAkB,KAClBC,OAAQ,KACRC,aAAc,KACdC,iBAAkB,KAClBC,UAAW,KACXC,YAAa,KACbC,UAAW,KACXC,eAAgB,KAChBC,YAAa,KACbC,aAAc,KACdC,aAAc,KACdC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,UAAW,KACXC,UAAW,KACXC,SAAU,KACVC,WAAY,KACZC,WAAY,KACZC,QAAS,KACTC,QAAS,KACTC,OAAQ,KACRC,UAAW,KACXC,WAAY,KACZC,WAAY,KACZC,aAAc,KACdC,mBAAoB,KACpBC,QAAS,KACTC,SAAU,KACVC,SAAU,KACVy7H,YAAa,KACbx7H,0BAA2B,KAC3BC,SAAU,KACVC,UAAW,KACXC,SAAU,KACVC,aAAc,KACdC,UAAW,KACXC,UAAW,KACXC,SAAU,KACVC,UAAW,KACXC,aAAc,KACdC,SAAU,KACVC,qBAAsB,KACtBC,SAAU,KACVC,eAAgB,KAChBC,UAAW,KACXC,QAAS,KACTC,KAAMxQ,GACNyQ,QAAS7Q,GACT8Q,QAAS,KACTC,KAAM1R,GACN2R,YAAa,KACbC,YAAa7Q,GACbirI,QAAS,KACTC,cAAe,KACfC,oBAAqB,KACrBr6H,OAAQ,KACRC,QAAS,KACTC,SAAUhR,GACViR,eAAgB,KAChBC,IAAKjS,GACLkS,SAAUnR,GACVoR,SAAUpR,GACVqR,KAAMzR,GACN0R,QAAS1R,GACT2R,QAAStS,GACTuS,MAAO,KACPC,OAAQzR,GACR0R,SAAU1R,GACV2R,SAAU3R,GACVorI,mBAAoBprI,GACpBqrI,yBAA0BrrI,GAC1BsrI,eAAgB,KAChB15H,MAAO,KACPC,KAAMjS,GACNkS,MAAO,KACPC,KAAM,KACNC,KAAMpS,GACNqS,WAAY1P,GACZzG,IAAK,KACLoW,OAAQ,KACRC,QAAS,KACTC,OAAQ,KACRxV,MAAOgD,GACPyS,KAAM,KACNhT,MAAO,KACPiT,SAAU1S,GACV1E,OAAQ,KACRqX,MAAO,KACPC,UAAW,KACXnV,KAAM,KACNoV,cAAezS,GACf0S,OAAQ,KACRnX,MAAOgH,GACPoQ,MAAO/S,GACPgT,KAAM,KACN24H,mBAAoB,KAIpB14H,MAAO,KACPC,MAAO,KACPC,QAAS9T,GACT+T,KAAM,KACNC,WAAY,KACZC,QAAS,KACTC,OAAQvT,GACRwT,YAAa,KACbC,aAAczT,GACd0T,YAAa,KACbC,YAAa,KACbC,KAAM,KACNC,QAAS,KACTC,QAAS,KACTC,MAAO,KACPC,KAAM,KACNC,SAAU,KACVC,SAAU,KACVC,MAAO,KACPC,QAAShU,GACTiU,QAASjU,GACTkU,MAAO,KACPC,KAAM,KACNC,MAAO,KACPC,YAAa,KACbC,OAAQ1U,GACR2U,WAAY3U,GACZ4U,KAAM,KACNC,SAAU,KACVC,OAAQ,KACRC,aAAc/U,GACdgV,YAAahV,GACbiV,SAAU7U,GACV8U,OAAQ9U,GACR+U,QAAS/U,GACTgV,OAAQhV,GACRiV,OAAQ,KACRC,QAAS,KACTC,OAAQ,KACRC,IAAK,KACLC,YAAazV,GACb0V,MAAO,KACPC,OAAQ,KACRC,UAAWjT,GACXkT,QAAS,KACTC,QAAS,KACTC,KAAM,KACNC,UAAWhW,GACXiW,UAAW,KACXC,QAAS,KACTC,OAAQ,KACRC,MAAO,KACPC,OAAQrW,GAGRsW,kBAAmB,KACnBC,YAAa,KACbC,SAAU,KACVC,wBAAyBrW,GACzBsW,sBAAuBtW,GACvBuW,OAAQ,KACRjY,SAAU,KACVkY,QAAS5W,GACT6W,SAAU,KACVC,aAAc,QCrTLs2F,GAAM1qG,GAAO,CACxB1B,MAAO,MACPgF,WAAY,CACV4lI,aAAc,gBACdC,kBAAmB,qBACnBC,WAAY,cACZC,cAAe,iBACfC,UAAW,aACXzuI,UAAW,QACX0uI,SAAU,YACVC,SAAU,YACVC,mBAAoB,sBACpBC,0BAA2B,8BAC3BC,aAAc,gBACdC,eAAgB,kBAChBtkI,YAAa,cACbukI,SAAU,WACVC,iBAAkB,oBAClBC,iBAAkB,oBAClBlhF,YAAa,eACbmhF,SAAU,YACVC,WAAY,cACZnhF,aAAc,gBACdohF,WAAY,cACZC,SAAU,YACVC,eAAgB,mBAChBC,YAAa,eACbC,UAAW,aACXC,YAAa,eACbpiF,WAAY,cACZqiF,UAAW,aACXC,2BAA4B,+BAC5BC,yBAA0B,6BAC1B7jI,SAAU,WACV8jI,UAAW,cACXC,aAAc,iBACdC,aAAc,iBACdC,eAAgB,kBAChBC,cAAe,iBACfC,cAAe,iBACfC,UAAW,aACXC,UAAW,aACXC,YAAa,eACbC,QAAS,WACTC,YAAa,gBACbC,aAAc,iBACdC,QAAS,WACTC,QAAS,WACTC,QAAS,WACTC,SAAU,YACVC,MAAO,SACPC,UAAW,cACXC,WAAY,eACZ9iI,QAAS,UACT+iI,WAAY,aACZ9iI,aAAc,eACdE,cAAe,gBACf6iI,QAAS,UACT1iI,SAAU,WACVC,UAAW,YACXC,iBAAkB,mBAClBC,SAAU,WACVC,QAAS,UACTC,QAAS,UACTE,OAAQ,SACRC,YAAa,cACbC,MAAO,QACPC,WAAY,aACZC,OAAQ,SACRC,UAAW,YACXC,YAAa,cACbC,WAAY,aACZC,YAAa,cACbC,WAAY,aACZC,YAAa,cACbC,OAAQ,SACRC,iBAAkB,mBAClBC,UAAW,YACXuhI,MAAO,QACPthI,QAAS,UACTC,QAAS,UACTC,QAAS,UACTqhI,UAAW,YACXC,WAAY,aACZphI,aAAc,eACdC,QAAS,UACTC,UAAW,YACXC,UAAW,YACXC,WAAY,aACZC,QAAS,UACTE,OAAQ,SACRC,aAAc,eACdC,iBAAkB,mBAClBE,YAAa,cACbC,UAAW,YACXE,YAAa,cACbC,aAAc,eACdC,aAAc,eACdC,YAAa,cACbC,WAAY,aACZC,YAAa,cACbC,UAAW,YACXigI,aAAc,eACdhgI,UAAW,YACXC,SAAU,WACVC,WAAY,aACZC,WAAY,aACZC,QAAS,UACTC,QAAS,UACTC,OAAQ,SACRC,UAAW,YACXC,WAAY,aACZC,WAAY,aACZC,aAAc,eACdu/H,SAAU,WACVr/H,QAAS,UACTC,SAAU,WACVC,SAAU,WACVE,SAAU,WACVC,UAAW,YACXC,SAAU,WACVg/H,OAAQ,SACR9+H,UAAW,YACXC,UAAW,YACXC,SAAU,WACVC,UAAW,YACXC,aAAc,eACdC,SAAU,WACVE,SAAU,WACVC,eAAgB,iBAChBC,UAAW,YACXs+H,OAAQ,SACRC,iBAAkB,oBAClBC,kBAAmB,qBACnBC,WAAY,cACZC,QAAS,WACTC,cAAe,iBACfh+H,eAAgB,iBAChBi+H,gBAAiB,mBACjBC,eAAgB,kBAChBC,UAAW,aACX/jF,YAAa,eACbgkF,sBAAuB,yBACvBC,uBAAwB,0BACxBC,gBAAiB,mBACjBC,iBAAkB,oBAClBC,cAAe,iBACfC,eAAgB,kBAChBC,iBAAkB,oBAClBlkF,cAAe,iBACfC,YAAa,eACbp5C,SAAU,WACVs9H,WAAY,cACZC,eAAgB,kBAChBC,cAAe,iBACfC,gBAAiB,mBACjB5nD,OAAQ,SACR6nD,kBAAmB,qBACnBC,mBAAoB,sBACpBC,YAAa,eACbC,aAAc,gBACdC,WAAY,eACZC,YAAa,eACbC,SAAU,YACVC,aAAc,gBACdC,cAAe,iBACfC,aAAc,gBACdC,SAAU,aACVC,YAAa,gBACbC,YAAa,gBACbC,YAAa,eACbC,YAAa,eACbC,QAAS,WAETC,cAAe,gBACfC,cAAe,iBAEjBzuI,UAAWmU,GACXpZ,WAAY,CACV2zI,MAAO/xI,GACPqsI,aAAc5rI,GACduxI,WAAY,KACZC,SAAU,KACV3F,kBAAmB,KACnB4F,WAAYzxI,GACZ0xI,UAAW1xI,GACX8rI,WAAY,KACZ6F,OAAQ3xI,GACR2iD,cAAe,KACfivF,cAAe,KACfC,QAAS7xI,GACT8xI,UAAW,KACX/F,cAAe,KACfgG,cAAe,KACfC,YAAa,KACbC,KAAM,KACN/0H,MAAO,KACPg1H,KAAMlyI,GACNmyI,GAAI,KACJC,SAAU,KACVpG,UAAWhsI,GACXzC,UAAW8B,GACXgzI,KAAM,KACNpG,SAAU,KACVqG,cAAe,KACfpG,SAAU,KACV/3H,MAAO,KACPg4H,mBAAoB,KACpBC,0BAA2B,KAC3BC,aAAc,KACdC,eAAgB,KAChBptI,QAAS,KACTqzI,kBAAmB,KACnBC,iBAAkB,KAClBxqI,YAAa,KACbyqI,OAAQ,KACRC,GAAI,KACJC,GAAI,KACJrwF,EAAG,KACHiqF,SAAU,KACVqG,cAAe,KACfC,QAAS7yI,GACT8yI,gBAAiB9yI,GACjB+yI,UAAW,KACXl1D,QAAS,KACTm1D,IAAK,KACLC,QAASjzI,GACTwsI,iBAAkB,KAClBhkI,SAAUpI,GACV8yI,GAAI,KACJC,GAAI,KACJC,SAAU,KACVC,SAAU,KACVC,UAAWtzI,GACXysI,iBAAkB,KAClB3rI,IAAK,KACLwT,MAAO,KACPi/H,SAAUvzI,GACVwzI,0BAA2B,KAC3BC,KAAM,KACNloF,YAAavrD,GACb0sI,SAAU,KACVnzC,OAAQ,KACRm6C,UAAW,KACXC,YAAa,KACbhH,WAAY,KACZnhF,aAAc,KACdooF,UAAW,KACXC,eAAgB,KAChBjH,WAAY,KACZC,SAAU,KACVC,eAAgB,KAChBC,YAAa,KACbC,UAAW,KACXC,YAAa,KACbpiF,WAAY,KACZ6qD,OAAQ,KACRo+B,GAAI,KACJ5xB,KAAM,KACN6xB,GAAI,KACJC,GAAI,KACJC,GAAI30I,GACJ40I,GAAI50I,GACJ4tI,UAAW5tI,GACX6tI,2BAA4B,KAC5BC,yBAA0B,KAC1B+G,SAAU,KACVC,kBAAmB,KACnBC,cAAe,KACf3P,QAAS,KACT4P,QAASt0I,GACTu0I,kBAAmB,KACnBC,WAAY,KACZrrI,OAAQ,KACRG,KAAM,KACNC,SAAU,KACV8jI,UAAWrtI,GACXstI,aAActtI,GACdutI,aAAcvtI,GACd1C,GAAI,KACJm3I,YAAaz0I,GACbwtI,eAAgB,KAChBkH,kBAAmB,KACnBC,GAAI,KACJC,IAAK,KACLC,UAAW70I,GACXylD,EAAGzlD,GACH80I,GAAI90I,GACJ+0I,GAAI/0I,GACJg1I,GAAIh1I,GACJi1I,GAAIj1I,GACJk1I,aAAc31I,GACd41I,iBAAkB,KAClBC,UAAW,KACXC,WAAY,KACZC,SAAU,KACVC,QAAS,KACThrI,KAAM,KACNirI,aAAc,KACd/H,cAAe,KACfC,cAAe,KACf+H,kBAAmBz1I,GACnB01I,MAAO,KACP/H,UAAW,KACXC,UAAW,KACXC,YAAa,KACb8H,aAAc,KACdC,YAAa,KACbC,YAAa,KACbx+H,KAAM,KACNy+H,iBAAkB,KAClBC,UAAW,KACXC,aAAc,KACdlrI,IAAK,KACLE,MAAO,KACPirI,uBAAwB,KACxBC,sBAAuB,KACvBC,UAAWn2I,GACXo2I,UAAW,KACXnrI,OAAQ,KACRC,IAAK,KACLu6B,KAAM,KACNjqC,KAAM,KACNsyI,QAAS,KACTC,YAAa,KACbC,aAAc,KACdC,QAAS,KACTC,QAAS,KACTC,QAAS,KACTC,SAAU,KACVC,MAAO,KACPC,UAAW,KACXC,WAAY,KACZ8H,WAAY,KACZC,SAAU,KACVz2H,OAAQ,KACRpU,QAAS,KACT+iI,WAAY,KACZ9iI,aAAc,KACdE,cAAe,KACf6iI,QAAS,KACT1iI,SAAU,KACVC,UAAW,KACXC,iBAAkB,KAClBC,SAAU,KACVC,QAAS,KACTC,QAAS,KACTE,OAAQ,KACRC,YAAa,KACbC,MAAO,KACPC,WAAY,KACZC,OAAQ,KACRC,UAAW,KACXC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,OAAQ,KACRC,iBAAkB,KAClBC,UAAW,KACXuhI,MAAO,KACPthI,QAAS,KACTC,QAAS,KACTC,QAAS,KACTqhI,UAAW,KACXC,WAAY,KACZphI,aAAc,KACdC,QAAS,KACTC,UAAW,KACXC,UAAW,KACXC,WAAY,KACZC,QAAS,KACTE,OAAQ,KACRC,aAAc,KACdC,iBAAkB,KAClBE,YAAa,KACbC,UAAW,KACXE,YAAa,KACbC,aAAc,KACdC,aAAc,KACdC,YAAa,KACbC,WAAY,KACZC,YAAa,KACbC,UAAW,KACXigI,aAAc,KACdhgI,UAAW,KACXC,SAAU,KACVC,WAAY,KACZC,WAAY,KACZC,QAAS,KACTC,QAAS,KACTC,OAAQ,KACRC,UAAW,KACXC,WAAY,KACZC,WAAY,KACZC,aAAc,KACdu/H,SAAU,KACVr/H,QAAS,KACTC,SAAU,KACVC,SAAU,KACVE,SAAU,KACVC,UAAW,KACXC,SAAU,KACVg/H,OAAQ,KACR9+H,UAAW,KACXC,UAAW,KACXC,SAAU,KACVC,UAAW,KACXC,aAAc,KACdC,SAAU,KACVE,SAAU,KACVC,eAAgB,KAChBC,UAAW,KACXs+H,OAAQ,KACRhkF,QAAS,KACT6hC,SAAU,KACV5hC,MAAO,KACPsrF,OAAQ,KACRC,YAAa,KACbxxB,OAAQ,KACR76C,SAAU,KACVssE,QAAS,KACTxH,iBAAkBjvI,GAClBkvI,kBAAmBlvI,GACnBmvI,WAAY,KACZC,QAAS,KACTxzC,KAAM,KACN86C,WAAY12I,GACZ22I,oBAAqB,KACrBC,iBAAkB,KAClBC,aAAc,KACdC,MAAO,KACP/lI,KAAM1R,GACN03I,MAAO,KACP3F,cAAe,KACf/B,cAAe,KACf2H,OAAQ,KACRC,UAAWj3I,GACXk3I,UAAWl3I,GACXm3I,UAAWn3I,GACXo3I,cAAe,KACfC,oBAAqB,KACrBC,eAAgB,KAChBC,UAAW,KACX74I,SAAUa,GACVusE,EAAG,KACH0rE,OAAQ,KACRnmI,eAAgB,KAChBomI,KAAM,KACNC,KAAM,KACNpmI,IAAK/R,GACLiW,IAAKjW,GACL+vI,gBAAiB,KACjBqI,YAAa,KACbC,UAAW,KACXC,mBAAoBt4I,GACpBu4I,iBAAkBv4I,GAClBw4I,cAAex4I,GACfy4I,gBAAiBz4I,GACjBqkI,SAAU,KACVqU,QAAS,KACT15I,OAAQ,KACR25I,OAAQ,KACRC,GAAI,KACJC,GAAI,KACJC,MAAO,KACPC,KAAM,KACN/I,eAAgB,KAChBgJ,KAAM,KACNC,MAAO,KACPC,aAAc,KACdC,iBAAkB14I,GAClB24I,iBAAkB34I,GAClB44I,aAAc,KACdC,QAAS,KACT9/G,YAAa,KACb+/G,aAAc,KACdC,MAAO,KACPC,MAAO,KACPC,YAAa,KACbzJ,UAAW,KACX/jF,YAAa,KACbgkF,sBAAuBzvI,GACvB0vI,uBAAwB1vI,GACxBwgD,OAAQ,KACR04F,OAAQ,KACRvJ,gBAAiBpwI,GACjBqwI,iBAAkB,KAClBC,cAAe,KACfC,eAAgB,KAChBC,iBAAkB/vI,GAClB6rD,cAAe7rD,GACf8rD,YAAa,KACbrsD,MAAO,KACP05I,aAAcn5I,GACdo5I,aAAc,KACdC,oBAAqB,KACrBC,WAAY,KACZC,cAAe,KACfC,qBAAsB,KACtBC,eAAgBl6I,GAChBmT,SAAU1S,GACV05I,YAAa,KACbp+I,OAAQ,KACRq+I,QAAS35I,GACT45I,QAAS55I,GACTgwI,WAAY,KACZC,eAAgB,KAChBC,cAAe,KACf2J,WAAY,KACZxI,cAAe,KACf1+H,MAAO,KACPmnI,kBAAmB,KACnBr8I,KAAM,KACN8qF,OAAQhpF,GACR4iH,GAAI,KACJv/G,UAAW,KACXutI,gBAAiB,KACjB4J,GAAI,KACJC,GAAI,KACJ5J,kBAAmBpwI,GACnBqwI,mBAAoBrwI,GACpB+iC,QAAS,KACTutG,YAAa,KACbC,aAAc,KACdC,WAAYxwI,GACZ1B,OAAQ,KACRmyI,YAAazwI,GACb4wI,cAAe5wI,GACf6wI,aAAc,KACdH,SAAU1wI,GACV2wI,aAAc3wI,GACdkW,QAAS,KACT46H,SAAU9wI,GACV+wI,YAAa/wI,GACbgxI,YAAahxI,GACbi6I,QAAS,KACTC,WAAY,KACZC,WAAY,KACZpnI,MAAO,KACPqnI,OAAQ,KACRnJ,YAAa,KACbC,YAAa,KACb5sE,EAAG,KACH+1E,GAAI,KACJC,GAAI,KACJC,iBAAkB,KAClBpJ,QAASnxI,GACT+rE,EAAG,KACHyuE,GAAI,KACJC,GAAI,KACJC,iBAAkB,KAClB13F,EAAG,KACH23F,WAAY,QCpiBHr6I,GAAO8B,GAAM,CAACE,GAAKD,GAAOE,GAAOC,GAAMo4I,IAAW,QAClDxtC,GAAMhrG,GAAM,CAACE,GAAKD,GAAOE,GAAOC,GAAMq4I,IAAU,OCM9C,SAASC,GAAav/I,GACnC,GAAIA,EAAQw/I,iBAAmBx/I,EAAQy/I,mBACrC,MAAM,IAAIvhI,UACR,4EAIJ,GACEle,EAAQw/I,iBACRx/I,EAAQy/I,oBACRz/I,EAAQ0/I,aAER,OAAQ9vB,IACN0b,GAAM1b,EAAM,WAAW,CAACxsH,EAAMtB,EAAO69I,KACnC,MAAM19G,EAAsC09G,EAE5C,IAAIngH,EAYJ,GAVIx/B,EAAQw/I,gBACVhgH,GAAUx/B,EAAQw/I,gBAAgBr1F,SAAS/mD,EAAKjB,SACvCnC,EAAQy/I,qBACjBjgH,EAASx/B,EAAQy/I,mBAAmBt1F,SAAS/mD,EAAKjB,WAG/Cq9B,GAAUx/B,EAAQ0/I,cAAiC,kBAAV59I,IAC5C09B,GAAUx/B,EAAQ0/I,aAAat8I,EAAMtB,EAAOmgC,IAG1CzC,GAA2B,kBAAV19B,EAOnB,OANI9B,EAAQ4/I,kBAAoBx8I,EAAKf,SACnC4/B,EAAO5/B,SAASgkC,OAAOvkC,EAAO,KAAMsB,EAAKf,UAEzC4/B,EAAO5/B,SAASgkC,OAAOvkC,EAAO,GAGzBA,CAGO,GAChB,CAGR,gBCrDO,SAAS6c,GAAWkhI,GAEzB,MAAMz/I,EAEJy/I,GAA0B,kBAAVA,GAAqC,SAAfA,EAAM39I,KAExC29I,EAAMz/I,OAAS,GACfy/I,EAIN,MAAwB,kBAAVz/I,GAA4D,KAAtCA,EAAMgG,QAAQ,eAAgB,GACpE,CChBA,MAAMJ,GAAQ,kBACRK,GAAO,UACPI,GAAM,SAOL,SAASnE,GAAKM,EAAQxC,GAC3B,MAAMyF,EAASrD,GAAUpC,GACzB,IAAI0F,EAAO1F,EACP2F,EAAOJ,GAEX,GAAIE,KAAUjD,EAAOiD,OACnB,OAAOjD,EAAOO,SAASP,EAAOiD,OAAOA,IAGvC,GAAIA,EAAO5E,OAAS,GAA4B,SAAvB4E,EAAOhE,MAAM,EAAG,IAAiBmE,GAAMC,KAAK7F,GAAQ,CAE3E,GAAwB,MAApBA,EAAM8F,OAAO,GAAY,CAE3B,MAAM2uF,EAAOz0F,EAAMyB,MAAM,GAAGuE,QAAQC,GAAMC,IAC1CR,EAAO,OAAS+uF,EAAK3uF,OAAO,GAAGK,cAAgBsuF,EAAKhzF,MAAM,EAC5D,KAAO,CAEL,MAAMgzF,EAAOz0F,EAAMyB,MAAM,GAEzB,IAAKwE,GAAKJ,KAAK4uF,GAAO,CACpB,IAAIirD,EAASjrD,EAAKzuF,QAAQK,GAAKC,IAEN,MAArBo5I,EAAO55I,OAAO,KAChB45I,EAAS,IAAMA,GAGjB1/I,EAAQ,OAAS0/I,CACnB,CACF,CAEA/5I,EAAOL,EACT,CAEA,OAAO,IAAIK,EAAKD,EAAM1F,EACxB,CAMA,SAASsG,GAAME,GACb,MAAO,IAAMA,EAAG3D,aAClB,CAMA,SAASqD,GAAUM,GACjB,OAAOA,EAAGV,OAAO,GAAGK,aACtB,CC1DO,MAAMw5I,GAAc,CACzBxnI,QAAS,UACTy4H,SAAU,WACVviI,OAAQ,SACR2lI,gBAAiB,kBACjBC,iBAAkB,mBAClBC,cAAe,gBACfC,eAAgB,iBAChBC,iBAAkB,mBAClBxnD,OAAQ,SACRtwE,aAAc,eACdC,aAAc,eACdC,UAAW,YACXC,UAAW,YACXC,UAAW,YACXC,WAAY,aACZC,UAAW,YACXK,WAAY,cClBP,SAASmH,GAAMpkB,GACpB,MAAM+E,EAAQX,OAAOpE,GAAS,IAAIoF,OAClC,OAAOL,EAAQA,EAAMmY,MAAM,iBAAmB,EAChD,CAUO,SAAS+qE,GAAUtlF,GACxB,OAAOA,EAAOkB,KAAK,KAAKuB,MAC1B,CCDO,SAASgf,GAAMpkB,GAEpB,MAAMuwF,EAAS,GACTxrF,EAAQX,OAAOpE,GAAS,IAC9B,IAAI0B,EAAQqD,EAAME,QAAQ,KACtB5D,EAAQ,EAER8D,GAAM,EAEV,MAAQA,GAAK,EACI,IAAXzD,IACFA,EAAQqD,EAAMlE,OACdsE,GAAM,GAGR,MAAMggB,EAAQpgB,EAAMtD,MAAMJ,EAAOK,GAAO0D,QAEpC+f,GAAUhgB,GACZorF,EAAO1uF,KAAKsjB,GAGd9jB,EAAQK,EAAQ,EAChBA,EAAQqD,EAAME,QAAQ,IAAK5D,EAC7B,CAEA,OAAOkvF,CACT,CAYO,SAAStI,GAAUtlF,EAAQ/C,GAChC,MAAMihB,EAAWjhB,GAAW,CAAC,EAK7B,OAF4C,KAA9B+C,EAAOA,EAAO9B,OAAS,GAAY,IAAI8B,EAAQ,IAAMA,GAGhEkB,MACEgd,EAAS++H,SAAW,IAAM,IACzB,MACsB,IAArB/+H,EAASg/H,QAAoB,GAAK,MAEtCz6I,MACL,CCvEA,gBCFM06I,GAAY,CAAC,OAAQ,QAAS,SAAU,OAMvC,SAASC,GAAep7C,GAC7B,MAAMviC,GAAOuiC,GAAO,IAAIv/F,OAClB46I,EAAQ59E,EAAIt8D,OAAO,GAEzB,GAAc,MAAVk6I,GAA2B,MAAVA,EACnB,OAAO59E,EAGT,MAAMuxC,EAAQvxC,EAAIn9D,QAAQ,KAC1B,IAAe,IAAX0uG,EACF,OAAOvxC,EAGT,IAAI1gE,GAAS,EAEb,OAASA,EAAQo+I,GAAUj/I,QAAQ,CACjC,MAAM6qH,EAAWo0B,GAAUp+I,GAE3B,GACEiyG,IAAU+X,EAAS7qH,QACnBuhE,EAAI3gE,MAAM,EAAGiqH,EAAS7qH,QAAQgC,gBAAkB6oH,EAEhD,OAAOtpD,CAEX,CAGA,OADA1gE,EAAQ0gE,EAAIn9D,QAAQ,MACL,IAAXvD,GAAgBiyG,EAAQjyG,EACnB0gE,GAGT1gE,EAAQ0gE,EAAIn9D,QAAQ,MACL,IAAXvD,GAAgBiyG,EAAQjyG,EACnB0gE,EAIF,qBACT,CCmEA,MAAMn/D,GAAM,CAAC,EAAEvE,eAITuhJ,GAAgB,IAAIl6F,IAAI,CAAC,QAAS,QAAS,QAAS,QAAS,OAM5D,SAASm6F,GAAgB5uE,EAAStuE,GAEvC,MAAMf,EAAW,GACjB,IAEIm0C,EAFA+pG,GAAc,EAIlB,OAASA,EAAan9I,EAAKf,SAASpB,QAClCu1C,EAAQpzC,EAAKf,SAASk+I,GAEH,YAAf/pG,EAAMt0C,KACRG,EAASJ,KAAKu+I,GAAQ9uE,EAASl7B,EAAO+pG,EAAYn9I,IAC1B,SAAfozC,EAAMt0C,KASC,YAAdkB,EAAKlB,MACJm+I,GAAcj4E,IAAIhlE,EAAKjB,UACvBwc,GAAW63B,IAEZn0C,EAASJ,KAAKu0C,EAAMp2C,OAEE,QAAfo2C,EAAMt0C,MAAmBwvE,EAAQ1xE,QAAQygJ,UAElDp+I,EAASJ,KAAKu0C,EAAMp2C,OAIxB,OAAOiC,CACT,CAQA,SAASm+I,GAAQ9uE,EAAStuE,EAAMtB,EAAOmgC,GACrC,MAAMjiC,EAAU0xE,EAAQ1xE,QAClBqH,OACyBxD,IAA7B7D,EAAQ0gJ,iBACJP,GACAngJ,EAAQ0gJ,iBACRC,EAAejvE,EAAQ9uE,OAGvB3C,EAAOmD,EAAKjB,QAEZC,EAAa,CAAC,EACpB,IAEIe,EAFAP,EAAS+9I,EASb,GAL2B,SAAvBA,EAAal7I,OAA6B,QAATxF,IACnC2C,EAASivG,GACTngC,EAAQ9uE,OAASA,GAGfQ,EAAKhB,WACP,IAAKe,KAAYC,EAAKhB,WAChBiB,GAAI9D,KAAK6D,EAAKhB,WAAYe,IAC5BM,GAAYrB,EAAYe,EAAUC,EAAKhB,WAAWe,GAAWuuE,GAKtD,OAATzxE,GAA0B,OAATA,GACnByxE,EAAQkvE,YAGV,MAAMv+I,EAAWi+I,GAAgB5uE,EAAStuE,GAE7B,OAATnD,GAA0B,OAATA,GACnByxE,EAAQkvE,YAIVlvE,EAAQ9uE,OAAS+9I,EAIjB,MAAMniI,EAAWpb,EAAKob,UAAY,CAChC/c,MAAO,CAACgd,KAAM,KAAML,OAAQ,KAAMkG,OAAQ,MAC1C/e,IAAK,CAACkZ,KAAM,KAAML,OAAQ,KAAMkG,OAAQ,OAEpCw0F,EACJ94G,EAAQ6gJ,YAAcx9I,GAAI9D,KAAKS,EAAQ6gJ,WAAY5gJ,GAC/CD,EAAQ6gJ,WAAW5gJ,GACnBA,EACAu2F,EAA6B,kBAAdsiB,GAA0BA,IAAcgoC,EAAAA,SAE7D,IAAKC,GAAAA,mBAA2BjoC,GAC9B,MAAM,IAAI56F,UAAU,uBAAD/Z,OACOlE,EAAI,uCAsDhC,GAlDAmC,EAAW1C,IAAMoC,EAEJ,MAAT7B,GAAgBD,EAAQghJ,aAC1B5+I,EAAWrC,OACqB,oBAAvBC,EAAQghJ,WACXhhJ,EAAQghJ,WACNx8I,OAAOpC,EAAW2L,MAAQ,IAC1B3K,EAAKf,SACuB,kBAArBD,EAAWgV,MAAqBhV,EAAWgV,MAAQ,MAE5DpX,EAAQghJ,YAGH,MAAT/gJ,GAAgBoH,IAClBjF,EAAW2L,KAAO1G,EAChB7C,OAAOpC,EAAW2L,MAAQ,IAC1B3K,EAAKf,SACuB,kBAArBD,EAAWgV,MAAqBhV,EAAWgV,MAAQ,OAK3Do/E,GACQ,SAATv2F,GACgB,YAAhBgiC,EAAO//B,MACY,QAAnB+/B,EAAO9/B,UAEPC,EAAWoyF,QAAS,GAInBgC,GACS,OAATv2F,GACU,OAATA,GACS,OAATA,GACS,OAATA,GACS,OAATA,GACS,OAATA,IAEFmC,EAAWs2F,MAAQ9zF,OAAOkf,SAAS7jB,EAAKiG,OAAO,GAAI,KAGxC,QAATjG,GAAkBD,EAAQihJ,oBAC5B7+I,EAAWzB,IAAMX,EAAQihJ,kBACvBz8I,OAAOpC,EAAWzB,KAAO,IACzB6D,OAAOpC,EAAWoJ,KAAO,IACG,kBAArBpJ,EAAWgV,MAAqBhV,EAAWgV,MAAQ,QAIzDo/E,GAAkB,OAATv2F,GAAiC,YAAhBgiC,EAAO//B,KAAoB,CACxD,MAAMiD,EA0DV,SAAyB/B,GACvB,IAAItB,GAAS,EAEb,OAASA,EAAQsB,EAAKf,SAASpB,QAAQ,CACrC,MAAMu1C,EAAQpzC,EAAKf,SAASP,GAE5B,GAAmB,YAAf00C,EAAMt0C,MAAwC,UAAlBs0C,EAAMr0C,QACpC,OAAOq0C,CAEX,CAEA,OAAO,IACT,CAtEkB0qG,CAAgB99I,GAC9BhB,EAAW6J,QACT9G,GAASA,EAAM/C,WAAaooG,QAAQrlG,EAAM/C,WAAW6J,SAAW,KAClE7J,EAAWN,MAAQq/I,GAAuBl/G,EAAQ7+B,GAClDhB,EAAW4nI,QAA6B,OAAnB/nG,EAAO9/B,OAC9B,CA+JF,IAAyBsd,EAnHvB,OA1CK+2E,GAAmB,OAATv2F,GAA0B,OAATA,IAC9BmC,EAAW4nI,QAAmB,OAAT/pI,EACrBmC,EAAW0nI,MAAQp4D,EAAQkvE,WAGhB,OAAT3gJ,GAA0B,OAATA,IACfmC,EAAWsV,QACRtV,EAAW8B,QAAO9B,EAAW8B,MAAQ,CAAC,GAE3C9B,EAAW8B,MAAMk9I,UAAYh/I,EAAWsV,aACjCtV,EAAWsV,OAGf8+E,IACHp0F,EAAWi/I,SAAoB,OAATphJ,IAIrBu2F,GAAkB,OAATv2F,GAAiC,YAAhBgiC,EAAO//B,OACpCE,EAAWi/I,SAAW72C,QAA2B,UAAnBvoE,EAAO9/B,UAInCnC,EAAQshJ,YACVl/I,EAAW,kBAsIN,EADgBqd,EArI0BjB,GAuI3C/c,MAAMgd,KACV,IACAgB,EAAIhe,MAAM2c,OACV,IACAqB,EAAIla,IAAIkZ,KACR,IACAgB,EAAIla,IAAI6Y,QAEPuvE,IAAInpF,QACJP,KAAK,MA7IHuyF,GAASx2F,EAAQuhJ,eACpBn/I,EAAWo/I,eAAiBp+I,EAAKob,WAI9Bg4E,GAASx2F,EAAQyhJ,sBACpBr/I,EAAWN,MAAQq/I,GAAuBl/G,EAAQ7+B,GAClDhB,EAAWs/I,aAAeP,GAAuBl/G,IAG9Cu0D,IACHp0F,EAAWgB,KAAOA,GAIbf,EAASpB,OAAS,EACrB6/I,EAAAA,cAAoBhoC,EAAW12G,EAAYC,GAC3Cy+I,EAAAA,cAAoBhoC,EAAW12G,EACrC,CAyBA,SAAS++I,GAAuBl/G,EAAQ7+B,GACtC,IAAItB,GAAS,EACT8rF,EAAQ,EAEZ,OAAS9rF,EAAQmgC,EAAO5/B,SAASpB,QAC3BghC,EAAO5/B,SAASP,KAAWsB,GACK,YAAhC6+B,EAAO5/B,SAASP,GAAOI,MAAoB0rF,IAGjD,OAAOA,CACT,CAQA,SAASnqF,GAAYjC,EAAOsE,EAAM1F,EAAO8uF,GACvC,MAAMtrF,EAAOtB,GAAK4sF,EAAItsF,OAAQkD,GAC9B,IAAI9C,EAAS5C,EAIE,OAAX4C,QAA8Ba,IAAXb,GAAwBA,IAAWA,IAMtD1D,MAAMF,QAAQ4D,KAChBA,EAASY,EAAKG,eAAiBpB,GAAOK,GAAUN,GAAOM,IAGnC,UAAlBY,EAAKT,UAA0C,kBAAXH,IACtCA,EAkBJ,SAAoB5C,GAElB,MAAM4C,EAAS,CAAC,EAEhB,IACEkB,GAAM9D,EAAOkpD,EACf,CAAE,MACA,CAGF,OAAOtmD,EAMP,SAASsmD,EAASrpD,EAAM6mD,GACtB,MAAMoD,EAAyB,SAArBjqD,EAAK4B,MAAM,EAAG,GAAgB,MAAHsC,OAASlE,EAAK4B,MAAM,IAAO5B,EAChE+C,EAAOknD,EAAE9jD,QAAQ,YAAau7I,KAAkB76F,CAClD,CACF,CAtCa86F,CAAW5+I,IAGlBY,EAAK6B,OAAS7B,EAAKT,SACrB3B,EACE6B,GAAI9D,KAAKwgJ,GAAan8I,EAAKT,UACvB48I,GAAYn8I,EAAKT,UACjBS,EAAKT,UACPH,EACKY,EAAKuC,YACd3E,EAAMoC,EAAKuC,WAAanD,GAE5B,CAgCA,SAAS2+I,GAAcr6I,EAAGy8H,GACxB,OAAOA,EAAGx9H,aACZ,CC5YA,MAAMlD,GAAM,CAAC,EAAEvE,eACT+iJ,GACJ,oEAGIC,GAAa,CACjBlxC,QAAS,CAACgW,GAAI,gBAAiB7kH,GAAI,mCACnCggJ,UAAW,CAACn7B,GAAI,aAAc7kH,GAAI,kCAClCigJ,WAAY,CAACjgJ,GAAI,wCACjB8rI,mBAAoB,CAAC9rI,GAAI,wCACzBkgJ,WAAY,CAAClgJ,GAAI,wCACjB6c,OAAQ,CAACgoG,GAAI,WAAY7kH,GAAI,6BAC7BmgJ,UAAW,CACTt7B,GAAI,eACJ7kH,GAAI,sDAENogJ,aAAc,CACZv7B,GAAI,kBACJ7kH,GAAI,sDAENqgJ,gBAAiB,CACfx7B,GAAI,qBACJ7kH,GAAI,sDAENsgJ,iBAAkB,CAChBz7B,GAAI,sBACJ7kH,GAAI,mDAUD,SAASugJ,GAActiJ,GAC5B,IAAK,MAAMN,KAAOoiJ,GAChB,GAAIz+I,GAAI9D,KAAKuiJ,GAAYpiJ,IAAQ2D,GAAI9D,KAAKS,EAASN,GAAM,CACvD,MAAM6iJ,EAAcT,GAAWpiJ,GAC/B87E,QAAQgnE,KAAK,oCAADr+I,OAERo+I,EAAY37B,GAAK,QAAHziH,OAAYo+I,EAAY37B,GAAE,gBAAkB,SAAQ,MAAAziH,OAC9DzE,EAAG,YAAAyE,OAAY09I,GAAS,KAAA19I,OAAIo+I,EAAYxgJ,GAAE,4BAE3C+/I,GAAWpiJ,EACpB,CAGF,MAAM6uH,EAAYL,IACfF,IAAIwc,IACJxc,IAAIhuH,EAAQyiJ,eAAiB,IAC7Bz0B,IAAI00B,GAAc,IACd1iJ,EAAQ2iJ,oBACX9U,oBAAoB,IAErB7f,IAAIhuH,EAAQ4iJ,eAAiB,IAC7B50B,IAAIuxB,GAAcv/I,GAEfogG,EAAO,IAAIgsB,EAEe,kBAArBpsH,EAAQqC,SACjB+9F,EAAKhgG,MAAQJ,EAAQqC,cACSwB,IAArB7D,EAAQqC,UAA+C,OAArBrC,EAAQqC,UACnDm5E,QAAQgnE,KAAK,uEAADr+I,OACgEnE,EAAQqC,SAAQ,OAI9F,MAAMwgJ,EAAWt0B,EAAUsB,QAAQtB,EAAU/pG,MAAM47E,GAAOA,GAE1D,GAAsB,SAAlByiD,EAAS3gJ,KACX,MAAM,IAAIgc,UAAU,0BAItB,IAAIlb,EAAS89I,EAAAA,cACXA,EAAAA,SACA,CAAC,EACDR,GAAgB,CAACtgJ,UAAS4C,OAAQmC,GAAM67I,UAAW,GAAIiC,IAOzD,OAJI7iJ,EAAQgC,YACVgB,EAAS89I,EAAAA,cAAoB,MAAO,CAAC9+I,UAAWhC,EAAQgC,WAAYgB,IAG/DA,CACT,CAEAs/I,GAAcQ,UAAY,CAExBzgJ,SAAUujD,GAAAA,OAEV5jD,UAAW4jD,GAAAA,OAEX85F,aAAc95F,GAAAA,KACd45F,gBAAiB55F,GAAAA,QAAkBA,GAAAA,QACnC65F,mBAAoB75F,GAAAA,QAAkBA,GAAAA,QACtCg6F,iBAAkBh6F,GAAAA,KAElB68F,cAAe78F,GAAAA,QACbA,GAAAA,UAAoB,CAClBA,GAAAA,OACAA,GAAAA,KACAA,GAAAA,QACEA,GAAAA,UAAoB,CAClBA,GAAAA,KACAA,GAAAA,OACAA,GAAAA,OACAA,GAAAA,KACAA,GAAAA,QAGEA,GAAAA,WAMVg9F,cAAeh9F,GAAAA,QACbA,GAAAA,UAAoB,CAClBA,GAAAA,OACAA,GAAAA,KACAA,GAAAA,QACEA,GAAAA,UAAoB,CAClBA,GAAAA,KACAA,GAAAA,OACAA,GAAAA,OACAA,GAAAA,KACAA,GAAAA,QAGEA,GAAAA,WAOV07F,UAAW17F,GAAAA,KACX27F,aAAc37F,GAAAA,KACd66F,SAAU76F,GAAAA,KACV67F,oBAAqB77F,GAAAA,KACrB86F,iBAAkB96F,GAAAA,UAAoB,CAACA,GAAAA,KAAgBA,GAAAA,OACvDo7F,WAAYp7F,GAAAA,UAAoB,CAACA,GAAAA,KAAgBA,GAAAA,SACjDq7F,kBAAmBr7F,GAAAA,KACnBi7F,WAAYj7F,GAAAA,QCtLP,ICCIm9F,GAAiB,CAC1BnqI,WAAO/U,EACP6S,UAAM7S,EACN7B,eAAW6B,EACXK,WAAOL,EACPk3F,UAAMl3F,GAEGm/I,GAAclC,EAAAA,eAAoCA,EAAAA,cAAoBiC,ICR7EE,GAAY,CAAC,OAAQ,OAAQ,SACjC,SAASC,GAAyBtkI,EAAQukI,GAAY,GAAc,MAAVvkI,EAAgB,MAAO,CAAC,EAAG,IAAkElf,EAAKsB,EAAnEjB,EACzF,SAAuC6e,EAAQukI,GAAY,GAAc,MAAVvkI,EAAgB,MAAO,CAAC,EAAG,IAAI7e,EAAS,CAAC,EAAG,IAAK,IAAIL,KAAOkf,EAAU,GAAIhgB,OAAOC,UAAUC,eAAeS,KAAKqf,EAAQlf,GAAM,CAAE,GAAIyjJ,EAAS99I,QAAQ3F,IAAQ,EAAG,SAAUK,EAAOL,GAAOkf,EAAOlf,EAAM,CAAI,OAAOK,CAAQ,CADpLqjJ,CAA8BxkI,EAAQukI,GAAuB,GAAIvkJ,OAAOykJ,sBAAuB,CAAE,IAAIC,EAAmB1kJ,OAAOykJ,sBAAsBzkI,GAAS,IAAK5d,EAAI,EAAGA,EAAIsiJ,EAAiBriJ,OAAQD,IAAOtB,EAAM4jJ,EAAiBtiJ,GAAQmiJ,EAAS99I,QAAQ3F,IAAQ,GAAkBd,OAAOC,UAAU0kJ,qBAAqBhkJ,KAAKqf,EAAQlf,KAAgBK,EAAOL,GAAOkf,EAAOlf,GAAQ,CAAE,OAAOK,CAAQ,CAE3e,SAASyjJ,KAAiS,OAApRA,GAAW5kJ,OAAOqhC,OAASrhC,OAAOqhC,OAAOyoC,OAAS,SAAU3oE,GAAU,IAAK,IAAIiB,EAAI,EAAGA,EAAID,UAAUE,OAAQD,IAAK,CAAE,IAAI4d,EAAS7d,UAAUC,GAAI,IAAK,IAAItB,KAAOkf,EAAchgB,OAAOC,UAAUC,eAAeS,KAAKqf,EAAQlf,KAAQK,EAAOL,GAAOkf,EAAOlf,GAAU,CAAE,OAAOK,CAAQ,EAAUyjJ,GAASnnI,MAAMJ,KAAMlb,UAAY,CAClV,SAAS0iJ,GAAQz8F,EAAGupB,GAAK,IAAI1H,EAAIjqE,OAAOslC,KAAK8iB,GAAI,GAAIpoD,OAAOykJ,sBAAuB,CAAE,IAAIzuD,EAAIh2F,OAAOykJ,sBAAsBr8F,GAAIupB,IAAMqkB,EAAIA,EAAEoJ,QAAO,SAAUztB,GAAK,OAAO3xE,OAAOO,yBAAyB6nD,EAAGupB,GAAGrwE,UAAY,KAAK2oE,EAAE5mE,KAAKoa,MAAMwsD,EAAG+rB,EAAI,CAAE,OAAO/rB,CAAG,CAC9P,SAAS66E,GAAc18F,GAAK,IAAK,IAAIupB,EAAI,EAAGA,EAAIxvE,UAAUE,OAAQsvE,IAAK,CAAE,IAAI1H,EAAI,MAAQ9nE,UAAUwvE,GAAKxvE,UAAUwvE,GAAK,CAAC,EAAGA,EAAI,EAAIkzE,GAAQ7kJ,OAAOiqE,IAAI,GAAIzkC,SAAQ,SAAUmsC,GAAKozE,GAAgB38F,EAAGupB,EAAG1H,EAAE0H,GAAK,IAAK3xE,OAAOglJ,0BAA4BhlJ,OAAO0yG,iBAAiBtqD,EAAGpoD,OAAOglJ,0BAA0B/6E,IAAM46E,GAAQ7kJ,OAAOiqE,IAAIzkC,SAAQ,SAAUmsC,GAAK3xE,OAAOK,eAAe+nD,EAAGupB,EAAG3xE,OAAOO,yBAAyB0pE,EAAG0H,GAAK,GAAI,CAAE,OAAOvpB,CAAG,CACtb,SAAS28F,GAAgBlkJ,EAAKC,EAAKU,GAA4L,OAAnLV,EAC5C,SAAwBmpE,GAAK,IAAI7nE,EACjC,SAAsB6nE,EAAG0H,GAAK,GAAI,iBAAmB1H,IAAMA,EAAG,OAAOA,EAAG,IAAI7hB,EAAI6hB,EAAEtgB,OAAOs7F,aAAc,QAAI,IAAW78F,EAAG,CAAE,IAAIhmD,EAAIgmD,EAAEznD,KAAKspE,EAAG0H,GAAK,WAAY,GAAI,iBAAmBvvE,EAAG,OAAOA,EAAG,MAAM,IAAIkd,UAAU,+CAAiD,CAAE,OAAQ,WAAaqyD,EAAI/rE,OAASI,QAAQikE,EAAI,CADlRi7E,CAAaj7E,EAAG,UAAW,MAAO,iBAAmB7nE,EAAIA,EAAIA,EAAI,EAAI,CADxD+iJ,CAAerkJ,MAAiBD,EAAOb,OAAOK,eAAeQ,EAAKC,EAAK,CAAEU,MAAOA,EAAOF,YAAY,EAAMC,cAAc,EAAMG,UAAU,IAAkBb,EAAIC,GAAOU,EAAgBX,CAAK,CAK3O,SAASukJ,GAAap0B,GACpB,OAAOA,GAAQA,EAAKjiC,KAAI,CAACvqF,EAAMpC,IAAmB8/I,EAAAA,cAAoB19I,EAAKinD,IAAKq5F,GAAc,CAC5FhkJ,IAAKsB,GACJoC,EAAK23F,MAAOipD,GAAa5gJ,EAAKozC,SACnC,CACO,SAASytG,GAAQr+I,GACtB,OAAOpE,GAAsBs/I,EAAAA,cAAoBoD,GAAUV,GAAS,CAClEzoD,KAAM2oD,GAAc,CAAC,EAAG99I,EAAKm1F,OAC5Bv5F,GAAQwiJ,GAAap+I,EAAK4wC,OAC/B,CACO,SAAS0tG,GAAS1iJ,GACvB,IAAI2iJ,EAAOC,IACT,IAOIpiJ,GAPA,KACA+4F,EAAI,KACJrkF,EAAI,MACJU,GACE5V,EACJ6iJ,EAAWnB,GAAyB1hJ,EAAOyhJ,IACzCqB,EAAe5tI,GAAQ0tI,EAAK1tI,MAAQ,MAIxC,OAFI0tI,EAAKpiJ,YAAWA,EAAYoiJ,EAAKpiJ,WACjCR,EAAMQ,YAAWA,GAAaA,EAAYA,EAAY,IAAM,IAAMR,EAAMQ,WACxD8+I,EAAAA,cAAoB,MAAO0C,GAAS,CACtD7F,OAAQ,eACRzF,KAAM,eACN3nF,YAAa,KACZ6zF,EAAKrpD,KAAMA,EAAMspD,EAAU,CAC5BriJ,UAAWA,EACXkC,MAAOw/I,GAAcA,GAAc,CACjC9qI,MAAOpX,EAAMoX,OAASwrI,EAAKxrI,OAC1BwrI,EAAKlgJ,OAAQ1C,EAAM0C,OACtB0J,OAAQ02I,EACR9sI,MAAO8sI,EACPt9I,MAAO,+BACLoQ,GAAsB0pI,EAAAA,cAAoB,QAAS,KAAM1pI,GAAQ5V,EAAMa,SAAS,EAEtF,YAAuBwB,IAAhBm/I,GAAyClC,EAAAA,cAAoBkC,GAAYz0D,SAAU,MAAM61D,GAAQD,EAAKC,KAASD,EAAKpB,GAC7H,CCk6CO,SAASwB,GAAiB/iJ,GAC/B,OAAOyiJ,GAAQ,CAAC,IAAM,MAAM,KAAO,CAAC,QAAU,aAAa,MAAQ,CAAC,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,qJAAqJ,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,2BAA2B,MAAQ,MAArTA,CAA4TziJ,EACrU,CC76BO,SAASgjJ,GAAYhjJ,GAC1B,OAAOyiJ,GAAQ,CAAC,IAAM,MAAM,KAAO,CAAC,QAAU,YAAY,KAAO,OAAO,OAAS,eAAe,YAAc,IAAI,cAAgB,QAAQ,eAAiB,SAAS,MAAQ,CAAC,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,4CAA4C,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,8CAA8C,MAAQ,MAAxUA,CAA+UziJ,EACxV,CAwUO,SAASijJ,GAAsBjjJ,GACpC,OAAOyiJ,GAAQ,CAAC,IAAM,MAAM,KAAO,CAAC,QAAU,YAAY,KAAO,OAAO,OAAS,eAAe,YAAc,IAAI,cAAgB,QAAQ,eAAiB,SAAS,MAAQ,CAAC,CAAC,IAAM,OAAO,KAAO,CAAC,MAAQ,IAAI,OAAS,IAAI,EAAI,IAAI,EAAI,IAAI,GAAK,IAAI,GAAK,KAAK,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,8DAA8D,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,4BAA4B,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,0EAA0E,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,WAAW,MAAQ,MAAvkBA,CAA8kBziJ,EACvlB,CAgHO,SAASkjJ,GAAUljJ,GACxB,OAAOyiJ,GAAQ,CAAC,IAAM,MAAM,KAAO,CAAC,QAAU,YAAY,KAAO,OAAO,OAAS,eAAe,YAAc,IAAI,cAAgB,QAAQ,eAAiB,SAAS,MAAQ,CAAC,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,4BAA4B,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,4CAA4C,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,GAAK,IAAI,GAAK,IAAI,GAAK,IAAI,GAAK,KAAK,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,GAAK,KAAK,GAAK,KAAK,GAAK,IAAI,GAAK,KAAK,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,GAAK,KAAK,GAAK,KAAK,GAAK,IAAI,GAAK,KAAK,MAAQ,MAA/gBA,CAAshBziJ,EAC/hB,CCuiaO,SAASmjJ,GAAWnjJ,GACzB,OAAOyiJ,GAAQ,CAAC,IAAM,MAAM,KAAO,CAAC,QAAU,YAAY,KAAO,OAAO,OAAS,eAAe,YAAc,IAAI,cAAgB,QAAQ,eAAiB,SAAS,MAAQ,CAAC,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,uCAAuC,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,8EAA8E,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,WAAW,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,WAAW,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,kBAAkB,MAAQ,IAAI,CAAC,IAAM,OAAO,KAAO,CAAC,EAAI,gBAAgB,MAAQ,MAAnjBA,CAA0jBziJ,EACnkB,CC9gcA,SAASojJ,GAAkBr0E,EAAGxqB,IAC3B,MAAQA,GAAKA,EAAIwqB,EAAEtvE,UAAY8kD,EAAIwqB,EAAEtvE,QACtC,IAAK,IAAI+lD,EAAI,EAAG4hB,EAAItpE,MAAMymD,GAAIiB,EAAIjB,EAAGiB,IAAK4hB,EAAE5hB,GAAKupB,EAAEvpB,GACnD,OAAO4hB,CACT,CCAA,SAASi8E,GAAmBt0E,GAC1B,OCJF,SAA4BA,GAC1B,GAAIjxE,MAAMF,QAAQmxE,GAAI,OAAO,GAAiBA,EAChD,CDES,CAAkBA,IEL3B,SAA0BA,GACxB,GAAI,oBAAsBhoB,QAAU,MAAQgoB,EAAEhoB,OAAOe,WAAa,MAAQinB,EAAE,cAAe,OAAOjxE,MAAMqnH,KAAKp2C,EAC/G,CFGiC,CAAgBA,IGJjD,SAAqCA,EAAGxqB,GACtC,GAAIwqB,EAAG,CACL,GAAI,iBAAmBA,EAAG,OAAO,GAAiBA,EAAGxqB,GACrD,IAAI8iB,EAAI,CAAC,EAAE7pE,SAASO,KAAKgxE,GAAG1uE,MAAM,GAAI,GACtC,MAAO,WAAagnE,GAAK0H,EAAE1wE,cAAgBgpE,EAAI0H,EAAE1wE,YAAYI,MAAO,QAAU4oE,GAAK,QAAUA,EAAIvpE,MAAMqnH,KAAKp2C,GAAK,cAAgB1H,GAAK,2CAA2C5iE,KAAK4iE,GAAK,GAAiB0H,EAAGxqB,QAAK,CACtN,CACF,CHFuD,CAA2BwqB,IILlF,WACE,MAAM,IAAIryD,UAAU,uIACtB,CJGwF,EACxF,CKNA,SAAS4mI,GAAQlwD,GAGf,OAAOkwD,GAAU,mBAAqBv8F,QAAU,iBAAmBA,OAAOe,SAAW,SAAUsrC,GAC7F,cAAcA,CAChB,EAAI,SAAUA,GACZ,OAAOA,GAAK,mBAAqBrsC,QAAUqsC,EAAE/0F,cAAgB0oD,QAAUqsC,IAAMrsC,OAAO1pD,UAAY,gBAAkB+1F,CACpH,EAAGkwD,GAAQlwD,EACb,CCNA,SAASmwD,GAAcl8E,GACrB,IAAI7nE,ECFN,SAAqB6nE,EAAG0H,GACtB,GAAI,UAAYu0E,GAAQj8E,KAAOA,EAAG,OAAOA,EACzC,IAAI7hB,EAAI6hB,EAAEtgB,OAAOs7F,aACjB,QAAI,IAAW78F,EAAG,CAChB,IAAIhmD,EAAIgmD,EAAEznD,KAAKspE,EAAG0H,GAAK,WACvB,GAAI,UAAYu0E,GAAQ9jJ,GAAI,OAAOA,EACnC,MAAM,IAAIkd,UAAU,+CACtB,CACA,OAAQ,WAAaqyD,EAAI/rE,OAASI,QAAQikE,EAC5C,CDPUg7E,CAAYh7E,EAAG,UACvB,MAAO,UAAYi8E,GAAQ9jJ,GAAKA,EAAIA,EAAI,EAC1C,CEJA,SAAS,GAAgBgmD,EAAGupB,EAAG1H,GAC7B,OAAQ0H,EAAIw0E,GAAcx0E,MAAOvpB,EAAIpoD,OAAOK,eAAe+nD,EAAGupB,EAAG,CAC/DnwE,MAAOyoE,EACP3oE,YAAY,EACZC,cAAc,EACdG,UAAU,IACP0mD,EAAEupB,GAAK1H,EAAG7hB,CACjB,CCRA,SAAS,KACP,OAAO,GAAWpoD,OAAOqhC,OAASrhC,OAAOqhC,OAAOyoC,OAAS,SAAUE,GACjE,IAAK,IAAI5hB,EAAI,EAAGA,EAAIjmD,UAAUE,OAAQ+lD,IAAK,CACzC,IAAI6hB,EAAI9nE,UAAUimD,GAClB,IAAK,IAAIupB,KAAK1H,GAAG,CAAG,GAAE/pE,eAAeS,KAAKspE,EAAG0H,KAAO3H,EAAE2H,GAAK1H,EAAE0H,GAC/D,CACA,OAAO3H,CACT,EAAG,GAASvsD,MAAM,KAAMtb,UAC1B,CCLA,SAAS0iJ,GAAQ3pI,EAAQkrI,GAAkB,IAAI9gH,EAAOtlC,OAAOslC,KAAKpqB,GAAS,GAAIlb,OAAOykJ,sBAAuB,CAAE,IAAI4B,EAAUrmJ,OAAOykJ,sBAAsBvpI,GAASkrI,IAAmBC,EAAUA,EAAQjnD,QAAO,SAAUknD,GAAO,OAAOtmJ,OAAOO,yBAAyB2a,EAAQorI,GAAKhlJ,UAAY,KAAKgkC,EAAKjiC,KAAKoa,MAAM6nB,EAAM+gH,EAAU,CAAE,OAAO/gH,CAAM,CAEpV,SAASw/G,GAAc3jJ,GAAU,IAAK,IAAIiB,EAAI,EAAGA,EAAID,UAAUE,OAAQD,IAAK,CAAE,IAAI4d,EAAS,MAAQ7d,UAAUC,GAAKD,UAAUC,GAAK,CAAC,EAAGA,EAAI,EAAIyiJ,GAAQ7kJ,OAAOggB,IAAS,GAAIwlB,SAAQ,SAAU1kC,GAAOikJ,GAAgB5jJ,EAAQL,EAAKkf,EAAOlf,GAAO,IAAKd,OAAOglJ,0BAA4BhlJ,OAAO0yG,iBAAiBvxG,EAAQnB,OAAOglJ,0BAA0BhlI,IAAW6kI,GAAQ7kJ,OAAOggB,IAASwlB,SAAQ,SAAU1kC,GAAOd,OAAOK,eAAec,EAAQL,EAAKd,OAAOO,yBAAyByf,EAAQlf,GAAO,GAAI,CAAE,OAAOK,CAAQ,CA2Bzf,IAAIolJ,GAAwB,CAAC,EAatB,SAASC,GAAkBC,GAChC,IAAIC,EAAevkJ,UAAUE,OAAS,QAAsB4C,IAAjB9C,UAAU,GAAmBA,UAAU,GAAK,CAAC,EACpFwkJ,EAAaxkJ,UAAUE,OAAS,EAAIF,UAAU,QAAK8C,EAKvD,OAlBF,SAAkCwhJ,GAChC,GAA0B,IAAtBA,EAAWpkJ,QAAsC,IAAtBokJ,EAAWpkJ,OAAc,OAAOokJ,EAC/D,IAAI3lJ,EAAM2lJ,EAAWphJ,KAAK,KAM1B,OAJKkhJ,GAAsBzlJ,KACzBylJ,GAAsBzlJ,GA3B1B,SAA8BL,GAC5B,IAAImmJ,EAAYnmJ,EAAI4B,OACpB,OAAkB,IAAdukJ,GAAiC,IAAdA,EAAwBnmJ,EAE7B,IAAdmmJ,EAEK,CAACnmJ,EAAI,GAAIA,EAAI,GAAI,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,KAGjF,IAAdmmJ,EACK,CAACnmJ,EAAI,GAAIA,EAAI,GAAIA,EAAI,GAAI,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,KAGrmBmmJ,GAAa,EAGR,CAACnmJ,EAAI,GAAIA,EAAI,GAAIA,EAAI,GAAIA,EAAI,GAAI,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,IAAK,GAAG8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,GAAI,KAAK8E,OAAO9E,EAAI,UAHzuH,CAKF,CASiComJ,CAAqBJ,IAG7CF,GAAsBzlJ,EAC/B,CAQ+BgmJ,CAHJL,EAAWrnD,QAAO,SAAUh8F,GACnD,MAAqB,UAAdA,CACT,KAE8ByhD,QAAO,SAAUkiG,EAAa3jJ,GAC1D,OAAO0hJ,GAAcA,GAAc,CAAC,EAAGiC,GAAcJ,EAAWvjJ,GAClE,GAAGsjJ,EACL,CACO,SAASM,GAAsBP,GACpC,OAAOA,EAAWphJ,KAAK,IACzB,CAee,SAASuc,GAAcqlI,GACpC,IAAIziJ,EAAOyiJ,EAAKziJ,KACZmiJ,EAAaM,EAAKN,WAClBO,EAAaD,EAAK3hJ,MAClBA,OAAuB,IAAf4hJ,EAAwB,CAAC,EAAIA,EACrCC,EAAkBF,EAAKE,gBACvBrmJ,EAAMmmJ,EAAKnmJ,IACX0C,EAAagB,EAAKhB,WAClBF,EAAOkB,EAAKlB,KACZ8jJ,EAAU5iJ,EAAKjB,QACf/B,EAAQgD,EAAKhD,MAEjB,GAAa,SAAT8B,EACF,OAAO9B,EACF,GAAI4lJ,EAAS,CAClB,IACIxkJ,EADAykJ,EA7BD,SAAwBV,EAAYQ,GACzC,IAAIG,EAAgB,EACpB,OAAO,SAAU7jJ,GAEf,OADA6jJ,GAAiB,EACV7jJ,EAASsrF,KAAI,SAAUn3C,EAAOx1C,GACnC,OAAOwf,GAAc,CACnBpd,KAAMozC,EACN+uG,WAAYA,EACZQ,gBAAiBA,EACjBrmJ,IAAK,gBAAgByE,OAAO+hJ,EAAe,KAAK/hJ,OAAOnD,IAE3D,GACF,CACF,CAgB0BmlJ,CAAeZ,EAAYQ,GAGjD,GAAKA,EAIE,CACL,IAAIK,EAAyBxnJ,OAAOslC,KAAKqhH,GAAY9hG,QAAO,SAAUstC,EAAS5vF,GAI7E,OAHAA,EAASmc,MAAM,KAAK8mB,SAAQ,SAAUpiC,GAC/B+uF,EAAQ5mC,SAASnoD,IAAY+uF,EAAQ9uF,KAAKD,EACjD,IACO+uF,CACT,GAAG,IAECs1D,EAAoBjkJ,EAAWJ,WAAaI,EAAWJ,UAAUmoD,SAAS,SAAW,CAAC,SAAW,GACjGnoD,EAAYI,EAAWJ,WAAaqkJ,EAAkBliJ,OAAO/B,EAAWJ,UAAUg8F,QAAO,SAAUh8F,GACrG,OAAQokJ,EAAuBj8F,SAASnoD,EAC1C,KACAR,EAAQkiJ,GAAcA,GAAc,CAAC,EAAGthJ,GAAa,CAAC,EAAG,CACvDJ,UAAW4jJ,GAAsB5jJ,SAAc6B,EAC/CK,MAAOkhJ,GAAkBhjJ,EAAWJ,UAAWpD,OAAOqhC,OAAO,CAAC,EAAG79B,EAAW8B,MAAOA,GAAQqhJ,IAE/F,MAnBE/jJ,EAAQkiJ,GAAcA,GAAc,CAAC,EAAGthJ,GAAa,CAAC,EAAG,CACvDJ,UAAW4jJ,GAAsBxjJ,EAAWJ,aAoBhD,IAAIK,EAAW4jJ,EAAgB7iJ,EAAKf,UACpC,OAAoBy+I,EAAAA,cAAoBkF,EAASxC,GAAS,CACxD9jJ,IAAKA,GACJ8B,GAAQa,EACb,CACF,CCtHA,kBAA0BikJ,EAAcr3I,GAEtC,OAAoC,IADxBq3I,EAAa71D,gBACZprF,QAAQ4J,EACtB,ECAD,IAAIg0I,GAAY,CAAC,WAAY,WAAY,QAAS,cAAe,eAAgB,kBAAmB,kBAAmB,wBAAyB,qBAAsB,2BAA4B,kBAAmB,YAAa,gBAAiB,YAAa,WAAY,SAAU,UAAW,OAAQ,gBAEzS,SAASQ,GAAQ3pI,EAAQkrI,GAAkB,IAAI9gH,EAAOtlC,OAAOslC,KAAKpqB,GAAS,GAAIlb,OAAOykJ,sBAAuB,CAAE,IAAI4B,EAAUrmJ,OAAOykJ,sBAAsBvpI,GAASkrI,IAAmBC,EAAUA,EAAQjnD,QAAO,SAAUknD,GAAO,OAAOtmJ,OAAOO,yBAAyB2a,EAAQorI,GAAKhlJ,UAAY,KAAKgkC,EAAKjiC,KAAKoa,MAAM6nB,EAAM+gH,EAAU,CAAE,OAAO/gH,CAAM,CAEpV,SAASw/G,GAAc3jJ,GAAU,IAAK,IAAIiB,EAAI,EAAGA,EAAID,UAAUE,OAAQD,IAAK,CAAE,IAAI4d,EAAS,MAAQ7d,UAAUC,GAAKD,UAAUC,GAAK,CAAC,EAAGA,EAAI,EAAIyiJ,GAAQ7kJ,OAAOggB,IAAS,GAAIwlB,SAAQ,SAAU1kC,GAAOikJ,GAAgB5jJ,EAAQL,EAAKkf,EAAOlf,GAAO,IAAKd,OAAOglJ,0BAA4BhlJ,OAAO0yG,iBAAiBvxG,EAAQnB,OAAOglJ,0BAA0BhlI,IAAW6kI,GAAQ7kJ,OAAOggB,IAASwlB,SAAQ,SAAU1kC,GAAOd,OAAOK,eAAec,EAAQL,EAAKd,OAAOO,yBAAyByf,EAAQlf,GAAO,GAAI,CAAE,OAAOK,CAAQ,CAKzf,IAAIwmJ,GAAe,MAoBnB,SAASC,GAAeC,GACtB,IAAIC,EAAaD,EAAMC,WACnBC,EAAYF,EAAME,UAClBC,EAAuBH,EAAMI,eAC7BA,OAA0C,IAAzBD,EAAkC,CACrD,MAAS,OACTE,aAAc,QACZF,EACAG,EAAoBN,EAAMO,YAC1BA,OAAoC,IAAtBD,EAA+B,CAAC,EAAIA,EAClDE,EAAqBR,EAAMQ,mBAC/B,OAAoBnG,EAAAA,cAAoB,OAAQ,CAC9C58I,MAAOtF,OAAOqhC,OAAO,CAAC,EAAG0mH,EAAWE,IA1BxC,SAA2BhB,GACzB,IAAIvnI,EAAQunI,EAAKvnI,MACb2oI,EAAqBpB,EAAKoB,mBAC1B/iJ,EAAQ2hJ,EAAK3hJ,MACjB,OAAOoa,EAAMqvE,KAAI,SAAUrmF,EAAGtG,GAC5B,IAAIyD,EAASzD,EAAIimJ,EACjB,OAAoBnG,EAAAA,cAAoB,OAAQ,CAC9CphJ,IAAK,QAAQyE,OAAOnD,GACpBgB,UAAW,uCACXkC,MAAwB,oBAAVA,EAAuBA,EAAMO,GAAUP,GACpD,GAAGC,OAAOM,EAAQ,MACvB,GACF,CAeKyiJ,CAAkB,CACnB5oI,MAAOooI,EAAWtgJ,QAAQ,MAAO,IAAIkX,MAAM,MAC3CpZ,MAAO8iJ,EACPC,mBAAoBA,IAExB,CAMA,SAASE,GAAoBC,EAAYC,GACvC,MAAO,CACLnlJ,KAAM,UACNC,QAAS,OACTC,WAAY,CACV1C,IAAK,gBAAgByE,OAAOijJ,GAC5BplJ,UAAW,CAAC,UAAW,aAAc,wCACrCkC,MAAOmjJ,GAEThlJ,SAAU,CAAC,CACTH,KAAM,OACN9B,MAAOgnJ,IAGb,CAEA,SAASE,GAAyBC,EAAiBH,EAAYI,GAE7D,IAtB0BC,EAsBtBC,EAAyB,CAC3BplE,QAAS,eACTqlE,UAxBwBF,EAwBKD,EAvBxB,GAAGrjJ,OAAOsjJ,EAAIzoJ,WAAWiC,OAAQ,UAwBtC6lJ,aAAc,MACd1F,UAAW,QACXwG,WAAY,QAGVC,EAAmD,oBAApBN,EAAiCA,EAAgBH,GAAcG,EAIlG,OAFqB7D,GAAcA,GAAc,CAAC,EAAGgE,GAAyBG,EAGhF,CAEA,SAASC,GAAkBC,GACzB,IAAI1lJ,EAAW0lJ,EAAM1lJ,SACjB+kJ,EAAaW,EAAMX,WACnBG,EAAkBQ,EAAMR,gBACxBC,EAAoBO,EAAMP,kBAC1BQ,EAAwBD,EAAMC,sBAC9BC,EAAkBF,EAAMG,UACxBA,OAAgC,IAApBD,EAA6B,CAAC,EAAIA,EAC9CE,EAAkBJ,EAAM/lJ,UACxBA,OAAgC,IAApBmmJ,EAA6B,GAAKA,EAC9CC,EAAkBL,EAAMK,gBACxBC,EAAgBN,EAAMM,cACtBjmJ,EAAkC,oBAAd8lJ,EAA2BA,EAAUd,GAAcc,EAG3E,GAFA9lJ,EAAsB,UAAIJ,EAEtBolJ,GAAcY,EAAuB,CACvC,IAAIX,EAAwBC,GAAyBC,EAAiBH,EAAYI,GAClFnlJ,EAASmB,QAAQ2jJ,GAAoBC,EAAYC,GACnD,CAQA,OANIgB,EAAgBD,IAClBhmJ,EAAW8B,MAAQw/I,GAAcA,GAAc,CAAC,EAAGthJ,EAAW8B,OAAQ,CAAC,EAAG,CACxEo+E,QAAS,UAIN,CACLpgF,KAAM,UACNC,QAAS,OACTC,WAAYA,EACZC,SAAUA,EAEd,CAEA,SAASimJ,GAAgB14B,GAIvB,IAHA,IAAI5tH,EAAYjB,UAAUE,OAAS,QAAsB4C,IAAjB9C,UAAU,GAAmBA,UAAU,GAAK,GAChFwnJ,EAAUxnJ,UAAUE,OAAS,QAAsB4C,IAAjB9C,UAAU,GAAmBA,UAAU,GAAK,GAEzEC,EAAI,EAAGA,EAAI4uH,EAAK3uH,OAAQD,IAAK,CACpC,IAAIoC,EAAOwsH,EAAK5uH,GAEhB,GAAkB,SAAdoC,EAAKlB,KACPqmJ,EAAQtmJ,KAAK6lJ,GAAkB,CAC7BzlJ,SAAU,CAACe,GACXpB,UAAW6iJ,GAAmB,IAAI1+F,IAAInkD,YAEnC,GAAIoB,EAAKf,SAAU,CACxB,IAAIgjJ,EAAarjJ,EAAUmC,OAAOf,EAAKhB,WAAWJ,WAClDsmJ,GAAgBllJ,EAAKf,SAAUgjJ,GAAYjhH,SAAQ,SAAUpjC,GAC3D,OAAOunJ,EAAQtmJ,KAAKjB,EACtB,GACF,CACF,CAEA,OAAOunJ,CACT,CAEA,SAASC,GAAaC,EAAUC,EAAWR,EAAWE,EAAiBJ,EAAuBf,EAAoBO,EAAmBD,EAAiBc,GACpJ,IAAIM,EAEA/4B,EAAO04B,GAAgBG,EAASroJ,OAChCmoJ,EAAU,GACVK,GAAsB,EACtB9mJ,EAAQ,EA0BZ,SAAS+mJ,EAAWxmJ,EAAU+kJ,GAC5B,IAAIplJ,EAAYjB,UAAUE,OAAS,QAAsB4C,IAAjB9C,UAAU,GAAmBA,UAAU,GAAK,GACpF,OAAO2nJ,GAAa1mJ,EAAUf,OAAS,EA1BzC,SAA2BoB,EAAU+kJ,GAEnC,OAAOU,GAAkB,CACvBzlJ,SAAUA,EACV+kJ,WAAYA,EACZG,gBAAiBA,EACjBC,kBAAmBA,EACnBQ,sBAAuBA,EACvBE,UAAWA,EACXlmJ,UARcjB,UAAUE,OAAS,QAAsB4C,IAAjB9C,UAAU,GAAmBA,UAAU,GAAK,GASlFqnJ,gBAAiBA,EACjBC,cAAeA,GAEnB,CAa6CS,CAAkBzmJ,EAAU+kJ,EAAYplJ,GAXrF,SAA6BK,EAAU+kJ,GACrC,GAAIgB,GAAmBhB,GAAcY,EAAuB,CAC1D,IAAIX,EAAwBC,GAAyBC,EAAiBH,EAAYI,GAClFnlJ,EAASmB,QAAQ2jJ,GAAoBC,EAAYC,GACnD,CAEA,OAAOhlJ,CACT,CAIkG0mJ,CAAoB1mJ,EAAU+kJ,EAChI,CA4DA,IA1DA,IAAI4B,EAAQ,WACV,IAAI5lJ,EAAOwsH,EAAK9tH,GACZ1B,EAAQgD,EAAKf,SAAS,GAAGjC,MAG7B,GAF2BA,EA3KlBmB,MAAMglJ,IA6KD,CACZ,IAAI0C,EAAa7oJ,EAAMkd,MAAM,MAC7B2rI,EAAW7kH,SAAQ,SAAU5pB,EAAMxZ,GACjC,IAAIomJ,EAAagB,GAAmBG,EAAQtnJ,OAASgmJ,EACjDiC,EAAW,CACbhnJ,KAAM,OACN9B,MAAO,GAAG+D,OAAOqW,EAAM,OAGzB,GAAU,IAANxZ,EAAS,CACX,IAKImoJ,EAAQN,EALIj5B,EAAK/tH,MAAM+mJ,EAAqB,EAAG9mJ,GAAOqC,OAAO2jJ,GAAkB,CACjFzlJ,SAAU,CAAC6mJ,GACXlnJ,UAAWoB,EAAKhB,WAAWJ,aAGKolJ,GAElCmB,EAAQtmJ,KAAKknJ,EACf,MAAO,GAAInoJ,IAAMioJ,EAAWhoJ,OAAS,EAAG,CACtC,IAAImoJ,EAAcx5B,EAAK9tH,EAAQ,IAAM8tH,EAAK9tH,EAAQ,GAAGO,UAAYutH,EAAK9tH,EAAQ,GAAGO,SAAS,GACtFgnJ,EAAyB,CAC3BnnJ,KAAM,OACN9B,MAAO,GAAG+D,OAAOqW,IAGnB,GAAI4uI,EAAa,CACf,IAAIE,EAAUxB,GAAkB,CAC9BzlJ,SAAU,CAACgnJ,GACXrnJ,UAAWoB,EAAKhB,WAAWJ,YAE7B4tH,EAAKvpF,OAAOvkC,EAAQ,EAAG,EAAGwnJ,EAC5B,KAAO,CACL,IAEIC,EAASV,EAFI,CAACQ,GAEkBjC,EAAYhkJ,EAAKhB,WAAWJ,WAEhEumJ,EAAQtmJ,KAAKsnJ,EACf,CAEF,KAAO,CACL,IAEIC,EAASX,EAFI,CAACK,GAEkB9B,EAAYhkJ,EAAKhB,WAAWJ,WAEhEumJ,EAAQtmJ,KAAKunJ,EACf,CACF,IACAZ,EAAqB9mJ,CACvB,CAEAA,GACF,EAEOA,EAAQ8tH,EAAK3uH,QAClB+nJ,IAGF,GAAIJ,IAAuBh5B,EAAK3uH,OAAS,EAAG,CAC1C,IAAIoB,EAAWutH,EAAK/tH,MAAM+mJ,EAAqB,EAAGh5B,EAAK3uH,QAEvD,GAAIoB,GAAYA,EAASpB,OAAQ,CAC/B,IACIwd,EAAOoqI,EAAWxmJ,EADL+lJ,GAAmBG,EAAQtnJ,OAASgmJ,GAErDsB,EAAQtmJ,KAAKwc,EACf,CACF,CAEA,OAAOiqI,EAAYH,GAAWI,EAAQ,IAAIxkJ,OAAOkY,MAAMssI,EAAOJ,EAChE,CAEA,SAASkB,GAAgBC,GACvB,IAAIxzI,EAAOwzI,EAAMxzI,KACbqvI,EAAamE,EAAMnE,WACnBQ,EAAkB2D,EAAM3D,gBAC5B,OAAO7vI,EAAKy3E,KAAI,SAAUvqF,EAAMpC,GAC9B,OAAOwf,GAAc,CACnBpd,KAAMA,EACNmiJ,WAAYA,EACZQ,gBAAiBA,EACjBrmJ,IAAK,gBAAgByE,OAAOnD,IAEhC,GACF,CAGA,SAAS2oJ,GAAcrD,GACrB,OAAOA,GAAsD,qBAA/BA,EAAasD,aAC7C,gBC/QA,IDuTyBC,GAAqBC,GCvT1CC,IDuTqBF,UCvTG34D,GDuTkB44D,GE3T9C,CACE,2BAA8B,CAC5B,MAAS,QACT,WAAc,OACd,WAAc,cACd,WAAc,4DACd,SAAY,MACZ,UAAa,OACb,WAAc,MACd,YAAe,SACf,UAAa,SACb,SAAY,SACZ,WAAc,MACd,WAAc,IACd,SAAY,IACZ,QAAW,IACX,cAAiB,OACjB,WAAc,OACd,UAAa,OACb,QAAW,QAEb,0BAA6B,CAC3B,MAAS,QACT,WAAc,UACd,WAAc,cACd,WAAc,4DACd,SAAY,MACZ,UAAa,OACb,WAAc,MACd,YAAe,SACf,UAAa,SACb,SAAY,SACZ,WAAc,MACd,WAAc,IACd,SAAY,IACZ,QAAW,IACX,cAAiB,OACjB,WAAc,OACd,UAAa,OACb,QAAW,OACX,QAAW,MACX,OAAU,SACV,SAAY,QAEd,0CAA6C,CAC3C,WAAc,OACd,WAAc,WAEhB,2CAA8C,CAC5C,WAAc,OACd,WAAc,WAEhB,2CAA8C,CAC5C,WAAc,OACd,WAAc,WAEhB,4CAA+C,CAC7C,WAAc,OACd,WAAc,WAEhB,qCAAwC,CACtC,WAAc,OACd,WAAc,WAEhB,sCAAyC,CACvC,WAAc,OACd,WAAc,WAEhB,sCAAyC,CACvC,WAAc,OACd,WAAc,WAEhB,uCAA0C,CACxC,WAAc,OACd,WAAc,WAEhB,uCAA0C,CACxC,WAAc,UACd,QAAW,OACX,aAAgB,OAChB,WAAc,UAEhB,QAAW,CACT,MAAS,aAEX,OAAU,CACR,MAAS,aAEX,QAAW,CACT,MAAS,aAEX,MAAS,CACP,MAAS,aAEX,YAAe,CACb,MAAS,QAEX,UAAa,CACX,QAAW,MAEb,SAAY,CACV,MAAS,QAEX,IAAO,CACL,MAAS,QAEX,QAAW,CACT,MAAS,QAEX,OAAU,CACR,MAAS,QAEX,SAAY,CACV,MAAS,QAEX,OAAU,CACR,MAAS,QAEX,QAAW,CACT,MAAS,QAEX,SAAY,CACV,MAAS,QAEX,YAAa,CACX,MAAS,QAEX,OAAU,CACR,MAAS,QAEX,KAAQ,CACN,MAAS,QAEX,QAAW,CACT,MAAS,QAEX,SAAY,CACV,MAAS,QAEX,SAAY,CACV,MAAS,UACT,WAAc,yBAEhB,OAAU,CACR,MAAS,UACT,WAAc,wBACd,OAAU,QAEZ,IAAO,CACL,MAAS,UACT,WAAc,yBAEhB,8BAA+B,CAC7B,MAAS,UACT,WAAc,yBAEhB,uBAAwB,CACtB,MAAS,UACT,WAAc,yBAEhB,OAAU,CACR,MAAS,QAEX,aAAc,CACZ,MAAS,QAEX,QAAW,CACT,MAAS,QAEX,SAAY,CACV,MAAS,WAEX,aAAc,CACZ,MAAS,WAEX,MAAS,CACP,MAAS,QAEX,UAAa,CACX,MAAS,OACT,WAAc,QAEhB,SAAY,CACV,MAAS,QAEX,KAAQ,CACN,WAAc,QAEhB,OAAU,CACR,UAAa,WF+HR,SAA2BE,GAChC,IAAI/6I,EAAW+6I,EAAM/6I,SACjB5M,EAAW2nJ,EAAM3nJ,SACjB4nJ,EAAcD,EAAM9lJ,MACpBA,OAAwB,IAAhB+lJ,EAAyBH,GAAeG,EAChDC,EAAoBF,EAAMG,YAC1BA,OAAoC,IAAtBD,EAA+B,CAAC,EAAIA,EAClDE,EAAqBJ,EAAMK,aAC3BA,OAAsC,IAAvBD,EAAgC,CACjDpoJ,UAAWiN,EAAW,YAAY9K,OAAO8K,QAAYpL,EACrDK,MAAOw/I,GAAcA,GAAc,CAAC,EAAGx/I,EAAM,6BAA8BA,EAAM,yBAA0BC,OAAO8K,EAAU,SAC1Hm7I,EACAE,EAAwBN,EAAMjE,gBAC9BA,OAA4C,IAA1BuE,GAA0CA,EAC5DC,EAAwBP,EAAM5B,gBAC9BA,OAA4C,IAA1BmC,GAA2CA,EAC7DC,EAAwBR,EAAMhC,sBAC9BA,OAAkD,IAA1BwC,GAA0CA,EAClEC,EAAwBT,EAAM/C,mBAC9BA,OAA+C,IAA1BwD,EAAmC,EAAIA,EAC5DC,EAA2BV,EAAMU,yBACjCC,EAAwBX,EAAMzC,gBAC9BA,OAA4C,IAA1BoD,EAAmC,CAAC,EAAIA,EAC1DjC,EAAYsB,EAAMtB,UAClBkC,EAAsBZ,EAAM3B,cAC5BA,OAAwC,IAAxBuC,GAAyCA,EACzDC,EAAkBb,EAAM9B,UACxBA,OAAgC,IAApB2C,EAA6B,CAAC,EAAIA,EAC9CC,EAAWd,EAAMc,SACjBC,EAAef,EAAMgB,OACrBA,OAA0B,IAAjBD,EAA0B,MAAQA,EAC3CE,EAAgBjB,EAAMkB,QACtBA,OAA4B,IAAlBD,EAA2B,OAASA,EAC9CE,EAAanB,EAAMvxI,KACnBA,OAAsB,IAAf0yI,GAAyB7rJ,MAAMF,QAAQiD,GAAYA,EAAS,GAAKA,IAAa,GAAK8oJ,EAC1F7E,EAAe0D,EAAM1D,aACrBzxD,EG/VR,SAAkC7tC,EAAG6hB,GACnC,GAAI,MAAQ7hB,EAAG,MAAO,CAAC,EACvB,IAAI4tC,EACFrkB,EACAvvE,ECLJ,SAAuCuvE,EAAGvpB,GACxC,GAAI,MAAQupB,EAAG,MAAO,CAAC,EACvB,IAAI1H,EAAI,CAAC,EACT,IAAK,IAAID,KAAK2H,EAAG,GAAI,CAAC,EAAEzxE,eAAeS,KAAKgxE,EAAG3H,GAAI,CACjD,GAAI5hB,EAAE3hD,QAAQujE,IAAM,EAAG,SACvBC,EAAED,GAAK2H,EAAE3H,EACX,CACA,OAAOC,CACT,CDHQ,CAA6B7hB,EAAG6hB,GACtC,GAAIjqE,OAAOykJ,sBAAuB,CAChC,IAAIz6E,EAAIhqE,OAAOykJ,sBAAsBr8F,GACrC,IAAKupB,EAAI,EAAGA,EAAI3H,EAAE3nE,OAAQsvE,IAAKqkB,EAAIhsB,EAAE2H,GAAI1H,EAAExjE,QAAQuvF,IAAM,GAAK,CAAC,EAAE2uD,qBAAqBhkJ,KAAKynD,EAAG4tC,KAAO5zF,EAAE4zF,GAAK5tC,EAAE4tC,GAChH,CACA,OAAO5zF,CACT,CHqVekiJ,CAAyB8G,EAAO/G,IAE3CqD,EAAeA,GAAgBuD,GAC/B,IAAIuB,EAAiBhD,EAA+BtH,EAAAA,cAAoB0F,GAAgB,CACtFK,eAAgB6D,EAChB/D,UAAW0D,EAAanmJ,OAAS,CAAC,EAClC8iJ,YAAaO,EACbN,mBAAoBA,EACpBP,WAAYjuI,IACT,KACD4yI,EAAkBnnJ,EAAMonJ,MAAQpnJ,EAAM,4BAA8B,CACtEqnJ,gBAAiB,QAEfC,EAAqB7B,GAAcrD,GAAgB,OAAS,UAC5DmF,EAAW1F,EAAkBnnJ,OAAOqhC,OAAO,CAAC,EAAG40D,EAAM,CACvD3wF,MAAOtF,OAAOqhC,OAAO,CAAC,EAAGorH,EAAiBlB,KACvCvrJ,OAAOqhC,OAAO,CAAC,EAAG40D,EAAM,CAC3B7yF,UAAW6yF,EAAK7yF,UAAY,GAAGmC,OAAOqnJ,EAAoB,KAAKrnJ,OAAO0wF,EAAK7yF,WAAawpJ,EACxFtnJ,MAAOtF,OAAOqhC,OAAO,CAAC,EAAGkqH,KAa3B,GATEE,EAAanmJ,MAAQw/I,GAAcA,GAAc,CAAC,EAAG2G,EAAanmJ,OAAQ,CAAC,EADzEmkJ,EAC4E,CAC5E9qI,WAAY,YAGgE,CAC5EA,WAAY,SAIX+oI,EACH,OAAoBxF,EAAAA,cAAoBkK,EAAQS,EAAUL,EAA6BtK,EAAAA,cAAoBoK,EAASb,EAAc5xI,UAQlH5U,IAAd6kJ,GAA2BoC,GAAYzC,KAAeK,GAAY,GACtEoC,EAAWA,GAAYrB,GACvB,IAAIiC,EAAmB,CAAC,CACtBxpJ,KAAM,OACN9B,MAAOqY,IAELgwI,EAzHR,SAAqBkD,GACnB,IAAIrF,EAAeqF,EAAMrF,aACrBr3I,EAAW08I,EAAM18I,SACjBwJ,EAAOkzI,EAAMlzI,KACbizI,EAAmBC,EAAMD,iBAK7B,GAAI/B,GAAcrD,GAAe,CAC/B,IAAIsF,EAAcC,GAAuBvF,EAAcr3I,GAEvD,MAAiB,SAAbA,EACK,CACL7O,MAAOsrJ,EACPz8I,SAAU,QAEH28I,EACFtF,EAAal2D,UAAUnhF,EAAUwJ,GAEjC6tI,EAAasD,cAAcnxI,EAEtC,CAGA,IACE,OAAOxJ,GAAyB,SAAbA,EAAsB,CACvC7O,MAAOkmJ,EAAal2D,UAAU33E,EAAMxJ,IAClC,CACF7O,MAAOsrJ,EAEX,CAAE,MAAO1kG,GACP,MAAO,CACL5mD,MAAOsrJ,EAEX,CACF,CAqFmBI,CAAY,CACzBxF,aAAcA,EACdr3I,SAAUA,EACVwJ,KAAMA,EACNizI,iBAAkBA,IAGM,OAAtBjD,EAASx5I,WACXw5I,EAASroJ,MAAQsrJ,GAInB,IACIx1I,EAAOsyI,GAAaC,EAAUC,EAAWR,EAAWE,EAAiBJ,EAAuBf,EADxEwB,EAASroJ,MAAMa,OAASgmJ,EACuFM,EAAiBc,GACxJ,OAAoBvH,EAAAA,cAAoBkK,EAAQS,EAAuB3K,EAAAA,cAAoBoK,EAASb,GAAerC,GAAyBoD,EAAgBN,EAAS,CACnK50I,KAAMA,EACNqvI,WAAYrhJ,EACZ6hJ,gBAAiBA,KAErB,GC5ZFgE,GAAYt/C,mBIFZ,CAAgB,OAAQ,OAAQ,eAAgB,MAAO,OAAQ,KAAM,SAAU,aAAc,OAAQ,MAAO,cAAe,MAAO,UAAW,OAAQ,WAAY,UAAW,WAAY,SAAU,aAAc,SAAU,WAAY,WAAY,OAAQ,QAAS,QAAS,SAAU,QAAS,OAAQ,QAAS,MAAO,YAAa,eAAgB,MAAO,MAAO,IAAK,WAAY,aAAc,MAAO,QAAS,UAAW,QAAS,QAAS,eAAgB,YAAa,MAAO,MAAO,UAAW,SAAU,SAAU,MAAO,aAAc,MAAO,MAAO,SAAU,IAAK,OAAQ,YAAa,MAAO,QAAS,OAAQ,SAAU,gBAAiB,SAAU,MAAO,OAAQ,eAAgB,SAAU,MAAO,SAAU,MAAO,MAAO,SAAU,QAAS,gBAAiB,SAAU,QAAS,2BAA4B,OAAQ,UAAW,SAAU,MAAO,MAAO,QAAS,WAAY,SAAU,UAAW,MAAO,OAAQ,MAAO,KAAM,YAAa,KAAM,UAAW,SAAU,OAAQ,aAAc,UAAW,OAAQ,MAAO,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,YAAa,OAAQ,qBAAsB,QAAS,QAAS,SAAU,UAAW,MAAO,KAAM,IAAK,OAAQ,UAAW,cAAe,aAAc,iBAAkB,OAAQ,QAAS,KAAM,YAAa,eAAgB,QAAS,OAAQ,QAAS,QAAS,eAAgB,MAAO,QAAS,aAAc,SAAU,SAAU,QAAS,QAAS,QAAS,QAAS,OAAQ,WAAY,SAAU,OAAQ,aAAc,OAAQ,MAAO,UAAW,MAAO,QAAS,WAAY,WAAY,oBAAqB,SAAU,SAAU,YAAa,MAAO,UAAW,QAAS,UAAW,SAAU,aAAc,OAAQ,OAAQ,kBAAmB,aAAc,OAAQ,OAAQ,QAAS,QAAS,MAAO,MAAO,OAAQ,aAAc,QAAS,SAAU,WAAY,KAAM,SAAU,SAAU,SAAU,YAAa,SAAU,aAAc,OAAQ,aAAc,MAAO,SAAU,QAAS,aAAc,aAAc,aAAc,SAAU,SAAU,aAAc,WAAY,MAAO,MAAO,SAAU,OAAQ,YAAa,aAAc,SAAU,IAAK,MAAO,OAAQ,SAAU,IAAK,SAAU,SAAU,QAAS,OAAQ,QAAS,OAAQ,MAAO,WAAY,iBAAkB,OAAQ,OAAQ,MAAO,OAAQ,QAAS,SAAU,OAAQ,gBAAiB,QAAS,YAAa,SAAU,MAAO,WAAY,gBAAiB,MAAO,SAAU,aAAc,MAAO,MAAO,WAAY,OAAQ,SAAU,QAAS,UAAW,QAAS,gBAAiB,QAAS,MAAO,MAAO,UAAW,OAAQ,SAAU,MAAO,MAAO,SAAU,OAAQ,aAAc,aAAc,eAAgB,UAAW,MAAO,IAAK,OAAQ,QAAS,WAAY,UAAW,OAAQ,MAAO,eAAgB,aAAc,OAAQ,UAAW,OAAQ,UAAW,OAAQ,QAAS,UAAW,OAAQ,SAAU,OAAQ,OAAQ,OJGvtF,YKNA,IACE,2BAA8B,CAC5B,MAAS,UACT,WAAc,OACd,WAAc,4DACd,UAAa,OACb,WAAc,MACd,YAAe,SACf,UAAa,SACb,SAAY,SACZ,WAAc,MACd,WAAc,IACd,SAAY,IACZ,QAAW,IACX,cAAiB,OACjB,WAAc,OACd,UAAa,OACb,QAAW,QAEb,0BAA6B,CAC3B,MAAS,UACT,WAAc,UACd,WAAc,4DACd,UAAa,OACb,WAAc,MACd,YAAe,SACf,UAAa,SACb,SAAY,SACZ,WAAc,MACd,WAAc,IACd,SAAY,IACZ,QAAW,IACX,cAAiB,OACjB,WAAc,OACd,UAAa,OACb,QAAW,OACX,QAAW,MACX,OAAU,UACV,SAAY,QAEd,0CAA6C,CAC3C,WAAc,WAEhB,2CAA8C,CAC5C,WAAc,WAEhB,2CAA8C,CAC5C,WAAc,WAEhB,4CAA+C,CAC7C,WAAc,WAEhB,qCAAwC,CACtC,WAAc,WAEhB,sCAAyC,CACvC,WAAc,WAEhB,sCAAyC,CACvC,WAAc,WAEhB,uCAA0C,CACxC,WAAc,WAEhB,uCAA0C,CACxC,WAAc,UACd,QAAW,cACX,aAAgB,QAChB,WAAc,UAEhB,QAAW,CACT,MAAS,WAEX,OAAU,CACR,MAAS,WAEX,QAAW,CACT,MAAS,WAEX,MAAS,CACP,MAAS,WAEX,YAAe,CACb,MAAS,WAEX,sBAAuB,CACrB,MAAS,UACT,WAAc,WAEhB,kBAAmB,CACjB,MAAS,WAEX,IAAO,CACL,MAAS,WAEX,kBAAmB,CACjB,MAAS,WAEX,YAAa,CACX,MAAS,WAEX,QAAW,CACT,MAAS,WAEX,oBAAqB,CACnB,MAAS,WAEX,OAAU,CACR,MAAS,WAEX,SAAY,CACV,MAAS,WAEX,qBAAsB,CACpB,MAAS,WAEX,aAAc,CACZ,MAAS,WAEX,IAAO,CACL,MAAS,WAEX,UAAa,CACX,MAAS,WAEX,SAAY,CACV,MAAS,WAEX,kBAAmB,CACjB,MAAS,WAEX,SAAY,CACV,MAAS,WAEX,aAAc,CACZ,MAAS,WAEX,SAAY,CACV,MAAS,WAEX,MAAS,CACP,MAAS,WAEX,iBAAkB,CAChB,MAAS,WAEX,OAAU,CACR,MAAS,WAEX,kBAAmB,CACjB,MAAS,WAEX,QAAW,CACT,MAAS,WAEX,gBAAiB,CACf,MAAS,WAEX,QAAW,CACT,MAAS,WAEX,MAAS,CACP,MAAS,WAEX,SAAY,CACV,MAAS,WAEX,iBAAkB,CAChB,MAAS,WAEX,cAAe,CACb,MAAS,WAEX,cAAe,CACb,MAAS,WAEX,WAAc,CACZ,MAAS,WAEX,QAAW,CACT,MAAS,WAEX,SAAY,CACV,MAAS,WAEX,eAAgB,CACd,MAAS,WAEX,iBAAkB,CAChB,MAAS,WAEX,SAAY,CACV,MAAS,WAEX,KAAQ,CACN,MAAS,WAEX,QAAW,CACT,MAAS,WAEX,UAAa,CACX,MAAS,UACT,WAAc,QAEhB,eAAgB,CACd,MAAS,UACT,WAAc,QAEhB,KAAQ,CACN,MAAS,UACT,WAAc,QAEhB,KAAQ,CACN,WAAc,QAEhB,OAAU,CACR,UAAa,UAEf,OAAU,CACR,OAAU,QAEZ,kCAAmC,CACjC,MAAS,UACT,WAAc,QAEhB,qDAAsD,CACpD,MAAS,UACT,WAAc,QAEhB,mDAAoD,CAClD,MAAS,WAEX,iCAAkC,CAChC,MAAS,WAEX,2CAA4C,CAC1C,MAAS,WAEX,+CAAgD,CAC9C,MAAS,WAEX,qCAAsC,CACpC,MAAS,WAEX,6CAA8C,CAC5C,MAAS,WAEX,yCAA0C,CACxC,MAAS,WAEX,iCAAkC,CAChC,MAAS,WAEX,iCAAkC,CAChC,MAAS,WAEX,+BAAgC,CAC9B,MAAS,WAEX,kBAAmB,CACjB,MAAS,WAEX,kBAAmB,CACjB,MAAS,WAEX,qBAAsB,CACpB,MAAS,WAEX,0DAA2D,CACzD,MAAS,UACT,WAAc,WAEhB,+DAAgE,CAC9D,MAAS,UACT,WAAc,WAEhB,gEAAiE,CAC/D,MAAS,UACT,WAAc,YACd,eAAkB,QAEpB,gEAAiE,CAC/D,MAAS,UACT,WAAc,YACd,eAAkB,QAEpB,qEAAsE,CACpE,MAAS,UACT,WAAc,YACd,eAAkB,QAEpB,qEAAsE,CACpE,MAAS,UACT,WAAc,YACd,eAAkB,QAEpB,6DAA8D,CAC5D,MAAS,UACT,WAAc,WAEhB,mEAAoE,CAClE,MAAS,UACT,WAAc,WAEhB,mEAAoE,CAClE,MAAS,UACT,WAAc,WAEhB,iCAAkC,CAChC,WAAc,uDAEhB,wCAAyC,CACvC,gBAAmB,UACnB,MAAS,UACT,UAAa,iBAEf,iDAAkD,CAChD,gBAAmB,UACnB,MAAS,UACT,UAAa,iBAEf,iGAAkG,CAChG,gBAAmB,aAErB,gDAAiD,CAC/C,YAAe,oBACf,WAAc,aAEhB,iDAAkD,CAChD,MAAS,aAEX,yDAA0D,CACxD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,0DAA2D,CACzD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,0DAA2D,CACzD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,yDAA0D,CACxD,MAAS,WAEX,0DAA2D,CACzD,MAAS,WAEX,8DAA+D,CAC7D,gBAAmB,aAErB,8DAA+D,CAC7D,gBAAmB,aAErB,+DAAgE,CAC9D,gBAAmB,aAErB,+DAAgE,CAC9D,gBAAmB,aAErB,qCAAsC,CACpC,YAAe,qBAEjB,mDAAoD,CAClD,MAAS,cC5WPshD,GAAY,CAChBviD,SAiaF,SAA2B/2B,EAASwQ,EAAIsxC,GACtC,IAAI79G,EAAO,EACX,OAYA,SAASs1I,EAAgBvzI,GACvB,IAAc,KAATA,GAAwB,MAATA,IAAiB/B,EAAO,EAG1C,OAFAA,IACA+7D,EAAQw/C,QAAQx5G,GACTuzI,EAET,GAAa,KAATvzI,GAAwB,IAAT/B,EAEjB,OADA+7D,EAAQw/C,QAAQx5G,GACTwzI,EAET,OAAO13B,EAAI97G,EACb,EAYA,SAASwzI,EAAexzI,GAEtB,OAAgB,OAATA,EAAgB87G,EAAI97G,GAAQwqE,EAAGxqE,EACxC,CACF,EAzcEi8G,SAAS,GAELllB,GAAS,CACbhG,SAmdF,SAAwB/2B,EAASwQ,EAAIsxC,GAEnC,IAAI23B,EAEAC,EAEA73B,EACJ,OAAO83B,EAYP,SAASA,EAAa3zI,GAIpB,OAAa,KAATA,GAAwB,KAATA,EACVg6D,EAAQ12D,MAAMswI,GAAOC,EAAaC,EAAlC95E,CAAuDh6D,GAUrD,OAATA,GACAi5G,EAA0Bj5G,IAC1Bo5G,GAAkBp5G,IACR,KAATA,GAAem5G,GAAmBn5G,GAE5B6zI,EAAY7zI,IAErB67G,GAAO,EACP7hD,EAAQw/C,QAAQx5G,GACT2zI,EACT,CAYA,SAASG,EAAoB9zI,GAY3B,OAVa,KAATA,EACFyzI,GAA0B,GAK1BC,EAA8BD,EAC9BA,OAA0BroJ,GAE5B4uE,EAAQw/C,QAAQx5G,GACT2zI,CACT,CAWA,SAASE,EAAY7zI,GAGnB,OAAI0zI,GAA+BD,IAA4B53B,EACtDC,EAAI97G,GAENwqE,EAAGxqE,EACZ,CACF,EA1iBEi8G,SAAS,GAELr0B,GAAO,CACXmJ,SAojBF,SAAsB/2B,EAASwQ,GAC7B,IAAI27C,EAAW,EACX4tB,EAAY,EAChB,OAAOC,EAYP,SAASA,EAAWh0I,GAClB,OAAa,KAATA,GACFmmH,IACAnsD,EAAQw/C,QAAQx5G,GACTg0I,GAMI,KAATh0I,GAAe+zI,EAAY5tB,EACtB8tB,EAAkBj0I,GAOhB,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,MAATA,EAEOg6D,EAAQ12D,MAAMswI,GAAOppE,EAAIypE,EAAzBj6E,CAA4Ch6D,GAG1C,OAATA,GACAi5G,EAA0Bj5G,IAC1Bo5G,GAAkBp5G,GAEXwqE,EAAGxqE,IAEZg6D,EAAQw/C,QAAQx5G,GACTg0I,EACT,CAYA,SAASC,EAAkBj0I,GAMzB,OAJa,KAATA,GACF+zI,IAEF/5E,EAAQw/C,QAAQx5G,GACTg0I,CACT,CACF,EAnoBE/3B,SAAS,GAEL23B,GAAQ,CACZ7iD,SAipBF,SAAuB/2B,EAASwQ,EAAIsxC,GAClC,OAAO83B,EAYP,SAASA,EAAM5zI,GAEb,OACW,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,MAATA,GAEAg6D,EAAQw/C,QAAQx5G,GACT4zI,GAMI,KAAT5zI,GACFg6D,EAAQw/C,QAAQx5G,GACTk0I,GAMI,KAATl0I,GACFg6D,EAAQw/C,QAAQx5G,GACTm0I,GAIE,KAATn0I,GAES,OAATA,GACAi5G,EAA0Bj5G,IAC1Bo5G,GAAkBp5G,GAEXwqE,EAAGxqE,GAEL87G,EAAI97G,EACb,CAeA,SAASm0I,EAAkBn0I,GAGzB,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACAi5G,EAA0Bj5G,IAC1Bo5G,GAAkBp5G,GAEXwqE,EAAGxqE,GAEL4zI,EAAM5zI,EACf,CAYA,SAASk0I,EAAkBl0I,GAEzB,OAAOw4G,EAAWx4G,GAAQo0I,EAAmBp0I,GAAQ87G,EAAI97G,EAC3D,CAYA,SAASo0I,EAAmBp0I,GAE1B,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACT4zI,GAELp7B,EAAWx4G,IACbg6D,EAAQw/C,QAAQx5G,GACTo0I,GAIFt4B,EAAI97G,EACb,CACF,EAhxBEi8G,SAAS,GAELo4B,GAAsB,CAC1BtjD,SA8xBF,SAAqC/2B,EAASwQ,EAAIsxC,GAChD,OAYA,SAAe97G,GAGb,OADAg6D,EAAQw/C,QAAQx5G,GACT6xF,CACT,EAYA,SAASA,EAAM7xF,GAEb,OAAO04G,EAAkB14G,GAAQ87G,EAAI97G,GAAQwqE,EAAGxqE,EAClD,CACF,EA9zBEi8G,SAAS,GAELq4B,GAAc,CAClBvjD,SA6MF,SAA6B/2B,EAASwQ,EAAIsxC,GACxC,MAAMnlC,EAAOnzE,KACb,OAYA,SAAkBxD,GAChB,GACY,KAATA,GAAwB,MAATA,IACfu0I,GAAYztJ,KAAK6vF,EAAMA,EAAK9tF,WAC7B2rJ,GAAmB79D,EAAK8jC,QAExB,OAAOqB,EAAI97G,GAMb,OAJAg6D,EAAQu/C,MAAM,mBACdv/C,EAAQu/C,MAAM,sBAGPv/C,EAAQ12D,MACbgwI,GACAt5E,EAAQ2/C,QAAQ5iB,GAAQ/8B,EAAQ2/C,QAAQ/xB,GAAM6sD,GAAW34B,GACzDA,EAHK9hD,CAILh6D,EACJ,EAYA,SAASy0I,EAASz0I,GAGhB,OAFAg6D,EAAQy/C,KAAK,sBACbz/C,EAAQy/C,KAAK,mBACNjvC,EAAGxqE,EACZ,CACF,EA5PEnX,SAAU0rJ,IAENG,GAAmB,CACvB3jD,SAsQF,SAAkC/2B,EAASwQ,EAAIsxC,GAC7C,MAAMnlC,EAAOnzE,KACb,IAAIqwG,EAAS,GACTgI,GAAO,EACX,OAYA,SAAuB77G,GACrB,IACY,KAATA,GAAwB,MAATA,IAChB20I,GAAiB7tJ,KAAK6vF,EAAMA,EAAK9tF,YAChC2rJ,GAAmB79D,EAAK8jC,QAMzB,OAJAzgD,EAAQu/C,MAAM,mBACdv/C,EAAQu/C,MAAM,uBACd1F,GAAU9nH,OAAOisG,cAAch4F,GAC/Bg6D,EAAQw/C,QAAQx5G,GACT40I,EAET,OAAO94B,EAAI97G,EACb,EAYA,SAAS40I,EAAqB50I,GAE5B,GAAIw4G,EAAWx4G,IAAS6zG,EAAOrrH,OAAS,EAItC,OAFAqrH,GAAU9nH,OAAOisG,cAAch4F,GAC/Bg6D,EAAQw/C,QAAQx5G,GACT40I,EAET,GAAa,KAAT50I,EAAa,CACf,MAAMqzG,EAAWQ,EAAOrpH,cACxB,GAAiB,SAAb6oH,GAAoC,UAAbA,EAEzB,OADAr5C,EAAQw/C,QAAQx5G,GACT60I,CAEX,CACA,OAAO/4B,EAAI97G,EACb,CAYA,SAAS60I,EAAsB70I,GAC7B,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACZ67G,EACKi5B,GAETj5B,GAAO,EACAg5B,IAEF/4B,EAAI97G,EACb,CAYA,SAAS80I,EAAc90I,GAGrB,OAAgB,OAATA,GACL44G,EAAa54G,IACbi5G,EAA0Bj5G,IAC1Bo5G,GAAkBp5G,IAClBm5G,GAAmBn5G,GACjB87G,EAAI97G,GACJg6D,EAAQ2/C,QAAQ5iB,GAAQ/8B,EAAQ2/C,QAAQ/xB,GAAMmtD,GAAgBj5B,EAA9D9hD,CAAmEh6D,EACzE,CAYA,SAAS+0I,EAAc/0I,GAGrB,OAFAg6D,EAAQy/C,KAAK,uBACbz/C,EAAQy/C,KAAK,mBACNjvC,EAAGxqE,EACZ,CACF,EA3XEnX,SAAU8rJ,IAENK,GAAgB,CACpBjkD,SAuDF,SAA+B/2B,EAASwQ,EAAIsxC,GAC1C,MAAMnlC,EAAOnzE,KAEb,IAAI2iF,EAEAh5F,EACJ,OAYA,SAAe6S,GACb,IACGi1I,GAASj1I,KACTk1I,GAAcpuJ,KAAK6vF,EAAMA,EAAK9tF,WAC/B2rJ,GAAmB79D,EAAK8jC,QAExB,OAAOqB,EAAI97G,GAIb,OAFAg6D,EAAQu/C,MAAM,mBACdv/C,EAAQu/C,MAAM,wBACP47B,EAAMn1I,EACf,EAYA,SAASm1I,EAAMn1I,GACb,OAAIi1I,GAASj1I,IACXg6D,EAAQw/C,QAAQx5G,GACTm1I,GAEI,KAATn1I,GACFg6D,EAAQw/C,QAAQx5G,GACTo1I,GAEFt5B,EAAI97G,EACb,CAgBA,SAASo1I,EAAYp1I,GAEnB,OAAa,KAATA,EACKg6D,EAAQ12D,MACb+wI,GACAgB,EACAC,EAHKt7E,CAILh6D,GAIS,KAATA,GAAwB,KAATA,GAAe04G,EAAkB14G,IAClD7S,GAAO,EACP6sE,EAAQw/C,QAAQx5G,GACTo1I,GASFC,EAAiBr1I,EAC1B,CAYA,SAASs1I,EAAet1I,GAGtB,OAFAg6D,EAAQw/C,QAAQx5G,GAChBmmF,GAAM,EACCivD,CACT,CAYA,SAASC,EAAiBr1I,GAGxB,OAAI7S,GAAQg5F,GAAOqyB,EAAW7hC,EAAK9tF,WACjCmxE,EAAQy/C,KAAK,wBACbz/C,EAAQy/C,KAAK,mBACNjvC,EAAGxqE,IAEL87G,EAAI97G,EACb,CACF,EAvLEnX,SAAUqsJ,IAINnzI,GAAO,CAAC,EAUDwzI,GAAqB,CAChCxzI,KAAIA,IAIN,IAAI/B,GAAO,GAGX,KAAOA,GAAO,KACZ+B,GAAK/B,IAAQg1I,GACbh1I,KACa,KAATA,GAAaA,GAAO,GACN,KAATA,KAAaA,GAAO,IAgyB/B,SAASu0I,GAAYv0I,GACnB,OACW,OAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACS,MAATA,GACAi5G,EAA0Bj5G,EAE9B,CAQA,SAAS20I,GAAiB30I,GACxB,OAAQw4G,EAAWx4G,EACrB,CAMA,SAASk1I,GAAcl1I,GAKrB,QAAkB,KAATA,GAAei1I,GAASj1I,GACnC,CAMA,SAASi1I,GAASj1I,GAChB,OACW,KAATA,GACS,KAATA,GACS,KAATA,GACS,KAATA,GACA04G,EAAkB14G,EAEtB,CAMA,SAASw0I,GAAmB/5B,GAC1B,IAAIpxH,EAAQoxH,EAAOjyH,OACf+B,GAAS,EACb,KAAOlB,KAAS,CACd,MAAMyjB,EAAQ2tG,EAAOpxH,GAAO,GAC5B,IACkB,cAAfyjB,EAAMrjB,MAAuC,eAAfqjB,EAAMrjB,QACpCqjB,EAAMs6G,UACP,CACA78H,GAAS,EACT,KACF,CAIA,GAAIuiB,EAAM0oI,8BAA+B,CACvCjrJ,GAAS,EACT,KACF,CACF,CAMA,OALIkwH,EAAOjyH,OAAS,IAAM+B,IAGxBkwH,EAAOA,EAAOjyH,OAAS,GAAG,GAAGgtJ,+BAAgC,GAExDjrJ,CACT,CA72BAwX,GAAK,IAAMizI,GACXjzI,GAAK,IAAMizI,GACXjzI,GAAK,IAAMizI,GACXjzI,GAAK,IAAMizI,GACXjzI,GAAK,IAAM,CAACizI,GAAeN,IAC3B3yI,GAAK,KAAO,CAACizI,GAAeN,IAC5B3yI,GAAK,IAAM,CAACizI,GAAeV,IAC3BvyI,GAAK,KAAO,CAACizI,GAAeV,ICrE5B,MAAM5rI,GAAS,CACbqoF,SAkfF,SAAwB/2B,EAASwQ,EAAIsxC,GACnC,MAAMnlC,EAAOnzE,KACb,OAAO61G,GACLr/C,GASF,SAAqBh6D,GACnB,MAAMgnE,EAAO2P,EAAK8jC,OAAO9jC,EAAK8jC,OAAOjyH,OAAS,GAC9C,OAAOw+E,GACY,gCAAjBA,EAAK,GAAGv9E,MACyC,IAAjDu9E,EAAK,GAAGu2C,eAAev2C,EAAK,IAAI,GAAMx+E,OACpCgiF,EAAGxqE,GACH87G,EAAI97G,EACV,GAdE,8BACA,EAcJ,EArgBEi8G,SAAS,GA8CX,SAASw5B,GAAiCz7E,EAASwQ,EAAIsxC,GACrD,MAAMnlC,EAAOnzE,KACb,IAAIna,EAAQstF,EAAK8jC,OAAOjyH,OAGxB,MAAM0a,EAAUyzE,EAAKnxD,OAAOkwH,eAAiB/+D,EAAKnxD,OAAOkwH,aAAe,IAExE,IAAIvuB,EAGJ,KAAO99H,KAAS,CACd,MAAMyjB,EAAQ6pE,EAAK8jC,OAAOpxH,GAAO,GACjC,GAAmB,eAAfyjB,EAAMrjB,KAAuB,CAC/B09H,EAAar6G,EACb,KACF,CAGA,GACiB,oBAAfA,EAAMrjB,MACS,cAAfqjB,EAAMrjB,MACS,UAAfqjB,EAAMrjB,MACS,UAAfqjB,EAAMrjB,MACS,SAAfqjB,EAAMrjB,KAEN,KAEJ,CACA,OAKA,SAAeuW,GACb,IAAKmnH,IAAeA,EAAWC,UAC7B,OAAOtL,EAAI97G,GAEb,MAAM1W,EAAKo5H,GACT/rC,EAAK4mC,eAAe,CAClBv0H,MAAOm+H,EAAWr6H,IAClBA,IAAK6pF,EAAK5sE,SAGd,GAA0B,KAAtBzgB,EAAG6qI,YAAY,KAAcjxH,EAAQwuC,SAASpoD,EAAGF,MAAM,IACzD,OAAO0yH,EAAI97G,GAKb,OAHAg6D,EAAQu/C,MAAM,8BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,8BACNjvC,EAAGxqE,EACZ,CACF,CAIA,SAAS21I,GAAkCl7B,EAAQxhD,GACjD,IAEIkuD,EAFA99H,EAAQoxH,EAAOjyH,OAKnB,KAAOa,KACL,GAC4B,eAA1BoxH,EAAOpxH,GAAO,GAAGI,MACI,UAArBgxH,EAAOpxH,GAAO,GACd,CACA89H,EAAa1M,EAAOpxH,GAAO,GAC3B,KACF,CAGFoxH,EAAOpxH,EAAQ,GAAG,GAAGI,KAAO,OAC5BgxH,EAAOpxH,EAAQ,GAAG,GAAGI,KAAO,6BAI5B,MAAM3C,EAAO,CACX2C,KAAM,kBACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,EAAQ,GAAG,GAAGL,OAC9C8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOA,EAAOjyH,OAAS,GAAG,GAAGsE,MAIhD6oG,EAAS,CACblsG,KAAM,wBACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,EAAQ,GAAG,GAAGyD,KAC9CA,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,EAAQ,GAAG,GAAGyD,MAG9C6oG,EAAO7oG,IAAI6Y,SACXgwF,EAAO7oG,IAAI+e,SACX8pF,EAAO7oG,IAAIyxH,eAEX,MAAM/xE,EAAS,CACb/iD,KAAM,wBACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGmuE,EAAO7oG,KAChCA,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOA,EAAOjyH,OAAS,GAAG,GAAGQ,QAGhD+9C,EAAQ,CACZt9C,KAAM,cACNqjG,YAAa,SACb9jG,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGglB,EAAOxjD,OAChC8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGglB,EAAO1/C,MAI1Bg8C,EAAc,CAElB2xE,EAAOpxH,EAAQ,GACfoxH,EAAOpxH,EAAQ,GACf,CAAC,QAASvC,EAAMmyE,GAEhBwhD,EAAOpxH,EAAQ,GACfoxH,EAAOpxH,EAAQ,GAEf,CAAC,QAASssG,EAAQ18B,GAClB,CAAC,OAAQ08B,EAAQ18B,GAEjB,CAAC,QAASzsB,EAAQysB,GAClB,CAAC,QAASlyB,EAAOkyB,GACjB,CAAC,OAAQlyB,EAAOkyB,GAChB,CAAC,OAAQzsB,EAAQysB,GAEjBwhD,EAAOA,EAAOjyH,OAAS,GACvBiyH,EAAOA,EAAOjyH,OAAS,GACvB,CAAC,OAAQ1B,EAAMmyE,IAGjB,OADAwhD,EAAO7sF,OAAOvkC,EAAOoxH,EAAOjyH,OAASa,EAAQ,KAAMy/C,GAC5C2xE,CACT,CAMA,SAASm7B,GAAwB57E,EAASwQ,EAAIsxC,GAC5C,MAAMnlC,EAAOnzE,KAGPN,EAAUyzE,EAAKnxD,OAAOkwH,eAAiB/+D,EAAKnxD,OAAOkwH,aAAe,IACxE,IAEIvoJ,EAFA8Q,EAAO,EASX,OAYA,SAAe+B,GAKb,OAJAg6D,EAAQu/C,MAAM,mBACdv/C,EAAQu/C,MAAM,8BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,8BACNo8B,CACT,EAYA,SAASA,EAAU71I,GACjB,OAAa,KAATA,EAAoB87G,EAAI97G,IAC5Bg6D,EAAQu/C,MAAM,yBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,yBACbz/C,EAAQu/C,MAAM,yBACdv/C,EAAQu/C,MAAM,eAAezsB,YAAc,SACpCgpD,EACT,CAYA,SAASA,EAAS91I,GAChB,GAEE/B,EAAO,KAEG,KAAT+B,IAAgB7S,GAGR,OAAT6S,GACS,KAATA,GACAi5G,EAA0Bj5G,GAE1B,OAAO87G,EAAI97G,GAEb,GAAa,KAATA,EAAa,CACfg6D,EAAQy/C,KAAK,eACb,MAAM3sG,EAAQktD,EAAQy/C,KAAK,yBAC3B,OAAKv2G,EAAQwuC,SAASgxE,GAAoB/rC,EAAK4mC,eAAezwG,MAG9DktD,EAAQu/C,MAAM,8BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,8BACbz/C,EAAQy/C,KAAK,mBACNjvC,GANEsxC,EAAI97G,EAOf,CAMA,OALKi5G,EAA0Bj5G,KAC7B7S,GAAO,GAET8Q,IACA+7D,EAAQw/C,QAAQx5G,GACA,KAATA,EAAc+1I,EAAaD,CACpC,CAYA,SAASC,EAAW/1I,GAClB,OAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,GAChCg6D,EAAQw/C,QAAQx5G,GAChB/B,IACO63I,GAEFA,EAAS91I,EAClB,CACF,CAMA,SAASg2I,GAAwBh8E,EAASwQ,EAAIsxC,GAC5C,MAAMnlC,EAAOnzE,KAGPN,EAAUyzE,EAAKnxD,OAAOkwH,eAAiB/+D,EAAKnxD,OAAOkwH,aAAe,IAExE,IAAIz6D,EAGA9tF,EAFA8Q,EAAO,EAGX,OAYA,SAAe+B,GAMb,OALAg6D,EAAQu/C,MAAM,yBAAyBmD,YAAa,EACpD1iD,EAAQu/C,MAAM,8BACdv/C,EAAQu/C,MAAM,oCACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oCACNw8B,CACT,EAYA,SAASA,EAAcj2I,GACrB,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,+BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,+BACbz/C,EAAQu/C,MAAM,oCACdv/C,EAAQu/C,MAAM,eAAezsB,YAAc,SACpCw1B,GAEFxG,EAAI97G,EACb,CAeA,SAASsiH,EAAYtiH,GACnB,GAEE/B,EAAO,KAEG,KAAT+B,IAAgB7S,GAGR,OAAT6S,GACS,KAATA,GACAi5G,EAA0Bj5G,GAE1B,OAAO87G,EAAI97G,GAEb,GAAa,KAATA,EAAa,CACfg6D,EAAQy/C,KAAK,eACb,MAAM3sG,EAAQktD,EAAQy/C,KAAK,oCAM3B,OALAx+B,EAAaynC,GAAoB/rC,EAAK4mC,eAAezwG,IACrDktD,EAAQu/C,MAAM,oCACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oCACbz/C,EAAQy/C,KAAK,8BACNkJ,CACT,CAMA,OALK1J,EAA0Bj5G,KAC7B7S,GAAO,GAET8Q,IACA+7D,EAAQw/C,QAAQx5G,GACA,KAATA,EAAcuiH,EAAcD,CACrC,CAeA,SAASC,EAAYviH,GACnB,OAAa,KAATA,GAAwB,KAATA,GAAwB,KAATA,GAChCg6D,EAAQw/C,QAAQx5G,GAChB/B,IACOqkH,GAEFA,EAAYtiH,EACrB,CAYA,SAAS2iH,EAAW3iH,GAClB,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,oBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oBACRv2G,EAAQwuC,SAASupC,IACpB/3E,EAAQ1Z,KAAKyxF,GAMRo+B,GACLr/C,EACAk8E,EACA,oCAGGp6B,EAAI97G,EACb,CAYA,SAASk2I,EAAgBl2I,GAEvB,OAAOwqE,EAAGxqE,EACZ,CACF,CAMA,SAASm2I,GAA+Bn8E,EAASwQ,EAAIsxC,GAUnD,OAAO9hD,EAAQ12D,MAAM04G,GAAWxxC,EAAIxQ,EAAQ2/C,QAAQjxG,GAAQ8hE,EAAIsxC,GAClE,CAGA,SAASs6B,GAAyBp8E,GAChCA,EAAQy/C,KAAK,wBACf,CC9dO,SAAS48B,GAAiB9uJ,GAE/B,IAAI+uJ,GADa/uJ,GAAW,CAAC,GACPgvJ,YACtB,MAAMxwH,EAAY,CAChBgrE,SA8GF,SAA+B/2B,EAASwQ,EAAIsxC,GAC1C,MAAMjzH,EAAW2a,KAAK3a,SAChB4xH,EAASj3G,KAAKi3G,OACpB,IAAIx8G,EAAO,EACX,OAGA,SAAe+B,GACb,GACe,MAAbnX,GACsC,oBAAtC4xH,EAAOA,EAAOjyH,OAAS,GAAG,GAAGiB,KAE7B,OAAOqyH,EAAI97G,GAGb,OADAg6D,EAAQu/C,MAAM,kCACPhqB,EAAKvvF,EACd,EAGA,SAASuvF,EAAKvvF,GACZ,MAAM2xF,EAAS62B,GAAkB3/H,GACjC,GAAa,MAATmX,EAEF,OAAI/B,EAAO,EAAU69G,EAAI97G,IACzBg6D,EAAQw/C,QAAQx5G,GAChB/B,IACOsxF,GAET,GAAItxF,EAAO,IAAMq4I,EAAQ,OAAOx6B,EAAI97G,GACpC,MAAM8M,EAAQktD,EAAQy/C,KAAK,kCACrB5nB,EAAQ22B,GAAkBxoH,GAGhC,OAFA8M,EAAM67G,OAAS92B,GAAoB,IAAVA,GAAeE,QAAQJ,GAChD7kF,EAAM87G,QAAUj3B,GAAsB,IAAXA,GAAgBI,QAAQF,GAC5CrnB,EAAGxqE,EACZ,CACF,EAhJE49G,WAsBF,SAAiCnD,EAAQxhD,GACvC,IAAI5vE,GAAS,EAGb,OAASA,EAAQoxH,EAAOjyH,QAEtB,GACuB,UAArBiyH,EAAOpxH,GAAO,IACY,mCAA1BoxH,EAAOpxH,GAAO,GAAGI,MACjBgxH,EAAOpxH,GAAO,GAAGu/H,OACjB,CACA,IAAIhsH,EAAOvT,EAGX,KAAOuT,KAEL,GACsB,SAApB69G,EAAO79G,GAAM,IACY,mCAAzB69G,EAAO79G,GAAM,GAAGnT,MAChBgxH,EAAO79G,GAAM,GAAG+rH,OAEhBlO,EAAOpxH,GAAO,GAAGyD,IAAI+e,OAAS4uG,EAAOpxH,GAAO,GAAGL,MAAM6iB,SACnD4uG,EAAO79G,GAAM,GAAG9P,IAAI+e,OAAS4uG,EAAO79G,GAAM,GAAG5T,MAAM6iB,OACrD,CACA4uG,EAAOpxH,GAAO,GAAGI,KAAO,wBACxBgxH,EAAO79G,GAAM,GAAGnT,KAAO,wBAGvB,MAAM+sJ,EAAgB,CACpB/sJ,KAAM,gBACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,GAAM,GAAG5T,OACzC8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,GAAO,GAAGyD,MAIpCiV,EAAO,CACXtY,KAAM,oBACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGizF,EAAO79G,GAAM,GAAG9P,KACzCA,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGizF,EAAOpxH,GAAO,GAAGL,QAKpC+/H,EAAa,CACjB,CAAC,QAASytB,EAAev9E,GACzB,CAAC,QAASwhD,EAAO79G,GAAM,GAAIq8D,GAC3B,CAAC,OAAQwhD,EAAO79G,GAAM,GAAIq8D,GAC1B,CAAC,QAASl3D,EAAMk3D,IAEZ2uD,EAAa3uD,EAAQzzC,OAAO8yF,WAAWsP,WAAWj1B,KACpDi1B,GAEFh6F,EACEm7F,EACAA,EAAWvgI,OACX,EACAo1H,GAAWgK,EAAYnN,EAAOrxH,MAAMwT,EAAO,EAAGvT,GAAQ4vE,IAK1DrrC,EAAOm7F,EAAYA,EAAWvgI,OAAQ,EAAG,CACvC,CAAC,OAAQuZ,EAAMk3D,GACf,CAAC,QAASwhD,EAAOpxH,GAAO,GAAI4vE,GAC5B,CAAC,OAAQwhD,EAAOpxH,GAAO,GAAI4vE,GAC3B,CAAC,OAAQu9E,EAAev9E,KAE1BrrC,EAAO6sF,EAAQ79G,EAAO,EAAGvT,EAAQuT,EAAO,EAAGmsH,GAC3C1/H,EAAQuT,EAAOmsH,EAAWvgI,OAAS,EACnC,KACF,CAEJ,CAEFa,GAAS,EACT,OAASA,EAAQoxH,EAAOjyH,QACQ,mCAA1BiyH,EAAOpxH,GAAO,GAAGI,OACnBgxH,EAAOpxH,GAAO,GAAGI,KAAO,QAG5B,OAAOgxH,CACT,GAlGA,OAHe,OAAX67B,QAA8BlrJ,IAAXkrJ,IACrBA,GAAS,GAEJ,CACLv0I,KAAM,CACJ,IAAOgkB,GAET6hG,WAAY,CACVj1B,KAAM,CAAC5sE,IAET2iG,iBAAkB,CAChB/1B,KAAM,CAAC,MAoIb,CC3JO,MAAM8jD,GAIXrvJ,WAAAA,GAMEoc,KAAK0xE,IAAM,EACb,CAUApnC,GAAAA,CAAIzkD,EAAO09B,EAAQ+mB,IAmFrB,SAAiB4oG,EAASpvC,EAAIvgF,EAAQ+mB,GACpC,IAAIzkD,EAAQ,EAGZ,GAAe,IAAX09B,GAA+B,IAAf+mB,EAAItlD,OACtB,OAEF,KAAOa,EAAQqtJ,EAAQxhE,IAAI1sF,QAAQ,CACjC,GAAIkuJ,EAAQxhE,IAAI7rF,GAAO,KAAOi+G,EAW5B,OAVAovC,EAAQxhE,IAAI7rF,GAAO,IAAM09B,OAOzB2vH,EAAQxhE,IAAI7rF,GAAO,GAAGG,QAAQskD,GAKhCzkD,GAAS,CACX,CACAqtJ,EAAQxhE,IAAI1rF,KAAK,CAAC89G,EAAIvgF,EAAQ+mB,GAChC,CA1GI6oG,CAAQnzI,KAAMna,EAAO09B,EAAQ+mB,EAC/B,CAqBA0rE,OAAAA,CAAQiB,GAIN,GAHAj3G,KAAK0xE,IAAI0hE,MAAK,CAACtpG,EAAGC,IAAMD,EAAE,GAAKC,EAAE,KAGT,IAApB/pC,KAAK0xE,IAAI1sF,OACX,OAqBF,IAAIa,EAAQma,KAAK0xE,IAAI1sF,OAErB,MAAMquJ,EAAO,GACb,KAAOxtJ,EAAQ,GACbA,GAAS,EACTwtJ,EAAKrtJ,KAAKixH,EAAOrxH,MAAMoa,KAAK0xE,IAAI7rF,GAAO,GAAKma,KAAK0xE,IAAI7rF,GAAO,KAE5DwtJ,EAAKrtJ,KAAKga,KAAK0xE,IAAI7rF,GAAO,IAG1BoxH,EAAOjyH,OAASgb,KAAK0xE,IAAI7rF,GAAO,GAElCwtJ,EAAKrtJ,KAAK,IAAIixH,IACdA,EAAOjyH,OAAS,EAChB,IAAIY,EAAQytJ,EAAKnwH,MACjB,KAAOt9B,GACLqxH,EAAOjxH,QAAQJ,GACfA,EAAQytJ,EAAKnwH,MAIfljB,KAAK0xE,IAAI1sF,OAAS,CACpB,ECtGK,SAASsuJ,GAAcr8B,EAAQpxH,GACpC,IAAI0tJ,GAAiB,EAErB,MAAM93I,EAAQ,GACd,KAAO5V,EAAQoxH,EAAOjyH,QAAQ,CAC5B,MAAM8X,EAAQm6G,EAAOpxH,GACrB,GAAI0tJ,GACF,GAAiB,UAAbz2I,EAAM,GAGc,iBAAlBA,EAAM,GAAG7W,MACXwV,EAAMzV,KAC0B,yBAA9BixH,EAAOpxH,EAAQ,GAAG,GAAGI,KACjB,OACA,aAOL,GAAsB,iBAAlB6W,EAAM,GAAG7W,MAChB,GAAkC,yBAA9BgxH,EAAOpxH,EAAQ,GAAG,GAAGI,KAAiC,CACxD,MAAMutJ,EAAa/3I,EAAMzW,OAAS,EAClCyW,EAAM+3I,GAAoC,SAAtB/3I,EAAM+3I,GAAyB,SAAW,OAChE,OAGG,GAAsB,sBAAlB12I,EAAM,GAAG7W,KAChB,UAEoB,UAAb6W,EAAM,IAAoC,sBAAlBA,EAAM,GAAG7W,OAC1CstJ,GAAiB,GAEnB1tJ,GAAS,CACX,CACA,OAAO4V,CACT,CChBO,MAAMg4I,GAAW,CACtBzuD,KAAM,CACJmK,KAAM,CACJ5B,SAUN,SAAuB/2B,EAASwQ,EAAIsxC,GAClC,MAAMnlC,EAAOnzE,KACb,IAGIq4G,EAHA59G,EAAO,EACPi5I,EAAQ,EAGZ,OAkBA,SAAel3I,GACb,IAAI3W,EAAQstF,EAAK8jC,OAAOjyH,OAAS,EACjC,KAAOa,GAAS,GAAG,CACjB,MAAMI,EAAOktF,EAAK8jC,OAAOpxH,GAAO,GAAGI,KACnC,GACW,eAATA,GAES,eAATA,EAGG,MADHJ,GAEJ,CACA,MAAM29E,EAAO39E,GAAS,EAAIstF,EAAK8jC,OAAOpxH,GAAO,GAAGI,KAAO,KACjD2f,EACK,cAAT49D,GAAiC,aAATA,EAAsBmwE,EAAeC,EAG/D,GAAIhuI,IAAS+tI,GAAgBxgE,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,MACvD,OAAO81G,EAAI97G,GAEb,OAAOoJ,EAAKpJ,EACd,EAcA,SAASo3I,EAAcp3I,GAGrB,OAFAg6D,EAAQu/C,MAAM,aACdv/C,EAAQu/C,MAAM,YAgBhB,SAAsBv5G,GACpB,GAAa,MAATA,EACF,OAAOq3I,EAAar3I,GAgBtB,OAHA67G,GAAO,EAEPq7B,GAAS,EACFG,EAAar3I,EACtB,CAlCSs3I,CAAat3I,EACtB,CAiDA,SAASq3I,EAAar3I,GACpB,OAAa,OAATA,EAEK87G,EAAI97G,GAETg5G,EAAmBh5G,GAEjBk3I,EAAQ,GACVA,EAAQ,EAGRvgE,EAAKqkC,WAAY,EACjBhhD,EAAQy/C,KAAK,YACbz/C,EAAQu/C,MAAM,cACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,cACN89B,GAIFz7B,EAAI97G,GAETk5G,EAAcl5G,GAITq5G,GAAar/C,EAASq9E,EAAc,aAApCh+B,CAAkDr5G,IAE3Dk3I,GAAS,EACLr7B,IACFA,GAAO,EAEP59G,GAAQ,GAEG,MAAT+B,GACFg6D,EAAQu/C,MAAM,oBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oBAEboC,GAAO,EACAw7B,IAITr9E,EAAQu/C,MAAM,QACPi+B,EAAYx3I,IACrB,CAcA,SAASw3I,EAAYx3I,GACnB,OAAa,OAATA,GAA0B,MAATA,GAAgBi5G,EAA0Bj5G,IAC7Dg6D,EAAQy/C,KAAK,QACN49B,EAAar3I,KAEtBg6D,EAAQw/C,QAAQx5G,GACA,KAATA,EAAcy3I,EAAgBD,EACvC,CAcA,SAASC,EAAcz3I,GACrB,OAAa,KAATA,GAAwB,MAATA,GACjBg6D,EAAQw/C,QAAQx5G,GACTw3I,GAEFA,EAAYx3I,EACrB,CAcA,SAASu3I,EAAmBv3I,GAK1B,OAHA22E,EAAKqkC,WAAY,EAGbrkC,EAAKnxD,OAAO6wD,KAAKM,EAAK5sE,MAAM/D,MACvB81G,EAAI97G,IAEbg6D,EAAQu/C,MAAM,qBAEdsC,GAAO,EACH3C,EAAcl5G,GACTq5G,GACLr/C,EACA09E,EACA,aACA/gE,EAAKnxD,OAAO8yF,WAAWyD,QAAQppB,KAAKjhD,SAAS,qBACzCtmD,EACA,EANCiuH,CAOLr5G,GAEG03I,EAAoB13I,GAC7B,CAgBA,SAAS03I,EAAoB13I,GAC3B,OAAa,KAATA,GAAwB,KAATA,EACV23I,EAAyB33I,GAErB,MAATA,GACF67G,GAAO,EAEP7hD,EAAQu/C,MAAM,oBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oBACNm+B,GAIFC,EAAiB73I,EAC1B,CAaA,SAAS43I,EAAwB53I,GAC/B,OAAIk5G,EAAcl5G,GACTq5G,GAAar/C,EAAS29E,EAA0B,aAAhDt+B,CAA8Dr5G,GAEhE23I,EAAyB33I,EAClC,CAaA,SAAS23I,EAAyB33I,GAEhC,OAAa,KAATA,GACFk3I,GAAS,EACTr7B,GAAO,EACP7hD,EAAQu/C,MAAM,wBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,wBACNq+B,GAII,KAAT93I,GACFk3I,GAAS,EAEFY,EAAgC93I,IAE5B,OAATA,GAAiBg5G,EAAmBh5G,GAC/B+3I,EAAuB/3I,GAEzB63I,EAAiB73I,EAC1B,CAaA,SAAS83I,EAAgC93I,GACvC,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,wBACPy+B,EAAoBh4I,IAItB63I,EAAiB73I,EAC1B,CAaA,SAASg4I,EAAoBh4I,GAC3B,OAAa,KAATA,GACFg6D,EAAQw/C,QAAQx5G,GACTg4I,GAII,KAATh4I,GACF67G,GAAO,EACP7hD,EAAQy/C,KAAK,wBACbz/C,EAAQu/C,MAAM,wBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,wBACNw+B,IAETj+E,EAAQy/C,KAAK,wBACNw+B,EAAiCj4I,GAC1C,CAaA,SAASi4I,EAAiCj4I,GACxC,OAAIk5G,EAAcl5G,GACTq5G,GAAar/C,EAAS+9E,EAAwB,aAA9C1+B,CAA4Dr5G,GAE9D+3I,EAAuB/3I,EAChC,CAaA,SAAS+3I,EAAuB/3I,GAC9B,OAAa,MAATA,EACK03I,EAAoB13I,IAEhB,OAATA,GAAiBg5G,EAAmBh5G,KAKjC67G,GAAQ59G,IAASi5I,GAKtBl9E,EAAQy/C,KAAK,qBACbz/C,EAAQy/C,KAAK,aAGNjvC,EAAGxqE,IAEL63I,EAAiB73I,EAC1B,CAaA,SAAS63I,EAAiB73I,GAExB,OAAO87G,EAAI97G,EACb,CAcA,SAASm3I,EAAan3I,GAKpB,OADAg6D,EAAQu/C,MAAM,YACP2+B,EAAal4I,EACtB,CAgBA,SAASk4I,EAAal4I,GACpB,OAAa,MAATA,GACFg6D,EAAQu/C,MAAM,oBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,oBACNy+B,GAEI,OAATl4I,GAAiBg5G,EAAmBh5G,IACtCg6D,EAAQy/C,KAAK,YACNjvC,EAAGxqE,IAERk5G,EAAcl5G,GACTq5G,GAAar/C,EAASk+E,EAAc,aAApC7+B,CAAkDr5G,IAI3Dg6D,EAAQu/C,MAAM,QACP4+B,EAAYn4I,GACrB,CAcA,SAASm4I,EAAYn4I,GACnB,OAAa,OAATA,GAA0B,MAATA,GAAgBi5G,EAA0Bj5G,IAC7Dg6D,EAAQy/C,KAAK,QACNy+B,EAAal4I,KAEtBg6D,EAAQw/C,QAAQx5G,GACA,KAATA,EAAco4I,EAAgBD,EACvC,CAcA,SAASC,EAAcp4I,GACrB,OAAa,KAATA,GAAwB,MAATA,GACjBg6D,EAAQw/C,QAAQx5G,GACTm4I,GAEFA,EAAYn4I,EACrB,CACF,EA9hBM49G,WAkiBN,SAAsBnD,EAAQxhD,GAC5B,IAWIo/E,EAEAC,EAEAC,EAfAlvJ,GAAS,EACTmvJ,GAA0B,EAE1BC,EAAU,EAEVC,EAAW,CAAC,EAAG,EAAG,EAAG,GAErB7wD,EAAO,CAAC,EAAG,EAAG,EAAG,GACjB8wD,GAAgC,EAChCC,EAAe,EAOnB,MAAM1jE,EAAM,IAAIuhE,GAChB,OAASptJ,EAAQoxH,EAAOjyH,QAAQ,CAC9B,MAAM8X,EAAQm6G,EAAOpxH,GACfyjB,EAAQxM,EAAM,GACH,UAAbA,EAAM,GAEW,cAAfwM,EAAMrjB,MACRkvJ,GAAgC,EAGX,IAAjBC,IACFC,GAAc3jE,EAAKjc,EAAS2/E,EAAcP,EAAcC,GACxDA,OAAcltJ,EACdwtJ,EAAe,GAIjBP,EAAe,CACb5uJ,KAAM,QACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAG1a,EAAM9jB,OAE/B8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAG1a,EAAMhgB,MAE/BooF,EAAIpnC,IAAIzkD,EAAO,EAAG,CAAC,CAAC,QAASgvJ,EAAcp/E,MAE5B,aAAfnsD,EAAMrjB,MACS,sBAAfqjB,EAAMrjB,MAEN+uJ,GAA0B,EAC1BD,OAAcntJ,EACdstJ,EAAW,CAAC,EAAG,EAAG,EAAG,GACrB7wD,EAAO,CAAC,EAAGx+F,EAAQ,EAAG,EAAG,GAGrBsvJ,IACFA,GAAgC,EAChCL,EAAc,CACZ7uJ,KAAM,YACNT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAG1a,EAAM9jB,OAE/B8D,IAAK3G,OAAOqhC,OAAO,CAAC,EAAG1a,EAAMhgB,MAE/BooF,EAAIpnC,IAAIzkD,EAAO,EAAG,CAAC,CAAC,QAASivJ,EAAar/E,MAE5Cw/E,EAAyB,sBAAf3rI,EAAMrjB,KAA+B,EAAI6uJ,EAAc,EAAI,IAIrEG,GACgB,SAAf3rI,EAAMrjB,MACU,yBAAfqjB,EAAMrjB,MACS,yBAAfqjB,EAAMrjB,KAoBgB,qBAAfqjB,EAAMrjB,OACX+uJ,EACFA,GAA0B,GAEN,IAAhBE,EAAS,KACX7wD,EAAK,GAAKA,EAAK,GACf0wD,EAAcO,GACZ5jE,EACAjc,EACAy/E,EACAD,OACArtJ,EACAmtJ,IAGJG,EAAW7wD,EACXA,EAAO,CAAC6wD,EAAS,GAAIrvJ,EAAO,EAAG,MAlCjCmvJ,GAA0B,EAGV,IAAZ3wD,EAAK,KACa,IAAhB6wD,EAAS,KACX7wD,EAAK,GAAKA,EAAK,GACf0wD,EAAcO,GACZ5jE,EACAjc,EACAy/E,EACAD,OACArtJ,EACAmtJ,GAEFG,EAAW,CAAC,EAAG,EAAG,EAAG,IAEvB7wD,EAAK,GAAKx+F,IAuBQ,cAAfyjB,EAAMrjB,MACbkvJ,GAAgC,EAChCC,EAAevvJ,GAEA,aAAfyjB,EAAMrjB,MACS,sBAAfqjB,EAAMrjB,MAENmvJ,EAAevvJ,EACK,IAAhBqvJ,EAAS,IACX7wD,EAAK,GAAKA,EAAK,GACf0wD,EAAcO,GACZ5jE,EACAjc,EACAy/E,EACAD,EACApvJ,EACAkvJ,IAEmB,IAAZ1wD,EAAK,KACd0wD,EAAcO,GAAU5jE,EAAKjc,EAAS4uB,EAAM4wD,EAASpvJ,EAAOkvJ,IAE9DE,EAAU,IAEVA,GACgB,SAAf3rI,EAAMrjB,MACU,yBAAfqjB,EAAMrjB,MACS,yBAAfqjB,EAAMrjB,OAERo+F,EAAK,GAAKx+F,EAEd,CACqB,IAAjBuvJ,GACFC,GAAc3jE,EAAKjc,EAAS2/E,EAAcP,EAAcC,GAE1DpjE,EAAIskC,QAAQvgD,EAAQwhD,QAKpBpxH,GAAS,EACT,OAASA,EAAQ4vE,EAAQwhD,OAAOjyH,QAAQ,CACtC,MAAM8X,EAAQ24D,EAAQwhD,OAAOpxH,GACZ,UAAbiX,EAAM,IAAoC,UAAlBA,EAAM,GAAG7W,OACnC6W,EAAM,GAAGy4I,OAASjC,GAAc79E,EAAQwhD,OAAQpxH,GAEpD,CACA,OAAOoxH,CACT,KAcA,SAASq+B,GAAU5jE,EAAKjc,EAASrP,EAAO6uF,EAASO,EAAQC,GAGvD,MAAMt5C,EACQ,IAAZ84C,EACI,cACY,IAAZA,EACA,iBACA,YAYW,IAAb7uF,EAAM,KACRqvF,EAAansJ,IAAM3G,OAAOqhC,OAAO,CAAC,EAAG0xH,GAASjgF,EAAQwhD,OAAQ7wD,EAAM,KACpEsrB,EAAIpnC,IAAI8b,EAAM,GAAI,EAAG,CAAC,CAAC,OAAQqvF,EAAchgF,MAU/C,MAAMlvD,EAAMmvI,GAASjgF,EAAQwhD,OAAQ7wD,EAAM,IAkB3C,GAjBAqvF,EAAe,CACbxvJ,KAAMk2G,EACN32G,MAAO7C,OAAOqhC,OAAO,CAAC,EAAGzd,GAEzBjd,IAAK3G,OAAOqhC,OAAO,CAAC,EAAGzd,IAEzBmrE,EAAIpnC,IAAI8b,EAAM,GAAI,EAAG,CAAC,CAAC,QAASqvF,EAAchgF,KAW7B,IAAbrP,EAAM,GAAU,CAClB,MAAMuvF,EAAeD,GAASjgF,EAAQwhD,OAAQ7wD,EAAM,IAC9CwvF,EAAaF,GAASjgF,EAAQwhD,OAAQ7wD,EAAM,IAE5CyvF,EAAa,CACjB5vJ,KA5Cc,eA6CdT,MAAO7C,OAAOqhC,OAAO,CAAC,EAAG2xH,GACzBrsJ,IAAK3G,OAAOqhC,OAAO,CAAC,EAAG4xH,IAGzB,GADAlkE,EAAIpnC,IAAI8b,EAAM,GAAI,EAAG,CAAC,CAAC,QAASyvF,EAAYpgF,KAC5B,IAAZw/E,EAAe,CAEjB,MAAMzvJ,EAAQiwE,EAAQwhD,OAAO7wD,EAAM,IAC7B98D,EAAMmsE,EAAQwhD,OAAO7wD,EAAM,IAMjC,GALA5gE,EAAM,GAAG8D,IAAM3G,OAAOqhC,OAAO,CAAC,EAAG16B,EAAI,GAAGA,KACxC9D,EAAM,GAAGS,KAAO,YAChBT,EAAM,GAAG8jG,YAAc,OAGnBljC,EAAM,GAAKA,EAAM,GAAK,EAAG,CAC3B,MAAMtc,EAAIsc,EAAM,GAAK,EACfrc,EAAIqc,EAAM,GAAKA,EAAM,GAAK,EAChCsrB,EAAIpnC,IAAIR,EAAGC,EAAG,GAChB,CACF,CACA2nC,EAAIpnC,IAAI8b,EAAM,GAAK,EAAG,EAAG,CAAC,CAAC,OAAQyvF,EAAYpgF,IACjD,CAcA,YALe7tE,IAAX4tJ,IACFC,EAAansJ,IAAM3G,OAAOqhC,OAAO,CAAC,EAAG0xH,GAASjgF,EAAQwhD,OAAQu+B,IAC9D9jE,EAAIpnC,IAAIkrG,EAAQ,EAAG,CAAC,CAAC,OAAQC,EAAchgF,KAC3CggF,OAAe7tJ,GAEV6tJ,CACT,CAYA,SAASJ,GAAc3jE,EAAKjc,EAAS5vE,EAAOqyF,EAAO49D,GAEjD,MAAMC,EAAQ,GACRC,EAAUN,GAASjgF,EAAQwhD,OAAQpxH,GACrCiwJ,IACFA,EAAUxsJ,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGgyH,GAClCD,EAAM/vJ,KAAK,CAAC,OAAQ8vJ,EAAWrgF,KAEjCyiB,EAAM5uF,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGgyH,GAC9BD,EAAM/vJ,KAAK,CAAC,OAAQkyF,EAAOziB,IAC3Bic,EAAIpnC,IAAIzkD,EAAQ,EAAG,EAAGkwJ,EACxB,CAOA,SAASL,GAASz+B,EAAQpxH,GACxB,MAAMiX,EAAQm6G,EAAOpxH,GACfk7I,EAAoB,UAAbjkI,EAAM,GAAiB,QAAU,MAC9C,OAAOA,EAAM,GAAGikI,EAClB,CCp2BA,MAAMkV,GAAgB,CACpB1oD,SAqBF,SAA+B/2B,EAASwQ,EAAIsxC,GAC1C,MAAMnlC,EAAOnzE,KACb,OAYA,SAAcxD,GACZ,GAEoB,OAAlB22E,EAAK9tF,WAGJ8tF,EAAKsmC,mCAEN,OAAOnB,EAAI97G,GAMb,OAJAg6D,EAAQu/C,MAAM,iBACdv/C,EAAQu/C,MAAM,uBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,uBACNtgC,CACT,EAYA,SAASA,EAAOn5E,GAId,OAAIi5G,EAA0Bj5G,IAC5Bg6D,EAAQu/C,MAAM,+BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,+BACNxuB,GAEI,KAATjrF,GAAwB,MAATA,GACjBg6D,EAAQu/C,MAAM,6BACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,6BACNxuB,GAEF6wB,EAAI97G,EACb,CAYA,SAASirF,EAAMjrF,GACb,OAAa,KAATA,GACFg6D,EAAQu/C,MAAM,uBACdv/C,EAAQw/C,QAAQx5G,GAChBg6D,EAAQy/C,KAAK,uBACbz/C,EAAQy/C,KAAK,iBACN5nB,GAEFiqB,EAAI97G,EACb,CAKA,SAAS6xF,EAAM7xF,GAEb,OAAIg5G,EAAmBh5G,GACdwqE,EAAGxqE,GAKRk5G,EAAcl5G,GACTg6D,EAAQ12D,MACb,CACEytF,SAAU2oD,IAEZlvE,EACAsxC,EALK9hD,CAMLh6D,GAIG87G,EAAI97G,EACb,CACF,GAnHa25I,GAAkB,CAC7B53I,KAAM,CACJ,GAAM03I,KAuHV,SAASC,GAAkB1/E,EAASwQ,EAAIsxC,GACtC,OAAOzC,GAAar/C,GAYpB,SAAeh6D,GAKb,OAAgB,OAATA,EAAgB87G,EAAI97G,GAAQwqE,EAAGxqE,EACxC,GAlBoC,aAmBtC,CC5JO,SAAS45I,GAAOjyJ,EAAO2f,GAC5B,MAAMnB,EAASpa,OAAOpE,GAEtB,GAAyB,kBAAd2f,EACT,MAAM,IAAI7B,UAAU,sBAGtB,IAAI0vE,EAAQ,EACR9rF,EAAQ8c,EAAOvZ,QAAQ0a,GAE3B,MAAkB,IAAXje,GACL8rF,IACA9rF,EAAQ8c,EAAOvZ,QAAQ0a,EAAWje,EAAQie,EAAU9e,QAGtD,OAAO2sF,CACT,CC0CA,MAAMvqF,GAAM,CAAC,EAAEvE,eAqBFwzJ,GAgBT,SAAU1iC,EAAMttH,EAAM8D,EAASpG,GAE7B,IAAIihB,EAEAre,EAEgB,kBAATN,GAAqBA,aAAgByvF,QAE9CnvF,EAAS,CAAC,CAACN,EAAM8D,IACjB6a,EAAWjhB,IAEX4C,EAASN,EAET2e,EAAW7a,GAGR6a,IACHA,EAAW,CAAC,GAGd,MAAMsxI,EAAU5nB,GAAQ1pH,EAASmlF,QAAU,IACrCosD,EA+HZ,SAAiB5vJ,GAEf,MAAMI,EAAS,GAEf,GAAsB,kBAAXJ,EACT,MAAM,IAAIsb,UAAU,sCAGtB,GAAI5e,MAAMF,QAAQwD,GAAS,CACzB,IAAId,GAAS,EAEb,OAASA,EAAQc,EAAO3B,QACtB+B,EAAOf,KAAK,CACVwwJ,GAAa7vJ,EAAOd,GAAO,IAC3B4wJ,GAAW9vJ,EAAOd,GAAO,KAG/B,KAAO,CAEL,IAAIpC,EAEJ,IAAKA,KAAOkD,EACNS,GAAI9D,KAAKqD,EAAQlD,IACnBsD,EAAOf,KAAK,CAACwwJ,GAAa/yJ,GAAMgzJ,GAAW9vJ,EAAOlD,KAGxD,CAEA,OAAOsD,CACT,CA5JoB2vJ,CAAQ/vJ,GACtB,IAAIgwJ,GAAa,EAEjB,OAASA,EAAYJ,EAAMvxJ,QACzBiqI,GAAatb,EAAM,OAAQub,GAI7B,OAAOvb,EAGP,SAASub,EAAQ/nI,EAAMioI,GACrB,IAEIwnB,EAFA/wJ,GAAS,EAIb,OAASA,EAAQupI,EAAQpqI,QAAQ,CAC/B,MAAMghC,EAASopG,EAAQvpI,GAEvB,GACEywJ,EACEtwH,EAEA4wH,EAAcA,EAAYxwJ,SAASgD,QAAQ48B,QAAUp+B,EACrDgvJ,GAGF,OAGFA,EAAc5wH,CAChB,CAEA,GAAI4wH,EACF,OAcJ,SAAiBzvJ,EAAMioI,GACrB,MAAMppG,EAASopG,EAAQA,EAAQpqI,OAAS,GAClCqB,EAAOkwJ,EAAMI,GAAW,GACxBxsJ,EAAUosJ,EAAMI,GAAW,GACjC,IAAInxJ,EAAQ,EAEZ,MAAMK,EAAQmgC,EAAO5/B,SAASgD,QAAQjC,GACtC,IAAI0vJ,GAAS,EAETxuJ,EAAQ,GAEZhC,EAAKX,UAAY,EAEjB,IAAIJ,EAAQe,EAAKV,KAAKwB,EAAKhD,OAE3B,KAAOmB,GAAO,CACZ,MAAMid,EAAWjd,EAAMO,MAEjBixJ,EAAc,CAClBjxJ,MAAOP,EAAMO,MACbqD,MAAO5D,EAAM4D,MAEb85B,MAAO,IAAIosG,EAASjoI,IAEtB,IAAIhD,EAAQgG,KAAW7E,EAAOwxJ,GAyB9B,GAvBqB,kBAAV3yJ,IACTA,EAAQA,EAAMa,OAAS,EAAI,CAACiB,KAAM,OAAQ9B,cAASyD,IAIvC,IAAVzD,IACEqB,IAAU+c,GACZla,EAAMrC,KAAK,CACTC,KAAM,OACN9B,MAAOgD,EAAKhD,MAAMyB,MAAMJ,EAAO+c,KAI/Blf,MAAMF,QAAQgB,GAChBkE,EAAMrC,QAAQ7B,GACLA,GACTkE,EAAMrC,KAAK7B,GAGbqB,EAAQ+c,EAAWjd,EAAM,GAAGN,OAC5B6xJ,GAAS,IAGNxwJ,EAAK+sF,OACR,MAGF9tF,EAAQe,EAAKV,KAAKwB,EAAKhD,MACzB,CAEI0yJ,GACErxJ,EAAQ2B,EAAKhD,MAAMa,QACrBqD,EAAMrC,KAAK,CAACC,KAAM,OAAQ9B,MAAOgD,EAAKhD,MAAMyB,MAAMJ,KAGpDwgC,EAAO5/B,SAASgkC,OAAOvkC,EAAO,KAAMwC,IAEpCA,EAAQ,CAAClB,GAGX,OAAOtB,EAAQwC,EAAMrD,MACvB,CAjFWkoI,CAAQ/lI,EAAMioI,EAEzB,CAgFF,EAkDJ,SAASonB,GAAanwJ,GACpB,MAAuB,kBAATA,EAAoB,IAAIyvF,OCrSzB,SAA4B9sC,GAC1C,GAAsB,kBAAXA,EACV,MAAM,IAAI/mC,UAAU,qBAKrB,OAAO+mC,EACL7+C,QAAQ,sBAAuB,QAC/BA,QAAQ,KAAM,QACjB,CD2R+CmnF,CAAOjrF,GAAO,KAAOA,CACpE,CAUA,SAASowJ,GAAWtsJ,GAClB,MAA0B,oBAAZA,EAAyBA,EAAU,IAAMA,CACzD,CE7RA,MAAM4sJ,GAAc,WAEdC,GAAiB,CAAC,WAAY,OAAQ,QAAS,SASxCC,GAAiC,CAC5C9uB,WAAY,CA2Fd,SAAsCxU,GACpC0iC,GACE1iC,EACA,CACE,CAAC,kDAAmDujC,IACpD,CAAC,oCAAqCC,KAExC,CAAChtD,OAAQ,CAAC,OAAQ,kBAEtB,GAnGE4rB,MAAO,CACLqhC,gBA0CJ,SAA8B9tI,GAC5BtJ,KAAK+1G,MAAM,CAAC9vH,KAAM,OAAQkV,MAAO,KAAMorD,IAAK,GAAIngE,SAAU,IAAKkjB,EACjE,EA3CI+tI,qBAAsBC,GACtBC,oBAAqBD,GACrBE,mBAAoBF,IAEtBrhC,KAAM,CACJmhC,gBA8EJ,SAA6B9tI,GAC3BtJ,KAAKi2G,KAAK3sG,EACZ,EA/EI+tI,qBAqEJ,SAAkC/tI,GAChCtJ,KAAKkoH,OAAOjS,KAAKuS,cAAcllI,KAAK0c,KAAMsJ,EAC5C,EAtEIiuI,oBAkDJ,SAAiCjuI,GAC/BtJ,KAAKkoH,OAAOjS,KAAKqS,iBAAiBhlI,KAAK0c,KAAMsJ,EAC/C,EAnDIkuI,mBAyDJ,SAAgCluI,GAC9BtJ,KAAKkoH,OAAOjS,KAAKtsH,KAAKrG,KAAK0c,KAAMsJ,GACjC,MAAMniB,EAA4B6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACjEmC,EAAKo/D,IAAM,UAAYvmD,KAAK+5G,eAAezwG,EAC7C,IApDamuI,GAA+B,CAC1CC,OAAQ,CACN,CACE5zI,UAAW,IACXqqF,OAAQ,aACRE,MAAO,YACP0oD,eACAC,mBAEF,CACElzI,UAAW,IACXqqF,OAAQ,OACRE,MAAO,YACP0oD,eACAC,mBAEF,CAAClzI,UAAW,IAAKqqF,OAAQ,OAAQE,MAAO,MAAO0oD,eAAaC,qBAgBhE,SAASM,GAA0BhuI,GACjCtJ,KAAKkoH,OAAOnS,MAAMuS,iBAAiBhlI,KAAK0c,KAAMsJ,EAChD,CA0DA,SAAS4tI,GAAQ7rJ,EAAGwkH,EAAUtc,EAAQnP,EAAM9+F,GAC1C,IAAI6Z,EAAS,GAGb,IAAK9Z,GAASC,GACZ,OAAO,EAUT,GANI,MAAM0E,KAAK6lH,KACbtc,EAASsc,EAAWtc,EACpBsc,EAAW,GACX1wG,EAAS,YAwDb,SAAyBo0F,GACvB,MAAMka,EAAQla,EAAOlyF,MAAM,KAE3B,GACEosG,EAAMzoH,OAAS,GACdyoH,EAAMA,EAAMzoH,OAAS,KACnB,IAAIgF,KAAKyjH,EAAMA,EAAMzoH,OAAS,MAC5B,aAAagF,KAAKyjH,EAAMA,EAAMzoH,OAAS,MAC3CyoH,EAAMA,EAAMzoH,OAAS,KACnB,IAAIgF,KAAKyjH,EAAMA,EAAMzoH,OAAS,MAC5B,aAAagF,KAAKyjH,EAAMA,EAAMzoH,OAAS,KAE5C,OAAO,EAGT,OAAO,CACT,CArEO2yJ,CAAgBpkD,GACnB,OAAO,EAGT,MAAMka,EAuER,SAAkBlnD,GAChB,MAAMqxF,EAAY,sBAAsBjyJ,KAAK4gE,GAE7C,IAAKqxF,EACH,MAAO,CAACrxF,OAAK3+D,GAGf2+D,EAAMA,EAAI3gE,MAAM,EAAGgyJ,EAAU/xJ,OAE7B,IAAIuqJ,EAAQwH,EAAU,GAClBC,EAAoBzH,EAAMhnJ,QAAQ,KACtC,MAAM0uJ,EAAgB1B,GAAO7vF,EAAK,KAClC,IAAIwxF,EAAgB3B,GAAO7vF,EAAK,KAEhC,MAA8B,IAAvBsxF,GAA4BC,EAAgBC,GACjDxxF,GAAO6pF,EAAMxqJ,MAAM,EAAGiyJ,EAAoB,GAC1CzH,EAAQA,EAAMxqJ,MAAMiyJ,EAAoB,GACxCA,EAAoBzH,EAAMhnJ,QAAQ,KAClC2uJ,IAGF,MAAO,CAACxxF,EAAK6pF,EACf,CA7FgB4H,CAASzkD,EAASnP,GAEhC,IAAKqpB,EAAM,GAAI,OAAO,EAGtB,MAAM1mH,EAAS,CACbd,KAAM,OACNkV,MAAO,KACPorD,IAAKpnD,EAAS0wG,EAAWpC,EAAM,GAC/BrnH,SAAU,CAAC,CAACH,KAAM,OAAQ9B,MAAO0rH,EAAWpC,EAAM,MAGpD,OAAIA,EAAM,GACD,CAAC1mH,EAAQ,CAACd,KAAM,OAAQ9B,MAAOspH,EAAM,KAGvC1mH,CACT,CAUA,SAASowJ,GAAU9rJ,EAAGsmJ,EAAO7+I,EAAOxN,GAClC,SAEGD,GAASC,GAAO,IAEjB,UAAU0E,KAAK8I,KAKV,CACL7M,KAAM,OACNkV,MAAO,KACPorD,IAAK,UAAYorF,EAAQ,IAAM7+I,EAC/B1M,SAAU,CAAC,CAACH,KAAM,OAAQ9B,MAAOwtJ,EAAQ,IAAM7+I,IAEnD,CAyDA,SAASzN,GAASC,EAAO2gE,GACvB,MAAMzpD,EAAOlX,EAAM4D,MAAM6a,WAAWze,EAAMO,MAAQ,GAElD,OACmB,IAAhBP,EAAMO,OACL+vH,GAAkBp5G,IAClBm5G,GAAmBn5G,OACnBypD,GAAkB,KAATzpD,EAEf,CCvPO,SAASy7I,GAAY9wJ,GAC1B,OAAIA,EAAK2L,QAAU3L,EAAKswF,WACftwF,EAAK2L,OAAS,GAGhB+0H,GAAa1gI,EAAKswF,WAC3B,CCkCA,SAAS8vC,GAAQ19D,EAAMgrD,EAAO7uF,EAAQqC,GACpC,IAAIxiC,EAAQwiC,EAAMrgC,KAAKhD,OAEvB,KAAOa,KAAS,CACd,MAAMkB,EAASshC,EAAMrgC,KAAKnC,GAAOgkE,EAAMgrD,EAAO7uF,EAAQqC,GAEtD,IAAe,IAAXthC,GAA8B,IAAXA,EACrB,MAGF,GAAsB,kBAAXA,EACT,MAAO,KAAK08D,OAAO,EAAI18D,GAGzB,IAAe,IAAXA,EACF,MAAO,uBAEX,CAEA,MAAO,MACT,CClFA,MAAMmxJ,GAAM,YCIL,SAASC,GAAe7+I,GAC7B,IAAKA,EAAQ8+I,UAAW,CACtB,MAAMjqD,GACH70F,EAAQkhH,QAAU,kBAAoB,KACtClhH,EAAQ60F,OAAS,MAAQ70F,EAAQ60F,OAAS,IAAM,IAEnD70F,EAAQ8+I,UAAY,IAAItiE,QACrBqY,EAAS,IAAMA,EAAS,IAAM,KAC5B,sBAAsBnkG,KAAKsP,EAAQwK,WAAa,KAAO,IACxDxK,EAAQwK,WACPxK,EAAQ+0F,MAAQ,MAAQ/0F,EAAQ+0F,MAAQ,IAAM,IACjD,IAEJ,CAEA,OAAO/0F,EAAQ8+I,SACjB,CCdO,SAASC,GAAer1H,EAAO1pB,GACpC,OACEg/I,GAAYt1H,EAAO1pB,EAAQy9I,aAAa,KACvCuB,GAAYt1H,EAAO1pB,EAAQ09I,gBAAgB,EAEhD,CAQA,SAASsB,GAAYt1H,EAAO/vB,EAAMotF,GAKhC,GAJoB,kBAATptF,IACTA,EAAO,CAACA,KAGLA,GAAwB,IAAhBA,EAAKjO,OAChB,OAAOq7F,EAGT,IAAIx6F,GAAS,EAEb,OAASA,EAAQoN,EAAKjO,QACpB,GAAIg+B,EAAMkrB,SAASj7C,EAAKpN,IACtB,OAAO,EAIX,OAAO,CACT,CCRO,SAAS0yJ,GAAKlwH,EAAOn/B,EAAOg/H,GACjC,MAAM/jI,GAAS+jI,EAAO/5B,QAAU,KAAOjlG,GAAS,KAAOg/H,EAAO75B,OAAS,IAEjEmqD,EAAY,GAEZzxJ,EAAS,GAET0xJ,EAAQ,CAAC,EACf,IAAI5yJ,GAAS,EAEb,OAASA,EAAQwiC,EAAMqvH,OAAO1yJ,QAAQ,CACpC,MAAMsU,EAAU+uB,EAAMqvH,OAAO7xJ,GAE7B,IAAKwyJ,GAAehwH,EAAMrF,MAAO1pB,GAC/B,SAGF,MAAMwhF,EAAaq9D,GAAe7+I,GAElC,IAAIhU,EAEJ,KAAQA,EAAQw1F,EAAWn1F,KAAKxB,IAAS,CACvC,MAAMgqG,EAAS,WAAY70F,GAAWi1F,QAAQj1F,EAAQkhH,SAChDnsB,EAAQ,UAAW/0F,EACnBiJ,EAAWjd,EAAMO,OAASsoG,EAAS7oG,EAAM,GAAGN,OAAS,GAEvDwzJ,EAAUtqG,SAAS3rC,IACjBk2I,EAAMl2I,GAAU4rF,SAAWA,IAC7BsqD,EAAMl2I,GAAU4rF,QAAS,GAGvBsqD,EAAMl2I,GAAU8rF,QAAUA,IAC5BoqD,EAAMl2I,GAAU8rF,OAAQ,KAG1BmqD,EAAUxyJ,KAAKuc,GACfk2I,EAAMl2I,GAAY,CAAC4rF,SAAQE,SAE/B,CACF,CAEAmqD,EAAUpF,KAAKsF,IAEf,IAAIlzJ,EAAQ0iI,EAAO/5B,OAAS+5B,EAAO/5B,OAAOnpG,OAAS,EACnD,MAAMsE,EAAMnF,EAAMa,QAAUkjI,EAAO75B,MAAQ65B,EAAO75B,MAAMrpG,OAAS,GAGjE,IAFAa,GAAS,IAEAA,EAAQ2yJ,EAAUxzJ,QAAQ,CACjC,MAAMud,EAAWi2I,EAAU3yJ,GAGvB0c,EAAW/c,GAAS+c,GAAYjZ,IAQjCiZ,EAAW,EAAIjZ,GACdkvJ,EAAU3yJ,EAAQ,KAAO0c,EAAW,GACpCk2I,EAAMl2I,GAAU8rF,QACfoqD,EAAMl2I,EAAW,GAAG4rF,SACpBsqD,EAAMl2I,EAAW,GAAG8rF,OACtBmqD,EAAU3yJ,EAAQ,KAAO0c,EAAW,GACnCk2I,EAAMl2I,GAAU4rF,SACfsqD,EAAMl2I,EAAW,GAAG4rF,SACpBsqD,EAAMl2I,EAAW,GAAG8rF,QAKrB7oG,IAAU+c,GAIZxb,EAAOf,KAAK2yJ,GAAkBx0J,EAAMyB,MAAMJ,EAAO+c,GAAW,OAG9D/c,EAAQ+c,GAGN,iBAAiBvY,KAAK7F,EAAM8F,OAAOsY,KACjC2lH,EAAOzzC,QAAWyzC,EAAOzzC,OAAOvmC,SAAS/pD,EAAM8F,OAAOsY,KAMxDxb,EAAOf,KACL,MAAQ7B,EAAM4f,WAAWxB,GAAUxf,SAAS,IAAIuH,cAAgB,KAElE9E,KANAuB,EAAOf,KAAK,OAQhB,CAIA,OAFAe,EAAOf,KAAK2yJ,GAAkBx0J,EAAMyB,MAAMJ,EAAO8D,GAAM4+H,EAAO75B,QAEvDtnG,EAAOiB,KAAK,GACrB,CAOA,SAAS0wJ,GAAU5uG,EAAGC,GACpB,OAAOD,EAAIC,CACb,CAOA,SAAS4uG,GAAkBx0J,EAAOkqG,GAChC,MAAMvT,EAAa,wBAEb09D,EAAY,GAEZp5I,EAAU,GACVw5I,EAAQz0J,EAAQkqG,EACtB,IAGI/oG,EAHAO,GAAS,EACTL,EAAQ,EAIZ,KAAQF,EAAQw1F,EAAWn1F,KAAKizJ,IAC9BJ,EAAUxyJ,KAAKV,EAAMO,OAGvB,OAASA,EAAQ2yJ,EAAUxzJ,QACrBQ,IAAUgzJ,EAAU3yJ,IACtBuZ,EAAQpZ,KAAK7B,EAAMyB,MAAMJ,EAAOgzJ,EAAU3yJ,KAG5CuZ,EAAQpZ,KAAK,MACbR,EAAQgzJ,EAAU3yJ,GAKpB,OAFAuZ,EAAQpZ,KAAK7B,EAAMyB,MAAMJ,IAElB4Z,EAAQpX,KAAK,GACtB,CCpKO,SAASqtD,GAAM6yE,GAIpB,MAAMnkI,EAAUmkI,GAAU,CAAC,EACrB3hH,EAAMxiB,EAAQwiB,KAAO,CAAC,EAC5B,IAAIsyI,EAAY90J,EAAQ80J,WAAa,EACjCr2I,EAAO+D,EAAI/D,MAAQ,EACnBL,EAASoE,EAAIpE,QAAU,EAE3B,MAAO,CAAC22I,KAyBR,SAAc5vJ,GAEZ,MAAM/E,EAAQ+E,GAAS,GACjByxH,EAASx2H,EAAMkd,MAAM,aACrBmiE,EAAOm3C,EAAOA,EAAO31H,OAAS,GAIpC,OAHAwd,GAAQm4G,EAAO31H,OAAS,EACxBmd,EACoB,IAAlBw4G,EAAO31H,OAAemd,EAASqhE,EAAKx+E,OAAS,EAAIw+E,EAAKx+E,OAAS6zJ,EAC1D10J,CACT,EAlCcg/B,QAOd,WACE,MAAO,CAAC5c,IAAK,CAAC/D,OAAML,UAAS02I,YAC/B,EATuBv1G,MAgBvB,SAAen/C,GACb00J,GAAa10J,CACf,EAiBF,CCSA,SAAS40J,GAAwBzvI,GAC/BtJ,KAAK+1G,MACH,CAAC9vH,KAAM,qBAAsBwxF,WAAY,GAAI3kF,MAAO,GAAI1M,SAAU,IAClEkjB,EAEJ,CAMA,SAAS0vI,KACPh5I,KAAKqwG,QACP,CAMA,SAAS4oC,GAAkC3vI,GACzC,MAAMxW,EAAQkN,KAAK6sH,SACb1lI,EACJ6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAEjCmC,EAAK2L,MAAQA,EACb3L,EAAKswF,WAAaynC,GAChBl/G,KAAK+5G,eAAezwG,IACpBtiB,aACJ,CAMA,SAASkyJ,GAAuB5vI,GAC9BtJ,KAAKi2G,KAAK3sG,EACZ,CAMA,SAAS6vI,GAAkB7vI,GACzBtJ,KAAK+1G,MAAM,CAAC9vH,KAAM,oBAAqBwxF,WAAY,GAAI3kF,MAAO,IAAKwW,EACrE,CAMA,SAAS8vI,KACPp5I,KAAKqwG,QACP,CAMA,SAASgpC,GAAuB/vI,GAC9B,MAAMxW,EAAQkN,KAAK6sH,SACb1lI,EACJ6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAEjCmC,EAAK2L,MAAQA,EACb3L,EAAKswF,WAAaynC,GAChBl/G,KAAK+5G,eAAezwG,IACpBtiB,aACJ,CAMA,SAASsyJ,GAAiBhwI,GACxBtJ,KAAKi2G,KAAK3sG,EACZ,CAMA,SAASsmH,GAAkBzoI,EAAMkE,EAAGoqE,EAAS8jF,GAC3C,MAAMC,EAAUnkG,GAAMkkG,GACtB,IAAIp1J,EAAQq1J,EAAQV,KAAK,MACzB,MAAM7iC,EAAOxgD,EAAQsgD,MAAM,qBACrB0jC,EAAUhkF,EAAQsgD,MAAM,aAW9B,OAVA5xH,GAASq1J,EAAQV,KACfP,GAAK9iF,EAASwiF,GAAY9wJ,GAAO,IAC5BqyJ,EAAQr2H,UACXgrE,OAAQhqG,EACRkqG,MAAO,OAGXorD,IACAxjC,IACA9xH,GAASq1J,EAAQV,KAAK,KACf30J,CACT,CAWA,SAASutI,GAAmBvqI,EAAMkE,EAAGoqE,EAAS8jF,GAC5C,MAAMC,EAAUnkG,GAAMkkG,GACtB,IAAIp1J,EAAQq1J,EAAQV,KAAK,MACzB,MAAM7iC,EAAOxgD,EAAQsgD,MAAM,sBACrB0jC,EAAUhkF,EAAQsgD,MAAM,SAkB9B,OAjBA5xH,GAASq1J,EAAQV,KACfP,GAAK9iF,EAASwiF,GAAY9wJ,GAAO,IAC5BqyJ,EAAQr2H,UACXgrE,OAAQhqG,EACRkqG,MAAO,OAGXorD,IACAt1J,GAASq1J,EAAQV,KACf,MAAQ3xJ,EAAKf,UAAYe,EAAKf,SAASpB,OAAS,EAAI,IAAM,KAE5Dw0J,EAAQl2G,MAAM,GACdn/C,GAASq1J,EAAQV,KLtLZ,SAAqB30J,EAAOutF,GAEjC,MAAM3qF,EAAS,GACf,IAGIzB,EAHAE,EAAQ,EACRgd,EAAO,EAIX,KAAQld,EAAQ4yJ,GAAIvyJ,KAAKxB,IACvBkwH,EAAIlwH,EAAMyB,MAAMJ,EAAOF,EAAMO,QAC7BkB,EAAOf,KAAKV,EAAM,IAClBE,EAAQF,EAAMO,MAAQP,EAAM,GAAGN,OAC/Bwd,IAKF,OAFA6xG,EAAIlwH,EAAMyB,MAAMJ,IAETuB,EAAOiB,KAAK,IAKnB,SAASqsH,EAAIlwH,GACX4C,EAAOf,KAAK0rF,EAAIvtF,EAAOqe,GAAOre,GAChC,CACF,CK8JIu1J,CN9KG,SAAuB1zH,EAAQqC,EAAO1gC,GAC3C,MAAMgyJ,EAAatxH,EAAMsxH,WACnBvzJ,EAAW4/B,EAAO5/B,UAAY,GAC9BozJ,EAAUnxH,EAAMuxH,cAAcjyJ,GAE9ByX,EAAU,GAChB,IAAIvZ,GAAS,EAIb,IAFA8zJ,EAAW3zJ,MAAM,KAERH,EAAQO,EAASpB,QAAQ,CAChC,MAAMu1C,EAAQn0C,EAASP,GAEvB8zJ,EAAWA,EAAW30J,OAAS,GAAKa,EAEpCuZ,EAAQpZ,KACNwzJ,EAAQV,KACNzwH,EAAMwxH,OAAOt/G,EAAOvU,EAAQqC,EAAO,CACjC8lE,OAAQ,KACRE,MAAO,QACJmrD,EAAQr2H,cAKE,SAAfoX,EAAMt0C,OACRoiC,EAAMyxH,oBAAiBlyJ,GAGrB/B,EAAQO,EAASpB,OAAS,GAC5Boa,EAAQpZ,KACNwzJ,EAAQV,KAAKvxB,GAAQhtF,EAAOn0C,EAASP,EAAQ,GAAImgC,EAAQqC,IAG/D,CAIA,OAFAsxH,EAAWz2H,MAEJ9jB,EAAQpX,KAAK,GACtB,CMuIgB+xJ,CAAc5yJ,EAAMsuE,EAAS+jF,EAAQr2H,WAAYuuD,KAE/DukC,IAEO9xH,CACT,CAGA,SAASutF,GAAIlvE,EAAM3c,EAAO6/G,GACxB,OAAc,IAAV7/G,EACK2c,GAGDkjG,EAAQ,GAAK,QAAUljG,CACjC,CCxLO,SAASw3I,GAAkBh0H,EAAQqC,EAAO1gC,GAC/C,MAAMgyJ,EAAatxH,EAAMsxH,WACnBvzJ,EAAW4/B,EAAO5/B,UAAY,GAE9BgZ,EAAU,GAChB,IAAIvZ,GAAS,EACTsoG,EAASxmG,EAAKwmG,OAElBwrD,EAAW3zJ,MAAM,GACjB,IAAIwzJ,EAAUnxH,EAAMuxH,cAAcjyJ,GAElC,OAAS9B,EAAQO,EAASpB,QAAQ,CAChC,MAAMu1C,EAAQn0C,EAASP,GAEvB,IAAIwoG,EAIJ,GAFAsrD,EAAWA,EAAW30J,OAAS,GAAKa,EAEhCA,EAAQ,EAAIO,EAASpB,OAAQ,CAG/B,IAAI60J,EAASxxH,EAAMwxH,OAAOjpB,SAASxqI,EAASP,EAAQ,GAAGI,MAGnD4zJ,GAAUA,EAAOI,OAAMJ,EAASA,EAAOI,MAC3C5rD,EAAQwrD,EACJA,EAAOzzJ,EAASP,EAAQ,GAAImgC,EAAQqC,EAAO,CACzC8lE,OAAQ,GACRE,MAAO,MACJmrD,EAAQr2H,YACVl5B,OAAO,GACV,EACN,MACEokG,EAAQ1mG,EAAK0mG,MAUbjvF,EAAQpa,OAAS,IACL,OAAXmpG,GAA8B,OAAXA,IACL,SAAf5zD,EAAMt0C,OAENmZ,EAAQA,EAAQpa,OAAS,GAAKoa,EAAQA,EAAQpa,OAAS,GAAGmF,QACxD,cACA,KAEFgkG,EAAS,IAGTqrD,EAAUnxH,EAAMuxH,cAAcjyJ,GAC9B6xJ,EAAQV,KAAK15I,EAAQpX,KAAK,MAG5BoX,EAAQpZ,KACNwzJ,EAAQV,KACNzwH,EAAMwxH,OAAOt/G,EAAOvU,EAAQqC,EAAO,IAC9BmxH,EAAQr2H,UACXgrE,SACAE,YAKNF,EAAS/uF,EAAQA,EAAQpa,OAAS,GAAGY,OAAO,EAC9C,CAIA,OAFA+zJ,EAAWz2H,MAEJ9jB,EAAQpX,KAAK,GACtB,CD9EA4nI,GAAkBqqB,KAoJlB,WACE,MAAO,GACT,EEnIAC,GAAaD,KAgEb,WACE,MAAO,GACT,EA3DO,MAAME,GAA+B,CAC1C/xB,eAAgB,CAAC,UACjBrS,MAAO,CAACi9B,cAwBV,SAA4B1pI,GAC1BtJ,KAAK+1G,MAAM,CAAC9vH,KAAM,SAAUG,SAAU,IAAKkjB,EAC7C,GAzBE2sG,KAAM,CAAC+8B,cA+BT,SAA2B1pI,GACzBtJ,KAAKi2G,KAAK3sG,EACZ,IAzBa8wI,GAA6B,CACxC1C,OAAQ,CACN,CACE5zI,UAAW,IACXizI,YAAa,WACbC,eAhCiC,CACrC,WACA,qBACA,iBACA,YACA,aACA,qBA6BApmB,SAAU,CAAC30E,OAAQi+F,KAuBrB,SAASA,GAAa/yJ,EAAMkE,EAAGoqE,EAAS8jF,GACtC,MAAMC,EAAUnkG,GAAMkkG,GAChBtjC,EAAOxgD,EAAQsgD,MAAM,iBAC3B,IAAI5xH,EAAQq1J,EAAQV,KAAK,MAQzB,OAPA30J,GAAS61J,GAAkB7yJ,EAAMsuE,EAAS,IACrC+jF,EAAQr2H,UACXgrE,OAAQhqG,EACRkqG,MAAO,MAETlqG,GAASq1J,EAAQV,KAAK,MACtB7iC,IACO9xH,CACT,CClFO,SAAS8sI,GAAW9pI,EAAMkE,EAAGg9B,GAClC,IAAIlkC,EAAQgD,EAAKhD,OAAS,GACtBg5H,EAAW,IACXt3H,GAAS,EAKb,KAAO,IAAIiwF,OAAO,WAAaqnC,EAAW,YAAYnzH,KAAK7F,IACzDg5H,GAAY,IAmBd,IAbE,WAAWnzH,KAAK7F,KACd,WAAW6F,KAAK7F,IAAU,WAAW6F,KAAK7F,IAAW,QAAQ6F,KAAK7F,MAEpEA,EAAQ,IAAMA,EAAQ,OAUf0B,EAAQwiC,EAAMqvH,OAAO1yJ,QAAQ,CACpC,MAAMsU,EAAU+uB,EAAMqvH,OAAO7xJ,GACvBi1F,EAAaq9D,GAAe7+I,GAElC,IAAIhU,EAKJ,GAAKgU,EAAQkhH,QAEb,KAAQl1H,EAAQw1F,EAAWn1F,KAAKxB,IAAS,CACvC,IAAIoe,EAAWjd,EAAMO,MAIY,KAA/B1B,EAAM4f,WAAWxB,IACkB,KAAnCpe,EAAM4f,WAAWxB,EAAW,IAE5BA,IAGFpe,EAAQA,EAAMyB,MAAM,EAAG2c,GAAY,IAAMpe,EAAMyB,MAAMN,EAAMO,MAAQ,EACrE,CACF,CAEA,OAAOs3H,EAAWh5H,EAAQg5H,CAC5B,CCkSA,SAASk9B,GAAoBl2J,GAC3B,OAAOA,EAAMa,MACf,CAMA,SAASs1J,GAAYn2J,GACnB,MAAMqY,EAAwB,kBAAVrY,EAAqBA,EAAMwsI,YAAY,GAAK,EAEhE,OAAgB,KAATn0H,GAAkC,KAATA,EAC5B,GACS,KAATA,GAAkC,MAATA,EACzB,IACS,KAATA,GAAkC,MAATA,EACzB,IACA,CACN,CDnXAy0H,GAAWgpB,KAoEX,WACE,MAAO,GACT,EEnCO,MAAMM,GAAuB,CAClCxkC,MAAO,CACL79B,MAkBJ,SAAoB5uE,GAGlB,MAAM7N,EAAQ6N,EAAMisI,OACpBv1I,KAAK+1G,MACH,CACE9vH,KAAM,QACNwV,MAAOA,EAAMi2E,KAAK5mC,GAAa,SAANA,EAAe,KAAOA,IAC/C1kD,SAAU,IAEZkjB,GAEFtJ,KAAK8sH,QAAQ,WAAW,EAC1B,EA9BI0tB,UAAWC,GACXC,YAAaD,GACb70D,SA2CJ,SAAkBt8E,GAChBtJ,KAAK+1G,MAAM,CAAC9vH,KAAM,WAAYG,SAAU,IAAKkjB,EAC/C,GA3CE2sG,KAAM,CACJqR,SAkEJ,SAAsBh+G,GACpB,IAAInlB,EAAQ6b,KAAK6sH,SAEb7sH,KAAK+sH,QAAQ,aACf5oI,EAAQA,EAAMgG,QAAQ,aAAcA,KAGtC,MAAMhD,EAAkC6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GACvEmC,EAAKhD,MAAQA,EACb6b,KAAKi2G,KAAK3sG,EACZ,EA3EI4uE,MA8BJ,SAAmB5uE,GACjBtJ,KAAKi2G,KAAK3sG,GACVtJ,KAAK8sH,QAAQ,UACf,EAhCI0tB,UAAWvkC,GACXykC,YAAazkC,GACbrwB,SAAUqwB,KA4Cd,SAASA,GAAK3sG,GACZtJ,KAAKi2G,KAAK3sG,EACZ,CAMA,SAASmxI,GAAUnxI,GACjBtJ,KAAK+1G,MAAM,CAAC9vH,KAAM,YAAaG,SAAU,IAAKkjB,EAChD,CAyBA,SAASnf,GAAQQ,EAAIm9H,GAEnB,MAAc,MAAPA,EAAaA,EAAKn9H,CAC3B,CAWO,SAASgwJ,GAAmB52J,GACjC,MAAMihB,EAAWjhB,GAAW,CAAC,EACvB62J,EAAU51I,EAAS61I,iBACnBC,EAAkB91I,EAAS+1I,eAC3BC,EAAeh2I,EAASg2I,aACxBC,EAASL,EAAU,IAAM,IAE/B,MAAO,CACLlD,OAAQ,CACN,CAAC5zI,UAAW,KAAMizI,YAAa,aAC/B,CAACjzI,UAAW,KAAMizI,YAAa,aAG/B,CAACv8B,SAAS,EAAM12G,UAAW,IAAKuqF,MAAO,WAEvC,CAACvqF,UAAW,IAAKizI,YAAa,aAG9B,CAACv8B,SAAS,EAAM12G,UAAW,IAAKuqF,MAAO,KAMvC,CAACmsB,SAAS,EAAM12G,UAAW,IAAKuqF,MAAO,UAEzCuiC,SAAU,CACR14C,MAWJ,SAAqB/wF,EAAMkE,EAAGoqE,EAAS8jF,GACrC,OAAO2B,EA2DT,SAA2B/zJ,EAAMsuE,EAAS8jF,GACxC,MAAMnzJ,EAAWe,EAAKf,SACtB,IAAIP,GAAS,EAEb,MAAMkB,EAAS,GACT0yJ,EAAUhkF,EAAQsgD,MAAM,SAE9B,OAASlwH,EAAQO,EAASpB,QACxB+B,EAAOlB,GAASs1J,EACd/0J,EAASP,GACT4vE,EACA8jF,GAMJ,OAFAE,IAEO1yJ,CACT,CA5EIq0J,CAAkBj0J,EAAMsuE,EAAS8jF,GACjCpyJ,EAAKsU,MAET,EAfImqF,SAyBJ,SAAwBz+F,EAAMkE,EAAGoqE,EAAS8jF,GACxC,MAAMj1D,EAAM62D,EAAqBh0J,EAAMsuE,EAAS8jF,GAC1Cp1J,EAAQ+2J,EAAc,CAAC52D,IAE7B,OAAOngG,EAAMyB,MAAM,EAAGzB,EAAMiF,QAAQ,MACtC,EA7BI2qG,UAAWsnD,EACXpqB,WAyHJ,SAA6B9pI,EAAM6+B,EAAQyvC,GACzC,IAAItxE,EAAQ8sI,GAAW9pI,EAAM6+B,EAAQyvC,GAEjCA,EAAQzyC,MAAMkrB,SAAS,eACzB/pD,EAAQA,EAAMgG,QAAQ,MAAO,SAG/B,OAAOhG,CACT,IA/FA,SAASk3J,EAAgBl0J,EAAMkE,EAAGoqE,EAAS8jF,GACzC,MAAMtjC,EAAOxgD,EAAQsgD,MAAM,aACrB0jC,EAAUhkF,EAAQsgD,MAAM,YACxB5xH,EAAQ61J,GAAkB7yJ,EAAMsuE,EAAS,IAC1C8jF,EACHprD,OAAQ8sD,EACR5sD,MAAO4sD,IAIT,OAFAxB,IACAxjC,IACO9xH,CACT,CAMA,SAAS+2J,EAAcI,EAAQ7/I,GAC7B,OD5EG,SAAuBy8E,GAAqB,IAAdn0F,EAAOe,UAAAE,OAAA,QAAA4C,IAAA9C,UAAA,GAAAA,UAAA,GAAG,CAAC,EAC9C,MAAM2W,GAAS1X,EAAQ0X,OAAS,IAAIvT,SAC9B8yJ,EAAej3J,EAAQi3J,cAAgBX,GAEvCkB,EAAa,GAEbC,EAAa,GAEbC,EAAa,GAEbC,EAAsB,GAC5B,IAAIC,EAAkB,EAClBC,GAAY,EAIhB,OAASA,EAAW1jE,EAAMlzF,QAAQ,CAEhC,MAAMs/F,EAAM,GAEN5pF,EAAQ,GACd,IAAImhJ,GAAe,EAMnB,IAJI3jE,EAAM0jE,GAAU52J,OAAS22J,IAC3BA,EAAkBzjE,EAAM0jE,GAAU52J,UAG3B62J,EAAc3jE,EAAM0jE,GAAU52J,QAAQ,CAC7C,MAAMq/F,EA4KO,QADAlgG,EA3KU+zF,EAAM0jE,GAAUC,UA4KRj0J,IAAVzD,EAAsB,GAAKoE,OAAOpE,GA1KvD,IAAgC,IAA5BJ,EAAQ+2J,gBAA2B,CACrC,MAAMrgJ,EAAOugJ,EAAa32D,GAC1B3pF,EAAMmhJ,GAAephJ,QAGkB7S,IAArC8zJ,EAAoBG,IACpBphJ,EAAOihJ,EAAoBG,MAE3BH,EAAoBG,GAAephJ,EAEvC,CAEA6pF,EAAIt+F,KAAKq+F,EACX,CAEAm3D,EAAWI,GAAYt3D,EACvBm3D,EAAWG,GAAYlhJ,CACzB,CAwJF,IAAmBvW,EArJjB,IAAI03J,GAAe,EAEnB,GAAqB,kBAAVpgJ,GAAsB,WAAYA,EAC3C,OAASogJ,EAAcF,GACrBJ,EAAWM,GAAevB,GAAY7+I,EAAMogJ,QAEzC,CACL,MAAMr/I,EAAO89I,GAAY7+I,GAEzB,OAASogJ,EAAcF,GACrBJ,EAAWM,GAAer/I,CAE9B,CAGAq/I,GAAe,EAEf,MAAMv3D,EAAM,GAEN5pF,EAAQ,GAEd,OAASmhJ,EAAcF,GAAiB,CACtC,MAAMn/I,EAAO++I,EAAWM,GACxB,IAAI1tD,EAAS,GACTE,EAAQ,GAEC,KAAT7xF,GACF2xF,EAAS,IACTE,EAAQ,KACU,MAAT7xF,EACT2xF,EAAS,IACS,MAAT3xF,IACT6xF,EAAQ,KAIV,IAAI5zF,GAC0B,IAA5B1W,EAAQ+2J,gBACJ,EACAv6I,KAAKjN,IACH,EACAooJ,EAAoBG,GAAe1tD,EAAOnpG,OAASqpG,EAAMrpG,QAGjE,MAAMq/F,EAAO8J,EAAS,IAAI1qC,OAAOhpD,GAAQ4zF,GAET,IAA5BtqG,EAAQ+2J,kBACVrgJ,EAAO0zF,EAAOnpG,OAASyV,EAAO4zF,EAAMrpG,OAEhCyV,EAAOihJ,EAAoBG,KAC7BH,EAAoBG,GAAephJ,GAGrCC,EAAMmhJ,GAAephJ,GAGvB6pF,EAAIu3D,GAAex3D,CACrB,CAGAm3D,EAAWpxH,OAAO,EAAG,EAAGk6D,GACxBm3D,EAAWrxH,OAAO,EAAG,EAAG1vB,GAExBkhJ,GAAY,EAEZ,MAAMv5I,EAAQ,GAEd,OAASu5I,EAAWJ,EAAWx2J,QAAQ,CACrC,MAAMs/F,EAAMk3D,EAAWI,GACjBlhJ,EAAQ+gJ,EAAWG,GACzBC,GAAe,EAEf,MAAMr5I,EAAO,GAEb,OAASq5I,EAAcF,GAAiB,CACtC,MAAMt3D,EAAOC,EAAIu3D,IAAgB,GACjC,IAAI1tD,EAAS,GACTE,EAAQ,GAEZ,IAAgC,IAA5BtqG,EAAQ+2J,gBAA2B,CACrC,MAAMrgJ,EACJihJ,EAAoBG,IAAgBnhJ,EAAMmhJ,IAAgB,GACtDr/I,EAAO++I,EAAWM,GAEX,MAATr/I,EACF2xF,EAAS,IAAI1qC,OAAOhpD,GACF,KAAT+B,EACL/B,EAAO,GACT0zF,EAAS,IAAI1qC,OAAOhpD,EAAO,EAAI,IAC/B4zF,EAAQ,IAAI5qC,OAAOhpD,EAAO,EAAI,MAE9B0zF,EAAS,IAAI1qC,OAAOhpD,EAAO,GAC3B4zF,EAAQF,GAGVE,EAAQ,IAAI5qC,OAAOhpD,EAEvB,EAE+B,IAA3B1W,EAAQ+3J,gBAA6BD,GACvCr5I,EAAKxc,KAAK,MAIU,IAApBjC,EAAQ62J,UAGsB,IAA5B72J,EAAQ+2J,iBAAsC,KAATz2D,IACX,IAA3BtgG,EAAQ+3J,iBAA4BD,GAErCr5I,EAAKxc,KAAK,MAGoB,IAA5BjC,EAAQ+2J,iBACVt4I,EAAKxc,KAAKmoG,GAGZ3rF,EAAKxc,KAAKq+F,IAEsB,IAA5BtgG,EAAQ+2J,iBACVt4I,EAAKxc,KAAKqoG,IAGY,IAApBtqG,EAAQ62J,SACVp4I,EAAKxc,KAAK,MAIe,IAAzBjC,EAAQg4J,cACRF,IAAgBF,EAAkB,GAElCn5I,EAAKxc,KAAK,IAEd,CAEAqc,EAAMrc,MACqB,IAAzBjC,EAAQg4J,aACJv5I,EAAKxa,KAAK,IAAImC,QAAQ,MAAO,IAC7BqY,EAAKxa,KAAK,IAElB,CAEA,OAAOqa,EAAMra,KAAK,KACpB,CCrHWg0J,CAAcV,EAAQ,CAC3B7/I,QAEAq/I,kBAEAF,UAEAI,gBAEJ,CAgCA,SAASG,EAAqBh0J,EAAMsuE,EAAS8jF,GAC3C,MAAMnzJ,EAAWe,EAAKf,SACtB,IAAIP,GAAS,EAEb,MAAMkB,EAAS,GACT0yJ,EAAUhkF,EAAQsgD,MAAM,YAE9B,OAASlwH,EAAQO,EAASpB,QAIxB+B,EAAOlB,GAASw1J,EACdj1J,EAASP,GACTsB,EACAsuE,EACA8jF,GAMJ,OAFAE,IAEO1yJ,CACT,CAeF,CClSO,SAASuiI,GAASniI,EAAM6+B,EAAQqC,EAAO1gC,GAC5C,MAAMs0J,ECVD,SAA6B5zH,GAClC,MAAMpgC,EAAQogC,EAAMtkC,QAAQk4J,gBAAkB,MAI9C,GAAc,IAAVh0J,GAAyB,MAAVA,EACjB,MAAO,MAGT,GAAc,QAAVA,GAA6B,QAAVA,GAA6B,UAAVA,EACxC,MAAM,IAAIK,MACR,gCACEL,EACA,qEAIN,OAAOA,CACT,CDRyBi0J,CAAoB7zH,GAC3C,IAAI8zH,EAAS9zH,EAAM+zH,eEXd,SAAqB/zH,GAC1B,MAAM8pE,EAAS9pE,EAAMtkC,QAAQo4J,QAAU,IAEvC,GAAe,MAAXhqD,GAA6B,MAAXA,GAA6B,MAAXA,EACtC,MAAM,IAAI7pG,MACR,gCACE6pG,EACA,qDAIN,OAAOA,CACT,CFDsCkqD,CAAYh0H,GAG5CrC,GAA0B,SAAhBA,EAAO//B,MAAmB+/B,EAAO+nG,UAC7CouB,GAC2B,kBAAjBn2H,EAAOxgC,OAAsBwgC,EAAOxgC,OAAS,EACjDwgC,EAAOxgC,MACP,KACmC,IAAtC6iC,EAAMtkC,QAAQu4J,oBACX,EACAt2H,EAAO5/B,SAASgD,QAAQjC,IAC5Bg1J,GAGJ,IAAI1hJ,EAAO0hJ,EAAOn3J,OAAS,GAGN,QAAnBi3J,GACoB,UAAnBA,IACGj2H,GAA0B,SAAhBA,EAAO//B,MAAmB+/B,EAAOymE,QAAWtlG,EAAKslG,WAE/DhyF,EAA6B,EAAtB8F,KAAKsmE,KAAKpsE,EAAO,IAG1B,MAAM++I,EAAUnxH,EAAMuxH,cAAcjyJ,GACpC6xJ,EAAQV,KAAKqD,EAAS,IAAI14F,OAAOhpD,EAAO0hJ,EAAOn3J,SAC/Cw0J,EAAQl2G,MAAM7oC,GACd,MAAMw7G,EAAO5tF,EAAM0tF,MAAM,YACnB5xH,EAAQkkC,EAAMqxH,YAClBrxH,EAAM0xH,cAAc5yJ,EAAMqyJ,EAAQr2H,YAQpC,SAAa3gB,EAAM3c,EAAO6/G,GACxB,GAAI7/G,EACF,OAAQ6/G,EAAQ,GAAK,IAAIjiD,OAAOhpD,IAAS+H,EAG3C,OAAQkjG,EAAQy2C,EAASA,EAAS,IAAI14F,OAAOhpD,EAAO0hJ,EAAOn3J,SAAWwd,CACxE,IATA,OAFAyzG,IAEO9xH,CAUT,CGlCO,MAAMo4J,GAA8B,CACzCtmC,KAAM,CACJumC,0BAA2BC,GAC3BC,4BAA6BD,GAC7Bt8B,UA4BJ,SAAuC72G,GACrC,MAAM0c,EAAiChmB,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAEtE,GACEghC,GACgB,aAAhBA,EAAO//B,MACmB,mBAAnB+/B,EAAOh2B,QACd,CACA,MAAM7I,EAAiC6Y,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAChE0kH,EAAOviH,EAAKf,SAAS,GAE3B,GAAIsjH,GAAsB,SAAdA,EAAKzjH,KAAiB,CAChC,MAAMsgC,EAAWP,EAAO5/B,SACxB,IAEIu2J,EAFA92J,GAAS,EAIb,OAASA,EAAQ0gC,EAASvhC,QAAQ,CAChC,MAAM+yD,EAAUxxB,EAAS1gC,GACzB,GAAqB,cAAjBkyD,EAAQ9xD,KAAsB,CAChC02J,EAAkB5kG,EAClB,KACF,CACF,CAEI4kG,IAAoBx1J,IAEtBuiH,EAAKvlH,MAAQulH,EAAKvlH,MAAMyB,MAAM,GAEJ,IAAtB8jH,EAAKvlH,MAAMa,OACbmC,EAAKf,SAASk9C,QAEdn8C,EAAKob,UACLmnG,EAAKnnG,UACiC,kBAA/BmnG,EAAKnnG,SAAS/c,MAAM6iB,SAE3BqhG,EAAKnnG,SAAS/c,MAAM2c,SACpBunG,EAAKnnG,SAAS/c,MAAM6iB,SACpBlhB,EAAKob,SAAS/c,MAAQ7C,OAAOqhC,OAAO,CAAC,EAAG0lF,EAAKnnG,SAAS/c,QAG5D,CACF,CAEAwa,KAAKi2G,KAAK3sG,EACZ,IAhEaszI,GAA4B,CACvClF,OAAQ,CAAC,CAACl9B,SAAS,EAAM12G,UAAW,IAAKuqF,MAAO,UAChDuiC,SAAU,CAACtH,SAoEb,SAAkCniI,EAAM6+B,EAAQyvC,EAAS8jF,GACvD,MAAM7vC,EAAOviH,EAAKf,SAAS,GACrBy2J,EACoB,mBAAjB11J,EAAK6I,SAAyB05G,GAAsB,cAAdA,EAAKzjH,KAC9C62J,EAAW,KAAO31J,EAAK6I,QAAU,IAAM,KAAO,KAC9CwpJ,EAAUnkG,GAAMkkG,GAElBsD,GACFrD,EAAQV,KAAKgE,GAGf,IAAI34J,EAAQmlI,GAASniI,EAAM6+B,EAAQyvC,EAAS,IACvC8jF,KACAC,EAAQr2H,YAGT05H,IACF14J,EAAQA,EAAMgG,QAAQ,mCASxB,SAAeQ,GACb,OAAOA,EAAKmyJ,CACd,KARA,OAAO34J,CAST,IA1FA,SAASs4J,GAAUnzI,GACqBtJ,KAAKgjB,MAAMhjB,KAAKgjB,MAAMh+B,OAAS,GAEhEgL,QAAyB,8BAAfsZ,EAAMrjB,IACvB,CC3Ce,SAAS82J,KAAwB,IAAdh5J,EAAOe,UAAAE,OAAA,QAAA4C,IAAA9C,UAAA,GAAAA,UAAA,GAAG,CAAC,EAC3C,MAAM6E,EAAOqW,KAAKrW,OAUlB,SAAS2gD,EAAI+gD,EAAOlnG,IAIhBwF,EAAK0hG,GAAS1hG,EAAK0hG,GAAU1hG,EAAK0hG,GAAS,IAGxCrlG,KAAK7B,EACZ,CAhBAmmD,EAAI,sBCsBC,SAAavmD,GAClB,OAAO0wH,EAAkB,CACvBs9B,G5BLK,CACLztI,SAAU,CACR,GAAM,CACJipF,SAAUilD,GACVltD,aAAc,CACZiI,SAAUolD,IAEZ18B,KAAM28B,KAGVr0I,KAAM,CACJ,GAAM,CACJgvF,SAAU6kD,IAEZ,GAAM,CACJ9nG,IAAK,QACLijD,SAAU0kD,GACVh1B,UAAWk1B,M4BVfU,GAAiB9uJ,GACjB0vJ,GACA0C,IAEJ,CD9B6B6G,CAAIj5J,IAC/BumD,EAAI,yBEoBG,CACL2sG,GZPK,CACLlhC,MAAO,CACLknC,sBAAuBlE,GACvBmE,iCAAkClE,GAClCmE,gBAAiBhE,GACjBiE,sBAAuBhE,IAEzBnjC,KAAM,CACJgnC,sBAAuB/D,GACvBgE,iCAAkCjE,GAClCkE,gBAAiB7D,GACjB8D,sBAAuB/D,KYFzBc,GACAI,GACAgC,KFxBFjyG,EAAI,uBEsCC,SAAuBvmD,GAC5B,MAAO,CACL2wH,WAAY,CACV+iC,GZJG,CAELC,OAAQ,CAAC,CAAC5zI,UAAW,IAAKizI,YAAa,CAAC,WAAY,QAAS,eAC7DnmB,SAAU,CAACc,sBAAoB9B,kBAAiBA,KYG9CwqB,GACAO,GAAmB52J,GACnB64J,IAGN,CFhD8BS,CAAct5J,GAe5C,gBG5BA,MAAM0B,GAAS,QCoCf,MAAM63J,GAAc,IAAIpzG,IAAI,CAAC,OAAQ,SAAU,QAAS,WAElD9iD,GAAM,CAAC,EAAEvE,eAOR,SAAS06J,GAAK52J,EAAQxB,EAAgByB,GAC3C,MAAMC,EAASD,GAuPjB,SAAyBE,GAEvB,MAAMC,EAAS,CAAC,EAChB,IAAIlB,GAAS,EAEb,OAASA,EAAQiB,EAAO9B,QACtB+B,EAAOD,EAAOjB,GAAOmB,eAAiBF,EAAOjB,GAG/C,OAAOkB,CACT,CAjQkCE,CAAgBL,GAmEhD,OA/CI,SAAU1B,EAAUiB,GAClB,IAEIgB,EAFAtB,GAAS,EAEL,QAAA+oH,EAAA9pH,UAAAE,OAHyBoB,EAAQ,IAAA/C,MAAAurH,EAAA,EAAAA,EAAA,KAAAE,EAAA,EAAAA,EAAAF,EAAAE,IAAR1oH,EAAQ0oH,EAAA,GAAAhqH,UAAAgqH,GAKzC,QAAiBlnH,IAAb1C,GAAuC,OAAbA,EAC5BiC,EAAO,CAAClB,KAAM,OAAQG,SAAU,IAEhCA,EAASmB,QAAQpB,QAUjB,GARAgB,EDxDH,SAAuBjC,EAAUC,GACtC,MAAMhB,EAAQe,GAAY,GAEpBK,EAAQ,CAAC,EACf,IAEIF,EAEAa,EAJAV,EAAQ,EAMZ,KAAOA,EAAQrB,EAAMa,QAAQ,CAC3BS,GAAOC,UAAYF,EACnB,MAAMF,EAAQG,GAAOE,KAAKxB,GACpBiB,EAAWjB,EAAMyB,MAAMJ,EAAOF,EAAQA,EAAMO,MAAQ1B,EAAMa,QAE5DI,IACGC,EAEmB,MAAbA,EACTE,EAAMO,GAAKV,EACF/B,MAAMF,QAAQoC,EAAMQ,WAC7BR,EAAMQ,UAAUC,KAAKZ,GAErBG,EAAMQ,UAAY,CAACX,GANnBc,EAAUd,EASZI,GAASJ,EAASJ,QAGhBM,IACFD,EAAWC,EAAM,GACjBE,IAEJ,CAEA,MAAO,CACLS,KAAM,UAENC,QAASA,GAAWf,GAAkB,MACtCgB,WAAYZ,EACZa,SAAU,GAEd,CCciBI,CAActB,EAAUC,GAE/BgC,EAAKjB,QAAUiB,EAAKjB,QAAQc,cACxBH,GAAUO,GAAI9D,KAAKuD,EAAQM,EAAKjB,WAClCiB,EAAKjB,QAAUW,EAAOM,EAAKjB,UAyCvC,SAAsB/B,EAAOH,GAC3B,GACY,OAAVG,QACUyD,IAAVzD,GACiB,kBAAVA,GACPd,MAAMF,QAAQgB,GAEd,OAAO,EAGT,GAAa,UAATH,IAAqBG,EAAM8B,MAA8B,kBAAf9B,EAAM8B,KAClD,OAAO,EAGT,GAAI,aAAc9B,GAASd,MAAMF,QAAQgB,EAAMiC,UAC7C,OAAO,EAGT,GAAa,WAATpC,EACF,OAAOs5J,GAAYnxF,IAAIhoE,EAAM8B,KAAKe,eAGpC,QAAS,UAAW7C,EACtB,CA5Dcq5J,CAAar3J,EAAYgB,EAAKjB,SAAU,CAE1C,IAAIzC,EAEJ,IAAKA,KAAO0C,EACNiB,GAAI9D,KAAK6C,EAAY1C,IAEvB+D,GAAYb,EAAQQ,EAAKhB,WAAY1C,EAAK0C,EAAW1C,GAG3D,MACE2C,EAASmB,QAAQpB,GAKrB,OAASN,EAAQO,EAASpB,QACxByC,GAASN,EAAKf,SAAUA,EAASP,IAQnC,MALkB,YAAdsB,EAAKlB,MAAuC,aAAjBkB,EAAKjB,UAClCiB,EAAKO,QAAU,CAACzB,KAAM,OAAQG,SAAUe,EAAKf,UAC7Ce,EAAKf,SAAW,IAGXe,CACT,CAIN,CAuCA,SAASK,GAAYb,EAAQR,EAAY1C,EAAKU,GAC5C,MAAMwD,EAAOtB,GAAKM,EAAQlD,GAC1B,IAEIsD,EAFAlB,GAAS,EAKb,QAAc+B,IAAVzD,GAAiC,OAAVA,EAA3B,CAEA,GAAqB,kBAAVA,EAAoB,CAE7B,GAAIwE,OAAOD,MAAMvE,GAAQ,OAEzB4C,EAAS5C,CACX,MAGE4C,EADwB,mBAAV5C,EACLA,EAGe,kBAAVA,EACVwD,EAAKE,eACEpB,GAAOtC,GACPwD,EAAKG,eACLpB,GAAOvC,GACPwD,EAAKI,sBACLtB,GAAOC,GAAOvC,GAAO6D,KAAK,MAE1BG,GAAeR,EAAMA,EAAKT,SAAU/C,GAEtCd,MAAMF,QAAQgB,GACdA,EAAM+D,SAEY,UAAlBP,EAAKT,SAoFlB,SAAe/C,GAEb,MAAM4C,EAAS,GAEf,IAAItD,EAEJ,IAAKA,KAAOU,EACNiD,GAAI9D,KAAKa,EAAOV,IAClBsD,EAAOf,KAAK,CAACvC,EAAKU,EAAMV,IAAMuE,KAAK,OAIvC,OAAOjB,EAAOiB,KAAK,KACrB,CAjGyCC,CAAM9D,GAASoE,OAAOpE,GAG7D,GAAId,MAAMF,QAAQ4D,GAAS,CAEzB,MAAM02J,EAAc,GAEpB,OAAS53J,EAAQkB,EAAO/B,QAEtBy4J,EAAY53J,GAASsC,GAAeR,EAAMA,EAAKT,SAAUH,EAAOlB,IAGlEkB,EAAS02J,CACX,CAGsB,cAAlB91J,EAAKT,UAA4B7D,MAAMF,QAAQgD,EAAWJ,aAE5DgB,EAASZ,EAAWJ,UAAUmC,OAAOnB,IAGvCZ,EAAWwB,EAAKT,UAAYH,CA/CqB,CAgDnD,CAOA,SAASU,GAASY,EAAOlE,GACvB,IAAI0B,GAAS,EAEb,QAAc+B,IAAVzD,GAAiC,OAAVA,QAEpB,GAAqB,kBAAVA,GAAuC,kBAAVA,EAC7CkE,EAAMrC,KAAK,CAACC,KAAM,OAAQ9B,MAAOoE,OAAOpE,UACnC,GAAId,MAAMF,QAAQgB,GACvB,OAAS0B,EAAQ1B,EAAMa,QACrByC,GAASY,EAAOlE,EAAM0B,QAEnB,IAAqB,kBAAV1B,KAAsB,SAAUA,GAOhD,MAAM,IAAImE,MAAM,yCAA2CnE,EAAQ,KANhD,SAAfA,EAAM8B,KACRwB,GAASY,EAAOlE,EAAMiC,UAEtBiC,EAAMrC,KAAK7B,EAIf,CACF,CAUA,SAASgE,GAAeR,EAAM3D,EAAMG,GAClC,GAAqB,kBAAVA,EAAoB,CAC7B,GAAIwD,EAAKa,QAAUrE,IAAUwE,OAAOD,MAAMC,OAAOxE,IAC/C,OAAOwE,OAAOxE,GAGhB,IACGwD,EAAKiB,SAAWjB,EAAKkB,qBACX,KAAV1E,GAAgBoC,GAAUpC,KAAWoC,GAAUvC,IAEhD,OAAO,CAEX,CAEA,OAAOG,CACT,CCzQO,MCkBM+pG,GAAIqvD,GAAK3nD,GAAK,IDlBa,CACtC,WACA,cACA,eACA,eACA,gBACA,mBACA,WACA,UACA,gBACA,sBACA,cACA,mBACA,oBACA,oBACA,iBACA,eACA,UACA,UACA,UACA,UACA,UACA,iBACA,UACA,UACA,cACA,eACA,WACA,eACA,qBACA,cACA,SACA,eACA,gBACA,WACA,iBACA,iBACA,aACA,WACA,aEtBW5nD,GAAIuvG,GAAKz0J,GAAM,OCZrB,MAAM40J,GAAgB,CAC3B50J,KAAM,+BACN6sG,OAAQ,qCACRC,IAAK,6BACL/qG,MAAO,+BACPC,IAAK,uCACLC,MAAO,iCCmDH3D,GAAM,CAAC,EAAEvE,eAGTod,GAAQtd,OAAOC,UAgDrB,SAASyxH,GAAIhsF,EAAOlhC,GAElB,IAAIJ,EAEJ,OAAQI,EAAKg/C,UACX,IAAK,WAAY,CACf,MAAM7gC,EAAsCne,EAG5C,OAFAJ,EAAS,CAACd,KAAM,UAAW9B,MAAOmhB,EAAU3b,MAC5CwmI,GAAM9nG,EAAO/iB,EAAWve,GACjBA,CACT,CAEA,IAAK,YACL,IAAK,qBAAsB,CACzB,MAAMue,EAA4Dne,EAC5Dw2J,EACJ,SAAUr4I,IACa,WAAnBA,EAAU2oB,MAAwC,mBAAnB3oB,EAAU2oB,MAS/C,GANAlnC,EAAS,CACPd,KAAM,OACNG,SAAUwjH,GAAIvhF,EAAOlhC,EAAKi/C,YAC1Bz8C,KAAM,CAACg0J,eAGLt1H,EAAM87D,MAAQ97D,EAAM5F,SAAU,CAChC,MAAM+gE,EAAMj7F,OAAO8/B,EAAM87D,MACnBpgE,ECtFP,SAAkBogE,GACvB,MAAMhgG,EAAQoE,OAAO47F,GAEfy5D,EAAU,GACVn4J,EAAS,YAEf,KAAOA,EAAOuE,KAAK7F,IACjBy5J,EAAQ53J,KAAKP,EAAOC,WAKtB,OAFAk4J,EAAQ53J,KAAK7B,EAAMa,OAAS,GAErB,CAAC64J,QAGR,SAAiBx1I,GACf,IAAIxiB,GAAS,EAEb,GACoB,kBAAXwiB,GACPA,GAAU,GACVA,EAASu1I,EAAQA,EAAQ54J,OAAS,GAElC,OAASa,EAAQ+3J,EAAQ54J,QACvB,GAAI44J,EAAQ/3J,GAASwiB,EACnB,MAAO,CACL7F,KAAM3c,EAAQ,EACdsc,OAAQkG,GAAUxiB,EAAQ,EAAI+3J,EAAQ/3J,EAAQ,GAAK,GAAK,EACxDwiB,UAMR,MAAO,CAAC7F,UAAM5a,EAAWua,YAAQva,EAAWygB,YAAQzgB,EACtD,EAvBiBk2J,SA0BjB,SAAkBzwC,GAChB,MAAM7qG,EAAO6qG,GAASA,EAAM7qG,KACtBL,EAASkrG,GAASA,EAAMlrG,OAE9B,GACkB,kBAATK,GACW,kBAAXL,IACNxZ,OAAOD,MAAM8Z,KACb7Z,OAAOD,MAAMyZ,IACdK,EAAO,KAAKo7I,EACZ,CACA,MAAMv1I,GAAUu1I,EAAQp7I,EAAO,IAAM,GAAKL,EAAS,GAAK,EAExD,GAAIkG,GAAU,GAAKA,EAASu1I,EAAQA,EAAQ54J,OAAS,GACnD,OAAOqjB,CAEX,CAEA,OAAQ,CACV,EACF,CD4BoBoa,CAAS+gE,GACfh+F,EAAQu+B,EAAI85H,QAAQ,GACpBv0J,EAAMy6B,EAAI85H,QAAQr6D,EAAIx+F,QAE5B+B,EAAOwb,SAAW,CAAC/c,QAAO8D,MAC5B,CAEA,OAAOvC,CACT,CAEA,IAAK,gBAKH,OAFAA,EAAS,CAACd,KAAM,WAChBkqI,GAAM9nG,EAH2ClhC,EAGzBJ,GACjBA,EAGT,IAAK,QAAS,CACZ,MAAMue,EAAmCne,EAGzC,OAFAJ,EAAS,CAACd,KAAM,OAAQ9B,MAAOmhB,EAAUnhB,OACzCgsI,GAAM9nG,EAAO/iB,EAAWve,GACjBA,CACT,CAGA,QAGE,OADAA,EAuCN,SAAiBshC,EAAOlhC,GACtB,MAAMR,EAAS0hC,EAAM1hC,OAErB0hC,EAAM1hC,OAASQ,EAAKs+B,eAAiBi4H,GAAc9nD,IAAMA,GAAM9sG,GAG/D,IAAIjD,GAAS,EAEb,MAAMN,EAAQ,CAAC,EAEf,OAASM,EAAQsB,EAAKwwB,MAAM3yB,QAAQ,CAClC,MAAMkF,EAAY/C,EAAKwwB,MAAM9xB,GACvB7B,GACHkG,EAAUiV,OAASjV,EAAUiV,OAAS,IAAM,IAAMjV,EAAUlG,KAC1DoD,GAAI9D,KAAK2c,GAAOjc,KACnBuB,EAAMvB,GAAQkG,EAAU/F,MAE5B,CAGA,MAAMgtH,EAA4B,QAAvB9oF,EAAM1hC,OAAO6C,MAAkB0kG,GAAIlgD,GACxCjnD,EAASoqH,EAAGhqH,EAAKjB,QAASX,EAAOqkH,GAAIvhF,EAAOlhC,EAAKi/C,aAIvD,GAHA+pF,GAAM9nG,EAAOlhC,EAAMJ,GAGI,aAAnBA,EAAOb,QAAwB,CACjC,MAAMof,EAAuCne,EACvCqc,EAAM8B,EAAUgiC,mBAChBrjB,EAAWzgB,GAAOA,EAAIygB,UAAY1hB,GAASiB,EAAIygB,UAC/CQ,EAASjhB,GAAOA,EAAIihB,QAAUliB,GAASiB,EAAIihB,QAI3C/8B,EAAU2sH,GAAIhsF,EAAO/iB,EAAU5d,SAEjCu8B,GAAYQ,GAAU4D,EAAM87D,OAC9Bz8F,EAAQ6a,SAAW,CAAC/c,MAAOy+B,EAAS36B,IAAKA,IAAKm7B,EAAOj/B,QAGvDuB,EAAOW,QAAUA,CACnB,CAIA,OAFA2gC,EAAM1hC,OAASA,EAERI,CACT,CApFey8B,CAAQ6E,EAD2BlhC,GAErCJ,EAGb,CAYA,SAAS6iH,GAAIvhF,EAAOhgC,GAClB,IAAIxC,GAAS,EAEb,MAAMkB,EAAS,GAEf,OAASlB,EAAQwC,EAAMrD,QAErB+B,EAAOlB,GAASwuH,GAAIhsF,EAAOhgC,EAAMxC,IAGnC,OAAOkB,CACT,CAuEA,SAASopI,GAAM9nG,EAAOqiF,EAAMC,GAC1B,GAAI,uBAAwBD,GAAQA,EAAKpjE,oBAAsBjf,EAAM87D,KAAM,CACzE,MAAM5hF,EAqBV,SAAwB8lB,EAAOlhC,EAAMs7B,GACnC,MAAM17B,EAASwb,GAASkgB,GAExB,GAAkB,YAAdt7B,EAAKlB,KAAoB,CAC3B,MAAMu9E,EAAOr8E,EAAKf,SAASe,EAAKf,SAASpB,OAAS,GAclD,GATE+B,IACC07B,EAASgC,QACV++C,GACAA,EAAKjhE,UACLihE,EAAKjhE,SAASjZ,MAEdvC,EAAOuC,IAAM3G,OAAOqhC,OAAO,CAAC,EAAGw/C,EAAKjhE,SAASjZ,MAG3C++B,EAAM01H,QAAS,CAEjB,MAAMx4J,EAAQ,CAAC,EAEf,IAAI9B,EAEJ,GAAIg/B,EAAS9K,MACX,IAAKl0B,KAAOg/B,EAAS9K,MACfvwB,GAAI9D,KAAKm/B,EAAS9K,MAAOl0B,KAC3B8B,EAAMc,GAAKgiC,EAAM1hC,OAAQlD,GAAKyD,UAAYqb,GACxCkgB,EAAS9K,MAAMl0B,KAMvB0D,EAAKwC,KAAO,CACV4Y,SAAU,CAERy7I,QAASz7I,GAASkgB,EAASwB,UAC3Bg6H,QAASx7H,EAASgC,OAASliB,GAASkgB,EAASgC,QAAU,KACvDt+B,WAAYZ,GAGlB,CACF,CAEA,OAAOwB,CACT,CAnEqBm3J,CAAe71H,EAAOsiF,EAAID,EAAKpjE,oBAE5C/kC,IACF8lB,EAAM5F,UAAW,EACjBkoF,EAAGpoG,SAAWA,EAElB,CACF,CAsEA,SAASA,GAASwhB,GAChB,MAAMv+B,EAAQ6nH,GAAM,CAClB7qG,KAAMuhB,EAAI7C,UACV/e,OAAQ4hB,EAAI3C,SACZ/Y,OAAQ0b,EAAIxC,cAERj4B,EAAM+jH,GAAM,CAChB7qG,KAAMuhB,EAAI5C,QACVhf,OAAQ4hB,EAAI1C,OACZhZ,OAAQ0b,EAAIvC,YAGd,OAAOh8B,GAAS8D,EAAM,CAAC9D,QAAO8D,YAAO1B,CACvC,CAUA,SAASylH,GAAMA,GACb,OAAOA,EAAM7qG,MAAQ6qG,EAAMlrG,OAASkrG,OAAQzlH,CAC9C,CElUA,MAAMR,GAAM,CAAC,EAAEvE,eAcR,SAASs7J,GAAO16J,EAAKM,GAC1B,MAAMihB,EAAWjhB,GAAW,CAAC,EA8B7B,SAASswH,EAAIlwH,GAEX,IAAIgtH,EAAKkD,EAAI1vG,QACb,MAAMisH,EAAWvc,EAAIuc,SAErB,GAAIzsI,GAASiD,GAAI9D,KAAKa,EAAOV,GAAM,CAEjC,MAAMqC,EAAKyC,OAAOpE,EAAMV,IAExB0tH,EAAK/pH,GAAI9D,KAAKstI,EAAU9qI,GAAM8qI,EAAS9qI,GAAMuuH,EAAI+pC,OACnD,CAEA,GAAIjtC,EAAI,SAAAvC,EAAA9pH,UAAAE,OAZa0sH,EAAU,IAAAruH,MAAAurH,EAAA,EAAAA,EAAA,KAAAE,EAAA,EAAAA,EAAAF,EAAAE,IAAV4C,EAAU5C,EAAA,GAAAhqH,UAAAgqH,GAa7B,OAAOqC,EAAG7tH,KAAK0c,KAAM7b,KAAUutH,EACjC,CACF,CAOA,OALA2C,EAAIuc,SAAW5rH,EAAS4rH,UAAY,CAAC,EACrCvc,EAAI1vG,QAAUK,EAASL,QACvB0vG,EAAI+pC,QAAUp5I,EAASo5I,QAGhB/pC,CACT,CC5EA,MAAMjtH,GAAM,CAAC,EAAEvE,eAGTwxH,GAAM8pC,GAAO,OAAQ,CAACvtB,SAAU,CAACxoB,KAuBvC,SAAcjhH,EAAMR,GAElB,MAAMI,EAAS,CACbo/C,SAAU,YAEVlY,MAAO9mC,EAAKwC,MAAQ,CAAC,GAAGg0J,WAAa,SAAW,YAChDv3G,WAAY,IAId,OAFAr/C,EAAOq/C,WAAawjE,GAAIziH,EAAKf,SAAUW,EAAQJ,GAC/CwpI,GAAMhpI,EAAMJ,GACLA,CACT,EAlC6Cy8B,QA8G7C,SAAiBr8B,EAAMR,GACrB,MAAM+9I,EAAe/9I,EACrB,IAAI03J,EAAgB3Z,EAGJ,YAAdv9I,EAAKlB,MAC0B,QAA/BkB,EAAKjB,QAAQc,eACU,SAAvB09I,EAAal7I,QAEb60J,EAAgBzoD,IAIlB,MAAMj+E,EAAQ,GAEd,IAAI9tB,EAEJ,GAAI1C,EAAKhB,WACP,IAAK0D,KAAQ1C,EAAKhB,WAChB,GAAa,aAAT0D,GAAuBzC,GAAI9D,KAAK6D,EAAKhB,WAAY0D,GAAO,CAC1D,MAAM9C,EAASu3J,GACbD,EACAx0J,EACA1C,EAAKhB,WAAW0D,IAGd9C,GACF4wB,EAAM3xB,KAAKe,EAEf,CAKJ,MAAMA,EAAS,CACbo/C,SAAUh/C,EAAKjB,QACfA,QAASiB,EAAKjB,QACdyxB,QAEA8N,aAAci4H,GAAcW,EAAc70J,OAC1C48C,WAAY,GAEZC,gBAAYz+C,GAEdb,EAAOq/C,WAAawjE,GAAIziH,EAAKf,SAAUW,EAAQs3J,GAC/CluB,GAAMhpI,EAAMJ,GAES,aAAjBI,EAAKjB,SAA0BiB,EAAKO,UAEtCX,EAAOW,QArHX,SAAkBP,EAAMR,GAEtB,MAAMI,EAAS,CAACo/C,SAAU,qBAAsBC,WAAY,IAG5D,OAFAr/C,EAAOq/C,WAAawjE,GAAIziH,EAAKf,SAAUW,EAAQJ,GAC/CwpI,GAAMhpI,EAAMJ,GACLA,CACT,CA+GqB8xC,CAAS1xC,EAAKO,QAAS22J,IAG1C,OAAOt3J,CACT,EAnKsDwX,KAyEtD,SAAcpX,GAEZ,MAAMJ,EAAS,CACbo/C,SAAU,QACVhiD,MAAOgD,EAAKhD,MAEZkiD,gBAAYz+C,GAGd,OADAuoI,GAAMhpI,EAAMJ,GACLA,CACT,EAnF4Dwc,QAyF5D,SAAiBpc,GAEf,MAAMJ,EAAS,CACbo/C,SAAU,WACVx8C,KAAMxC,EAAKhD,MAEXkiD,gBAAYz+C,GAKd,OAFAuoI,GAAMhpI,EAAMJ,GAELA,CACT,EArGqEqkC,QAsDrE,SAAiBjkC,GAEf,MAAMJ,EAAS,CACbo/C,SAAU,gBACVniD,KAAM,OACNmlB,SAAU,GACVI,SAAU,GAEV88B,gBAAYz+C,GAId,OADAuoI,GAAMhpI,EAAMJ,GACLA,CACT,KA8GA,SAASu3J,GAAe33J,EAAQkD,EAAM1F,GACpC,MAAMwD,EAAOtB,GAAKM,EAAQkD,GAG1B,QACYjC,IAAVzD,GACU,OAAVA,IACU,IAAVA,GACkB,kBAAVA,GAAsBwE,OAAOD,MAAMvE,KACzCA,GAASwD,EAAKiB,QAEhB,OAGEvF,MAAMF,QAAQgB,KAGhBA,EAAQwD,EAAKG,eAAiBpB,GAAOvC,GAASsC,GAAOtC,IAIvD,MAAM+F,EAAY,CAChBlG,KAAM2D,EAAKuC,UACX/F,OAAiB,IAAVA,EAAiB,GAAKoE,OAAOpE,IAGtC,GAAIwD,EAAK6B,OAAwB,SAAf7B,EAAK6B,OAAmC,QAAf7B,EAAK6B,MAAiB,CAC/D,MAAM3D,EAAQqE,EAAUlG,KAAKoF,QAAQ,KAEjCvD,EAAQ,EACVqE,EAAUiV,OAAS,IAEnBjV,EAAUlG,KAAOkG,EAAUlG,KAAK4B,MAAMC,EAAQ,GAC9CqE,EAAUiV,OAASxX,EAAKuC,UAAUtE,MAAM,EAAGC,IAG7CqE,EAAU2nB,UAAY6rI,GAAc/1J,EAAK6B,MAC3C,CAEA,OAAOU,CACT,CAcA,SAAS0/G,GAAIxjH,EAAUigD,EAAY1/C,GACjC,IAAId,GAAS,EAEb,MAAMuZ,EAAU,GAEhB,GAAIhZ,EACF,OAASP,EAAQO,EAASpB,QAAQ,CAGhC,MAAMu1C,EAAQ85E,GAAIjuH,EAASP,GAAQc,GAEnC4zC,EAAM8L,WAAaA,EAEnBjnC,EAAQpZ,KAAKu0C,EACf,CAGF,OAAOn7B,CACT,CAYA,SAAS+wH,GAAMzlB,EAAMC,GACnB,MAAMpoG,EAAWmoG,EAAKnoG,SAElBA,GAAYA,EAAS/c,OAAS+c,EAASjZ,MACzCqhH,EAAGrjE,mBAAqB,CACtBpmB,UAAW3e,EAAS/c,MAAMgd,KAC1B4e,SAAU7e,EAAS/c,MAAM2c,OAEzBof,YAAahf,EAAS/c,MAAM6iB,OAC5B8Y,QAAS5e,EAASjZ,IAAIkZ,KACtB6e,OAAQ9e,EAASjZ,IAAI6Y,OAErBqf,UAAWjf,EAASjZ,IAAI+e,QAG9B,CC3TO,MAAMk2I,GAAmB,CAC9B,OACA,OACA,WACA,UACA,KACA,MACA,UACA,QACA,QACA,KACA,QACA,MACA,QACA,UACA,SACA,OACA,WACA,OACA,SACA,QACA,SACA,QACA,OC0DIC,GAAc,gBAKdC,GAAe,CAAC/yH,wBAAwB,EAAMD,kBAAkB,GAazD+yF,GAaT,SAAU7K,EAAMxvB,EAAMpgG,GACpB,IAAI8B,GAAS,EACb,MAAMm8B,EAAS,IAAIwwF,GAAOisC,IACpBpqC,EAAM8pC,GAAO,OAAQ,CACzBvtB,SAAU,CAACxoB,KA6Ib,SAAcjhH,GACZyiH,EAAIziH,EAAKf,SACX,EA/ImBo9B,QAqJnB,SAAiBr8B,GACfu3J,IACA18H,EAAOQ,mBAAmByB,GAAS98B,IAEnCyiH,EAAIziH,EAAKf,UAEJm4J,GAAiBrwG,SAAS/mD,EAAKjB,WAClCw4J,IACA18H,EAAOQ,mBAqNjB,SAAgBr7B,GAEd,MAAMs7B,EAAW9/B,OAAOqhC,OAAO26H,GAAqBx3J,IAKpD,OAHAs7B,EAASwB,SAAWthC,OAAOqhC,OAAO,CAAC,EAAGvB,GAG/B,CACLx8B,KAAMu4J,GACNt4J,QAASiB,EAAKjB,QACdyxB,MAAO,GACP8K,WAEJ,CAlOoCgC,CAAOt9B,IAErC,EA/J4BoX,KAqK5B,SAAcpX,GACZu3J,IACA18H,EAAOQ,mBAAmB,CACxBv8B,KA7Ma,kBA8MbmzC,MAAOjyC,EAAKhD,MACZs+B,SAAUk8H,GAAqBx3J,IAEnC,EA5KkCoc,UAAS6nB,QAkL3C,SAAiBjkC,GACfu3J,IACA18H,EAAOQ,mBAAmB,CACxBv8B,KAtNW,gBAuNXjC,KAAM,OACNkqC,aAAa,EACb/kB,SAAU,GACVI,SAAU,GACVkZ,SAAUk8H,GAAqBx3J,IAEnC,EA5LoDq3H,IA+MpD,SAAmBr3H,GACjB,MAAM3B,EAAQiqI,GAAWtoI,GACnBqb,EAAOhd,EAAMgd,MAAQ,EACrBL,EAAS3c,EAAM2c,QAAU,EACzBkG,EAAS7iB,EAAM6iB,QAAU,EAG/B,IAAKua,EAAc,MAAM,IAAIt6B,MAAM,2BACnC,IAAKi6B,EAAW,MAAM,IAAIj6B,MAAM,wBAChC,IAAKy4B,EAAY,MAAM,IAAIz4B,MAAM,yBACjC,IAAKs2J,EAAiB,MAAM,IAAIt2J,MAAM,8BAItCs6B,EAAa95B,UAAOlB,EACpBg7B,EAAapf,KAAO,EACpBof,EAAa8iB,YAAc,EAC3B9iB,EAAa+iB,aAAe,EAC5B/iB,EAAagjB,SAAW,GACxBhjB,EAAagR,iBAAkB,EAC/BhR,EAAaijB,kBAAmB,EAChCjjB,EAAa8gB,eAAgB,EAI7B3iB,EAAWuH,OAAQ,EACnBvH,EAAWwH,aAAyB,EAATpmB,EAC3B4e,EAAWyH,kBAAoBngB,EAC/B0Y,EAAW1Y,OAAS,EACpB0Y,EAAWO,IAAM,EACjBP,EAAWve,KAAOA,EAIlBo8I,EAAgBj4H,yBAAsB/+B,EACtCg3J,EAAgB38H,MAAQ08H,GAAqBx3J,GAI7Co7B,EAAU+V,MAAMnxC,EAAKhD,OACrB69B,EAAO6C,gBAAgB,OAQD,oCAApBtC,EAAU8F,OACU,0CAApB9F,EAAU8F,SAEVzF,EAAaijB,kBAAmB,EAChCtjB,EAAUA,EAAU8F,OAAO9F,EAAU4gB,YAEzC,GAnQEi7G,aAGF,IAAIS,EAEAt8H,EAEAK,EAEA7B,EAEA69H,EA8ZV,IAAmBz6J,EAvZb,GAuZaA,EA5ZCggG,EA6ZXoK,QAAQpqG,KAAW,YAAaA,GAAS,aAAcA,MA5ZxDJ,EAAUogG,EACVA,OAAOv8F,GAGL7D,GAAWA,EAAQmuI,YACrB,OAASrsI,EAAQ9B,EAAQmuI,YAAYltI,QAEnCqvH,EAAIuc,SAAS7sI,EAAQmuI,YAAYrsI,IAAUi5J,EAI/C,MAAM/3J,ELvEL,SAAoB4sH,EAAM5vH,GAC/B,MAAMg7J,EAAWh7J,GAAW,CAAC,EAE7B,IAAIihB,EAEAm/E,EAUJ,MAkSO,aA1SI46D,GACT56D,EAAO46D,EACP/5I,EAAW,CAAC,IAEZm/E,EAAO46D,EAAS56D,WAAQv8F,EACxBod,EAAW+5I,GAGN1qC,GACL,CACE1tH,OAA2B,QAAnBqe,EAASxb,MAAkBosG,GAAM9sG,GACzCq7F,OACA45D,QAAS/4I,EAAS+4I,QAClBt7H,UAAU,GAEZkxF,EAEJ,CK+CqBqrC,CAiXrB,SAAsB73J,GACpB,MAAMuiH,EAAqB,SAAdviH,EAAKlB,KAAkBkB,EAAKf,SAAS,GAAKe,EACvD,OAAOonG,QACLmb,IACiB,YAAdA,EAAKzjH,MACW,YAAdyjH,EAAKzjH,MAAuC,SAAjByjH,EAAKxjH,SAEzC,CAvXQo/D,CAAaquD,GA2Ef,WAEE,MAAMnwB,EAAMxhE,EAAO0B,YAAY2U,iBAK/B,GAHArW,EAAOI,WAAWohE,OAAK57F,GACvB26B,EAAYP,EAAOO,WAEdA,EAAW,MAAM,IAAIj6B,MAAM,wBAShC,OARAs6B,EAAeL,EAAUK,aACzBg8H,EAAkBr8H,EAAUulB,SAAS,GACrC/mB,EAAa69H,EAAgB79H,WAE7BszF,EAAIV,GAEJ+qC,IAEOl7D,CACT,CA5FuBl/E,GA6BvB,WAEE,MAAMmxD,EAAU,CACdtvB,SAAU,WACVjgD,QAAS,WACTyxB,MAAO,GACP8N,aAAci4H,GAAc50J,KAC5Bs9C,WAAY,IAGR64G,EAAO,CACX94G,SAAU,eACVjgD,QAAS,eACTyxB,MAAO,GACP8N,aAAci4H,GAAc50J,KAC5Bs9C,WAAY,IAGRo9C,EAAM,CAACr9C,SAAU,qBAAsBC,WAAY,IAWzD,GATApkB,EAAOI,WAAW68H,EAAMxpF,GACxBzzC,EAAO8O,uBArHQ,oBAsHf9O,EAAOyW,mCACPzW,EAAO8D,yBACP9D,EAAO2O,sBACP3O,EAAO0W,6BAEPnW,EAAYP,EAAOO,WAEdA,EAAW,MAAM,IAAIj6B,MAAM,wBAWhC,OAVAs6B,EAAeL,EAAUK,aACzBg8H,EAAkBr8H,EAAUulB,SAAS,GACrC/mB,EAAa69H,EAAgB79H,WAE7BszF,EAAIV,GAEJ+qC,IAEA18H,EAAO4Q,YAAYqsH,EAAK74G,WAAW,GAAIo9C,GAEhCA,CACT,CAtEoC3qD,GAClCsrD,GAeF,OAZI06D,GACFxvB,GAAMtoI,EAAQ,WAAW,CAACI,EAAMtB,EAAOmgC,KACrC,MAAM84H,EAAwD33J,EAC9D,GAAI23J,EAAO36J,MAAM26J,QAAqB,OAAX94H,GAA6B,OAAVngC,EAG5C,OADAmgC,EAAO5/B,SAASP,GAASi5J,EAAO36J,MAAM26J,OAC/Bj5J,CACT,IAMY,SAAd8tH,EAAK1tH,MACW,SAAhBc,EAAOd,MACoB,IAA3Bc,EAAOX,SAASpB,OAET+B,EAAOX,SAAS,GAGlBW,EA0EP,SAAS6iH,EAAIvhH,GACX,IAAIxC,GAAS,EAGb,GAAIwC,EACF,OAASxC,EAAQwC,EAAMrD,QACrBqvH,EAAIhsH,EAAMxC,GAGhB,CA2DA,SAAS0d,EAAQpc,GACfu3J,IACA18H,EAAOQ,mBAAmB,CACxBv8B,KAvOW,gBAwOX0D,KAAMxC,EAAKhD,MACXs+B,SAAUk8H,GAAqBx3J,IAEnC,CAiEA,SAAS23J,EAAO33J,GAId,IAAItC,EAHJg6J,GAAW,EAQTh6J,EADE,aAAcsC,EACR,IACHA,EACHf,SAAUo4H,GACR,CAACv4H,KAAM,OAAQG,SAAUe,EAAKf,UAC9B+9F,EACApgG,GAEAqC,UAGI,IAAIe,GAMdoc,EAAQ,CAACtd,KAAM,UAAW9B,MAAO,CAAC26J,OAAQj6J,IAC5C,CAEA,SAAS65J,IAEP,IAAKn8H,EAAW,MAAM,IAAIj6B,MAAM,wBAChC,IAAKy4B,EAAY,MAAM,IAAIz4B,MAAM,yBAKjC,MAAMghB,EAAQiZ,EAAUgF,sBAEpBje,IACFA,EAAMmZ,SAAStB,QAAUJ,EAAWve,KACpC8G,EAAMmZ,SAASpB,OAASN,EAAWO,IAAM,EACzChY,EAAMmZ,SAASjB,UAAYT,EAAW1Y,OAAS,EAC/C2Z,EAAOQ,mBAAmBlZ,IAU5BiZ,EAAUogB,WAAa,GACvBpgB,EAAU8F,MArWA,aAsWV9F,EAAUqgB,YAAc,GACxBrgB,EAAUsgB,aAAe,EACzBtgB,EAAUugB,SAAW,GACrBvgB,EAAUwgB,iBAAmB,GAC7BxgB,EAAUygB,uBAAyB,EACnCzgB,EAAU0gB,QAAS,EACnB1gB,EAAUgF,2BAAwB3/B,EAClC26B,EAAUsB,kBAAej8B,EACzB26B,EAAUuE,iBAAcl/B,CAC1B,CACF,EAMJ,SAASq8B,GAAS98B,GAEhB,MAAMs7B,EAAW9/B,OAAOqhC,OAAO26H,GAAqBx3J,IAKpD,OAHAs7B,EAASwB,SAAWthC,OAAOqhC,OAAO,CAAC,EAAGvB,GAG/B,CACLx8B,KA5XkB,kBA6XlBC,QAASiB,EAAKjB,QACd6uC,aAAa,EACbpd,MAAOnpB,GAAWrH,GAClBs7B,WAEJ,CAMA,SAASj0B,GAAWrH,GAClB,OFtaK,SAAkBwsH,EAAM5vH,GAC7B,MAAMyF,EAAQzF,GAA8B,kBAAZA,EAAuBA,EAAQyF,MAAQzF,EACvE,OAAOswH,GAAIV,EAAgB,QAAVnqH,EAAkBosG,GAAM9sG,GAC3C,CEmaSo2J,CAAS,CACdh5J,QAASiB,EAAKjB,QACdD,KAAM,UACNE,WAAYgB,EAAKhB,WACjBC,SAAU,KAETuxB,KACL,CAwBA,SAASymI,GAAQj3J,GACf,MAAM,IAAImB,MAAM,mBAAqBnB,EAAKlB,KAAO,SACnD,CAmBA,SAAS04J,GAAqBx3J,GAC5B,MAAM3B,EAAQiqI,GAAWtoI,GACnBmC,EAAMomI,GAASvoI,GAErB,MAAO,CACL+5B,UAAW17B,EAAMgd,KACjB4e,SAAU57B,EAAM2c,OAChBof,YAAa/7B,EAAM6iB,OACnB8Y,QAAS73B,EAAIkZ,KACb6e,OAAQ/3B,EAAI6Y,OACZqf,UAAWl4B,EAAI+e,OAEnB,CChhBe,SAAS82I,KAAwB,IAAdp7J,EAAOe,UAAAE,OAAA,QAAA4C,IAAA9C,UAAA,GAAAA,UAAA,GAAG,CAAC,EAC3C,MAAO,CAAC6uH,EAAMxvB,IAEwBq6B,GAAI7K,EAAMxvB,EAAMpgG,EAGxD,eC+BA,SAASq7J,GAAcjkJ,GACrB,OAAOA,EAAMnU,cAAcmD,QAAQ,OAAQ,KAAKA,QAAQ,WAAY,GACtE,CAEA,SAASk1J,GAAUzV,GAAmB,IAAlB,YAAE0V,GAAa1V,EACjC,MAAO2V,EAAQC,IAAaviF,EAAAA,EAAAA,WAAS,GAWrC,OACEwiF,EAAAA,GAAAA,KAAA,UACE15J,UAAS,eAAAmC,OAAiBq3J,EAAS,SAAW,IAC9C5qJ,QAASA,KAAM+qJ,OAZEnhJ,EAYU+gJ,EAX7B5zC,UAAUi0C,UAAUC,UAAUrhJ,GAC9BihJ,GAAU,QAEVjxF,YAAW,KACTixF,GAAU,EAAM,GACf,KANL,IAAqBjhJ,CAYuB,EAAAnY,SAEvCm5J,EAAS,UAAY,QAG5B,CAiJA,SA/IA,WACE,MAAOx9D,EAAQ89D,IAAa5iF,EAAAA,EAAAA,UAAS,KAC9B6iF,EAAYC,IAAiB9iF,EAAAA,EAAAA,UAAS,QACtC+iF,EAAeC,IAAoBhjF,EAAAA,EAAAA,UAAS,KAC5CijF,EAAkBC,IAAuBljF,EAAAA,EAAAA,UAAS,OAClDmjF,EAAOC,IAAYpjF,EAAAA,EAAAA,UAAS,SAEnCP,EAAAA,EAAAA,YAAU,KACR,MAAM4jB,EAAO91C,OAAO/nB,SAAS69D,KAAKr6C,UAAU,GAC5C,GAAIq6C,EAAM,CACR,MAAMo2B,EAAO/sH,EAAK25B,MAAMj9B,MAAKqwH,GAAQ0oC,GAAc1oC,EAAKv7G,SAAWmlF,IAC/Do2B,GACFypC,EAAoBzpC,EAAKxS,UACzB+7C,EAAiB,CAACb,GAAc1oC,EAAKv7G,UAErCglJ,EAAoB7/D,EAExB,IACC,IAMH,MAAMggE,GAAmB9jF,EAAAA,EAAAA,cAAak6C,IACpC,MAAMlkH,EAAS4sJ,GAAc1oC,EAAKv7G,OAC5BolJ,EAAcP,EAAc9xG,SAAS17C,GAAUwtJ,EAAcj+D,QAAOh9F,GAAKA,IAAMyN,IAAU,IAAIwtJ,EAAextJ,GAClHytJ,EAAiBM,GACjB/1G,OAAO8lE,QAAQkwC,UAAU,KAAM,GAAG,IAADt4J,OAAMsK,GAAS,GAC/C,CAACwtJ,IAEES,GAAsBjkF,EAAAA,EAAAA,cAAa0nC,IACvCi8C,EAAoBD,IAAqBh8C,EAAW,KAAOA,GAC3D+7C,EAAiB,IACjBz1G,OAAO8lE,QAAQkwC,UAAU,KAAM,GAAG,IAADt4J,OAAMk3J,GAAcl7C,IAAY,GAChE,CAACg8C,IAEJ,SAASQ,IACPb,EAAU,IACVE,EAAc,OACdE,EAAiB,IACjBE,EAAoB,MACpB31G,OAAO8lE,QAAQkwC,UAAU,KAAM,GAAIh2G,OAAO/nB,SAASstF,SACrD,CAUA,MAAM4wC,EAAW5+D,EAAO/8F,QAAyB,QAAf86J,EA/FpC,SAAoBn2J,GAAwC,IAAlCo4F,EAAMj9F,UAAAE,OAAA,QAAA4C,IAAA9C,UAAA,GAAAA,UAAA,GAAG,GAAIg7J,EAAUh7J,UAAAE,OAAA,QAAA4C,IAAA9C,UAAA,GAAAA,UAAA,GAAG,MAClD,GAAmB,QAAfg7J,GAAwB/9D,EAAO/8F,OAAQ,OAAO2E,EAElD,MAAMi3J,EAAoB,CAAC,EAG3B,GAFAA,EAAkBt9H,MAAuB,QAAfw8H,EAAuBn2J,EAAK25B,MAAMy+D,QAAO20B,GAAQA,EAAKzwH,OAAS65J,IAAcn2J,EAAK25B,MAExGy+D,EAAO/8F,OAAQ,OAAO47J,EAE1B,MAAMD,EAAW,CAAC,EAKlB,OAJAA,EAASr9H,MAAQy+D,EAAO/8F,OAAS47J,EAAkBt9H,MAAMy+D,QAAO20B,GACvDA,EAAKv7G,MAAMnU,cAAcknD,SAAS6zC,EAAO/6F,gBAAkB0vH,EAAKhvH,QAAQV,cAAcknD,SAAS6zC,EAAO/6F,iBAC1G45J,EAAkBt9H,MAEhBq9H,CACT,CAiF2DE,CAAWl3J,EAAMo4F,EAAQ+9D,GAAcn2J,EAG1Fm3J,EAAeH,EAASr9H,MAAMkkB,QAAO,CAACu5G,EAAKrqC,KAC/C,MAAMxS,EAAWwS,EAAKxS,SAGtB,OAFK68C,EAAI78C,KAAW68C,EAAI78C,GAAY,IACpC68C,EAAI78C,GAAUl+G,KAAK0wH,GACZqqC,CAAG,GACT,CAAC,GAEJp+J,OAAOslC,KAAK64H,GAAc34H,SAAQ+7E,IAChC48C,EAAa58C,GAAUkvC,MAAK,CAACtpG,EAAGC,IAAMD,EAAE3uC,MAAM6lJ,cAAcj3G,EAAE5uC,QAAO,IAGvE,MAAM8lJ,EAAet3J,EAAK25B,MAAMt+B,OAEhC,OACEk8J,EAAAA,GAAAA,MAAA,OAAKn7J,UAAU,MAAKK,SAAA,EAClBq5J,EAAAA,GAAAA,KAAA,OAAK15J,UAAU,SAAQK,UACrB86J,EAAAA,GAAAA,MAAA,MAAA96J,SAAA,CAAI,mBAAiB66J,EAAa,iBAEpCC,EAAAA,GAAAA,MAAA,OAAKn7J,UAAW,cAAcK,SAAA,EAC5B86J,EAAAA,GAAAA,MAAA,QAAMzlJ,MAAM,SAAQrV,SAAA,EAClBq5J,EAAAA,GAAAA,KAAA,SAAOx5J,KAAK,OAAOyO,SAxD3B,SAAsBoI,IAnFxB,SAAkBq0G,EAAIgwC,EAAMC,GAAY,IAADC,EAAA,KACrC,IAAIC,CAkBN,CAiEIC,CAAS1B,EAAU/iJ,EAAMhZ,OAAOK,OAAQ,IAC1C,EAsDmDA,MAAO49F,EAAQvoF,YAAY,YACtEimJ,EAAAA,GAAAA,KAAA,UAAQ9qJ,QAAS+rJ,EAAcz4J,MAAO,CAAE4T,WAAY,OAAQE,OAAQ,OAAQY,MAAO,oBAAqBs+H,OAAQ,UAAWx9E,WAAY,wDAAyDm9F,QAAS,UAAW4G,WAAY,OAASrqJ,YAAc4zC,IAAQA,EAAEjnD,OAAOmE,MAAMqnJ,gBAAkB,0BAA2BvkG,EAAEjnD,OAAOmE,MAAM0U,MAAQ,uBAAwBouC,EAAEjnD,OAAOmE,MAAMw5J,aAAe,KAAK,EAAKvqJ,WAAa6zC,IAAQA,EAAEjnD,OAAOmE,MAAMqnJ,gBAAkB,cAAevkG,EAAEjnD,OAAOmE,MAAM0U,MAAQ,oBAAqBouC,EAAEjnD,OAAOmE,MAAMw5J,aAAe,GAAG,EAAIr7J,SAAC,cAI3iB86J,EAAAA,GAAAA,MAAA,QAAMzlJ,MAAM,SAAQrV,SAAA,CAAC,aACnB86J,EAAAA,GAAAA,MAAA,KAAGn7J,UAAU,oBAAoB+L,KAAK,0DAA0DhO,OAAO,SAASgW,IAAI,sBAAsB,mBAAiB,YAAW1T,SAAA,EAACq5J,EAAAA,GAAAA,KAACjX,GAAoB,CAACziJ,UAAU,mBAAkB,iBACzNm7J,EAAAA,GAAAA,MAAA,KAAGn7J,UAAU,UAAU+L,KAAK,+CAA+ChO,OAAO,SAASgW,IAAI,sBAAsB,mBAAiB,aAAY1T,SAAA,EAACq5J,EAAAA,GAAAA,KAACnX,GAAe,CAACviJ,UAAU,mBAAkB,mBAChMm7J,EAAAA,GAAAA,MAAA,KAAGn7J,UAAU,UAAU+L,KAAK,sEAAsEhO,OAAO,SAASgW,IAAI,sBAAsB,mBAAiB,SAAQ1T,SAAA,EAACq5J,EAAAA,GAAAA,KAAClX,GAAU,CAACxiJ,UAAU,mBAAkB,cAC9Mm7J,EAAAA,GAAAA,MAAA,KAAGn7J,UAAU,UAAU+L,KAAK,yCAAyChO,OAAO,SAASgW,IAAI,sBAAsB,mBAAiB,uBAAsB1T,SAAA,EAACq5J,EAAAA,GAAAA,KAAChX,GAAQ,CAAC1iJ,UAAU,mBAAkB,4BAC7Lm7J,EAAAA,GAAAA,MAAA,UAAQn7J,UAAU,UAAU4O,QAzCpC,WACE,MAAM+sJ,EAAqB,SAAVtB,EAAmB,QAAU,OAC9C7gF,QAAQ1lB,IAAI,qBAAsB6nG,GAClCrB,EAASqB,GACTp9I,SAASwkD,gBAAgB9c,aAAa,aAAc01G,GACpDniF,QAAQ1lB,IAAI,2BAA4Bv1C,SAASwkD,gBAAgB64F,aAAa,cAChF,EAmCyD15J,MAAO,CAAE2yJ,QAAS,YAAYx0J,SAAA,EAACq5J,EAAAA,GAAAA,KAAC/W,GAAS,CAAC3iJ,UAAU,mBAAkB,kBAGrF,IAArCpD,OAAOslC,KAAK64H,GAAc97J,SAAgBk8J,EAAAA,GAAAA,MAAA,OAAA96J,SAAA,CAAK,iCAA6Bq5J,EAAAA,GAAAA,KAAA,UAAQ9qJ,QAAS+rJ,EAAat6J,SAAC,qBAC3GzD,OAAOslC,KAAK64H,GAAcpvE,KAAKwyB,IAC9Bg9C,EAAAA,GAAAA,MAAA,OAAA96J,SAAA,EACEq5J,EAAAA,GAAAA,KAAA,OAAK9qJ,QAASA,IAAM8rJ,EAAoBv8C,GAAWn+G,UAAW,eAAeK,SAC1E89G,IAEF48C,EAAa58C,GAAUxyB,KAAKglC,IAC3B,MAAMlkH,EAAS4sJ,GAAc1oC,EAAKv7G,OAClC,OACE+lJ,EAAAA,GAAAA,MAAA,OAAKn7J,UAAW,YAAYK,SAAA,EACvB27F,GAAU20B,EAAKv7G,MAAMnU,cAAcknD,SAAS6zC,EAAO/6F,gBAAmBg5J,EAAc9xG,SAAS17C,IAAW0tJ,IAAqBxpC,EAAKxS,YACnIu7C,EAAAA,GAAAA,KAAA,QAAM9qJ,QAASA,IAAM2rJ,EAAiB5pC,GAAO3wH,UAAW,YAAYK,SACjEswH,EAAKv7G,SAGP4mF,GAAU20B,EAAKv7G,MAAMnU,cAAcknD,SAAS6zC,EAAO/6F,gBAAmBg5J,EAAc9xG,SAAS17C,MAC9FitJ,EAAAA,GAAAA,KAAA,OAAK15J,UAAU,OAAMK,UACnBq5J,EAAAA,GAAAA,KAACpZ,GAAa,CACZjgJ,SAAUswH,EAAKhvH,QACf8+I,cAAe,CAACuW,IAChBpW,cAAe,CAACwY,IAChBva,WAAY,CACVpoI,IAAAA,CAAIguI,GAAmD,IAAlD,KAAErjJ,EAAI,OAAEoxF,EAAM,UAAExyF,EAAS,SAAEK,KAAab,GAAOilJ,EAClD,MAAMllJ,EAAQ,iBAAiBK,KAAKI,GAAa,IACjD,OAAQwyF,GAAUjzF,GAChB47J,EAAAA,GAAAA,MAAAU,GAAAA,SAAA,CAAAx7J,SAAA,EACEq5J,EAAAA,GAAAA,KAACJ,GAAU,CAACC,YAAa/2J,OAAOnC,MAChCq5J,EAAAA,GAAAA,KAACoC,GAAiB,CAChBz7J,SAAUmC,OAAOnC,GAAU+D,QAAQ,MAAO,IAC1ClC,MAAO65J,GACP9uJ,SAAU1N,EAAM,GAChBypJ,OAAO,SACHxpJ,QAIRk6J,EAAAA,GAAAA,KAAA,QAAM15J,UAAWA,KAAeR,EAAKa,SAClCA,GAGP,SA/BwBoM,EAoC5B,MA3CF4sJ,GAAcl7C,QAkDhC,ECtNa69C,EAAAA,WAAoBz9I,SAAS0wF,eAAe,SACpD3mD,QACHoxG,EAAAA,GAAAA,KAAC5a,EAAAA,WAAgB,CAAAz+I,UACfq5J,EAAAA,GAAAA,KAACuC,GAAG","sources":["../node_modules/extend/index.js","../node_modules/hast-util-parse-selector/index.js","../node_modules/hastscript/factory.js","../node_modules/hastscript/html.js","../node_modules/hastscript/index.js","../node_modules/hastscript/node_modules/comma-separated-tokens/index.js","../node_modules/hastscript/node_modules/property-information/find.js","../node_modules/hastscript/node_modules/property-information/html.js","../node_modules/hastscript/node_modules/property-information/lib/aria.js","../node_modules/hastscript/node_modules/property-information/lib/html.js","../node_modules/hastscript/node_modules/property-information/lib/util/case-insensitive-transform.js","../node_modules/hastscript/node_modules/property-information/lib/util/case-sensitive-transform.js","../node_modules/hastscript/node_modules/property-information/lib/util/create.js","../node_modules/hastscript/node_modules/property-information/lib/util/defined-info.js","../node_modules/hastscript/node_modules/property-information/lib/util/info.js","../node_modules/hastscript/node_modules/property-information/lib/util/merge.js","../node_modules/hastscript/node_modules/property-information/lib/util/schema.js","../node_modules/hastscript/node_modules/property-information/lib/util/types.js","../node_modules/hastscript/node_modules/property-information/lib/xlink.js","../node_modules/hastscript/node_modules/property-information/lib/xml.js","../node_modules/hastscript/node_modules/property-information/lib/xmlns.js","../node_modules/hastscript/node_modules/property-information/normalize.js","../node_modules/hastscript/node_modules/space-separated-tokens/index.js","../node_modules/inline-style-parser/index.js","../node_modules/is-alphabetical/index.js","../node_modules/is-alphanumerical/index.js","../node_modules/is-buffer/index.js","../node_modules/is-decimal/index.js","../node_modules/is-hexadecimal/index.js","../node_modules/parse-entities/decode-entity.browser.js","../node_modules/parse-entities/index.js","../node_modules/parse5/lib/common/doctype.js","../node_modules/parse5/lib/common/error-codes.js","../node_modules/parse5/lib/common/foreign-content.js","../node_modules/parse5/lib/common/html.js","../node_modules/parse5/lib/common/unicode.js","../node_modules/parse5/lib/extensions/error-reporting/mixin-base.js","../node_modules/parse5/lib/extensions/error-reporting/parser-mixin.js","../node_modules/parse5/lib/extensions/error-reporting/preprocessor-mixin.js","../node_modules/parse5/lib/extensions/error-reporting/tokenizer-mixin.js","../node_modules/parse5/lib/extensions/location-info/open-element-stack-mixin.js","../node_modules/parse5/lib/extensions/location-info/parser-mixin.js","../node_modules/parse5/lib/extensions/location-info/tokenizer-mixin.js","../node_modules/parse5/lib/extensions/position-tracking/preprocessor-mixin.js","../node_modules/parse5/lib/parser/formatting-element-list.js","../node_modules/parse5/lib/parser/index.js","../node_modules/parse5/lib/parser/open-element-stack.js","../node_modules/parse5/lib/tokenizer/index.js","../node_modules/parse5/lib/tokenizer/named-entity-data.js","../node_modules/parse5/lib/tokenizer/preprocessor.js","../node_modules/parse5/lib/tree-adapters/default.js","../node_modules/parse5/lib/utils/merge-options.js","../node_modules/parse5/lib/utils/mixin.js","../node_modules/prop-types/factoryWithThrowingShims.js","../node_modules/prop-types/index.js","../node_modules/prop-types/lib/ReactPropTypesSecret.js","../node_modules/react-dom/cjs/react-dom.production.min.js","../node_modules/react-dom/client.js","../node_modules/react-dom/index.js","../node_modules/react-markdown/node_modules/react-is/cjs/react-is.production.min.js","../node_modules/react-markdown/node_modules/react-is/index.js","../node_modules/react/cjs/react-jsx-runtime.production.min.js","../node_modules/react/cjs/react.production.min.js","../node_modules/react/index.js","../node_modules/react/jsx-runtime.js","../node_modules/refractor/core.js","../node_modules/refractor/index.js","../node_modules/refractor/lang/abap.js","../node_modules/refractor/lang/abnf.js","../node_modules/refractor/lang/actionscript.js","../node_modules/refractor/lang/ada.js","../node_modules/refractor/lang/agda.js","../node_modules/refractor/lang/al.js","../node_modules/refractor/lang/antlr4.js","../node_modules/refractor/lang/apacheconf.js","../node_modules/refractor/lang/apex.js","../node_modules/refractor/lang/apl.js","../node_modules/refractor/lang/applescript.js","../node_modules/refractor/lang/aql.js","../node_modules/refractor/lang/arduino.js","../node_modules/refractor/lang/arff.js","../node_modules/refractor/lang/asciidoc.js","../node_modules/refractor/lang/asm6502.js","../node_modules/refractor/lang/asmatmel.js","../node_modules/refractor/lang/aspnet.js","../node_modules/refractor/lang/autohotkey.js","../node_modules/refractor/lang/autoit.js","../node_modules/refractor/lang/avisynth.js","../node_modules/refractor/lang/avro-idl.js","../node_modules/refractor/lang/bash.js","../node_modules/refractor/lang/basic.js","../node_modules/refractor/lang/batch.js","../node_modules/refractor/lang/bbcode.js","../node_modules/refractor/lang/bicep.js","../node_modules/refractor/lang/birb.js","../node_modules/refractor/lang/bison.js","../node_modules/refractor/lang/bnf.js","../node_modules/refractor/lang/brainfuck.js","../node_modules/refractor/lang/brightscript.js","../node_modules/refractor/lang/bro.js","../node_modules/refractor/lang/bsl.js","../node_modules/refractor/lang/c.js","../node_modules/refractor/lang/cfscript.js","../node_modules/refractor/lang/chaiscript.js","../node_modules/refractor/lang/cil.js","../node_modules/refractor/lang/clike.js","../node_modules/refractor/lang/clojure.js","../node_modules/refractor/lang/cmake.js","../node_modules/refractor/lang/cobol.js","../node_modules/refractor/lang/coffeescript.js","../node_modules/refractor/lang/concurnas.js","../node_modules/refractor/lang/coq.js","../node_modules/refractor/lang/cpp.js","../node_modules/refractor/lang/crystal.js","../node_modules/refractor/lang/csharp.js","../node_modules/refractor/lang/cshtml.js","../node_modules/refractor/lang/csp.js","../node_modules/refractor/lang/css-extras.js","../node_modules/refractor/lang/css.js","../node_modules/refractor/lang/csv.js","../node_modules/refractor/lang/cypher.js","../node_modules/refractor/lang/d.js","../node_modules/refractor/lang/dart.js","../node_modules/refractor/lang/dataweave.js","../node_modules/refractor/lang/dax.js","../node_modules/refractor/lang/dhall.js","../node_modules/refractor/lang/diff.js","../node_modules/refractor/lang/django.js","../node_modules/refractor/lang/dns-zone-file.js","../node_modules/refractor/lang/docker.js","../node_modules/refractor/lang/dot.js","../node_modules/refractor/lang/ebnf.js","../node_modules/refractor/lang/editorconfig.js","../node_modules/refractor/lang/eiffel.js","../node_modules/refractor/lang/ejs.js","../node_modules/refractor/lang/elixir.js","../node_modules/refractor/lang/elm.js","../node_modules/refractor/lang/erb.js","../node_modules/refractor/lang/erlang.js","../node_modules/refractor/lang/etlua.js","../node_modules/refractor/lang/excel-formula.js","../node_modules/refractor/lang/factor.js","../node_modules/refractor/lang/false.js","../node_modules/refractor/lang/firestore-security-rules.js","../node_modules/refractor/lang/flow.js","../node_modules/refractor/lang/fortran.js","../node_modules/refractor/lang/fsharp.js","../node_modules/refractor/lang/ftl.js","../node_modules/refractor/lang/gap.js","../node_modules/refractor/lang/gcode.js","../node_modules/refractor/lang/gdscript.js","../node_modules/refractor/lang/gedcom.js","../node_modules/refractor/lang/gherkin.js","../node_modules/refractor/lang/git.js","../node_modules/refractor/lang/glsl.js","../node_modules/refractor/lang/gml.js","../node_modules/refractor/lang/gn.js","../node_modules/refractor/lang/go-module.js","../node_modules/refractor/lang/go.js","../node_modules/refractor/lang/graphql.js","../node_modules/refractor/lang/groovy.js","../node_modules/refractor/lang/haml.js","../node_modules/refractor/lang/handlebars.js","../node_modules/refractor/lang/haskell.js","../node_modules/refractor/lang/haxe.js","../node_modules/refractor/lang/hcl.js","../node_modules/refractor/lang/hlsl.js","../node_modules/refractor/lang/hoon.js","../node_modules/refractor/lang/hpkp.js","../node_modules/refractor/lang/hsts.js","../node_modules/refractor/lang/http.js","../node_modules/refractor/lang/ichigojam.js","../node_modules/refractor/lang/icon.js","../node_modules/refractor/lang/icu-message-format.js","../node_modules/refractor/lang/idris.js","../node_modules/refractor/lang/iecst.js","../node_modules/refractor/lang/ignore.js","../node_modules/refractor/lang/inform7.js","../node_modules/refractor/lang/ini.js","../node_modules/refractor/lang/io.js","../node_modules/refractor/lang/j.js","../node_modules/refractor/lang/java.js","../node_modules/refractor/lang/javadoc.js","../node_modules/refractor/lang/javadoclike.js","../node_modules/refractor/lang/javascript.js","../node_modules/refractor/lang/javastacktrace.js","../node_modules/refractor/lang/jexl.js","../node_modules/refractor/lang/jolie.js","../node_modules/refractor/lang/jq.js","../node_modules/refractor/lang/js-extras.js","../node_modules/refractor/lang/js-templates.js","../node_modules/refractor/lang/jsdoc.js","../node_modules/refractor/lang/json.js","../node_modules/refractor/lang/json5.js","../node_modules/refractor/lang/jsonp.js","../node_modules/refractor/lang/jsstacktrace.js","../node_modules/refractor/lang/jsx.js","../node_modules/refractor/lang/julia.js","../node_modules/refractor/lang/keepalived.js","../node_modules/refractor/lang/keyman.js","../node_modules/refractor/lang/kotlin.js","../node_modules/refractor/lang/kumir.js","../node_modules/refractor/lang/kusto.js","../node_modules/refractor/lang/latex.js","../node_modules/refractor/lang/latte.js","../node_modules/refractor/lang/less.js","../node_modules/refractor/lang/lilypond.js","../node_modules/refractor/lang/liquid.js","../node_modules/refractor/lang/lisp.js","../node_modules/refractor/lang/livescript.js","../node_modules/refractor/lang/llvm.js","../node_modules/refractor/lang/log.js","../node_modules/refractor/lang/lolcode.js","../node_modules/refractor/lang/lua.js","../node_modules/refractor/lang/magma.js","../node_modules/refractor/lang/makefile.js","../node_modules/refractor/lang/markdown.js","../node_modules/refractor/lang/markup-templating.js","../node_modules/refractor/lang/markup.js","../node_modules/refractor/lang/matlab.js","../node_modules/refractor/lang/maxscript.js","../node_modules/refractor/lang/mel.js","../node_modules/refractor/lang/mermaid.js","../node_modules/refractor/lang/mizar.js","../node_modules/refractor/lang/mongodb.js","../node_modules/refractor/lang/monkey.js","../node_modules/refractor/lang/moonscript.js","../node_modules/refractor/lang/n1ql.js","../node_modules/refractor/lang/n4js.js","../node_modules/refractor/lang/nand2tetris-hdl.js","../node_modules/refractor/lang/naniscript.js","../node_modules/refractor/lang/nasm.js","../node_modules/refractor/lang/neon.js","../node_modules/refractor/lang/nevod.js","../node_modules/refractor/lang/nginx.js","../node_modules/refractor/lang/nim.js","../node_modules/refractor/lang/nix.js","../node_modules/refractor/lang/nsis.js","../node_modules/refractor/lang/objectivec.js","../node_modules/refractor/lang/ocaml.js","../node_modules/refractor/lang/opencl.js","../node_modules/refractor/lang/openqasm.js","../node_modules/refractor/lang/oz.js","../node_modules/refractor/lang/parigp.js","../node_modules/refractor/lang/parser.js","../node_modules/refractor/lang/pascal.js","../node_modules/refractor/lang/pascaligo.js","../node_modules/refractor/lang/pcaxis.js","../node_modules/refractor/lang/peoplecode.js","../node_modules/refractor/lang/perl.js","../node_modules/refractor/lang/php-extras.js","../node_modules/refractor/lang/php.js","../node_modules/refractor/lang/phpdoc.js","../node_modules/refractor/lang/plsql.js","../node_modules/refractor/lang/powerquery.js","../node_modules/refractor/lang/powershell.js","../node_modules/refractor/lang/processing.js","../node_modules/refractor/lang/prolog.js","../node_modules/refractor/lang/promql.js","../node_modules/refractor/lang/properties.js","../node_modules/refractor/lang/protobuf.js","../node_modules/refractor/lang/psl.js","../node_modules/refractor/lang/pug.js","../node_modules/refractor/lang/puppet.js","../node_modules/refractor/lang/pure.js","../node_modules/refractor/lang/purebasic.js","../node_modules/refractor/lang/purescript.js","../node_modules/refractor/lang/python.js","../node_modules/refractor/lang/q.js","../node_modules/refractor/lang/qml.js","../node_modules/refractor/lang/qore.js","../node_modules/refractor/lang/qsharp.js","../node_modules/refractor/lang/r.js","../node_modules/refractor/lang/racket.js","../node_modules/refractor/lang/reason.js","../node_modules/refractor/lang/regex.js","../node_modules/refractor/lang/rego.js","../node_modules/refractor/lang/renpy.js","../node_modules/refractor/lang/rest.js","../node_modules/refractor/lang/rip.js","../node_modules/refractor/lang/roboconf.js","../node_modules/refractor/lang/robotframework.js","../node_modules/refractor/lang/ruby.js","../node_modules/refractor/lang/rust.js","../node_modules/refractor/lang/sas.js","../node_modules/refractor/lang/sass.js","../node_modules/refractor/lang/scala.js","../node_modules/refractor/lang/scheme.js","../node_modules/refractor/lang/scss.js","../node_modules/refractor/lang/shell-session.js","../node_modules/refractor/lang/smali.js","../node_modules/refractor/lang/smalltalk.js","../node_modules/refractor/lang/smarty.js","../node_modules/refractor/lang/sml.js","../node_modules/refractor/lang/solidity.js","../node_modules/refractor/lang/solution-file.js","../node_modules/refractor/lang/soy.js","../node_modules/refractor/lang/sparql.js","../node_modules/refractor/lang/splunk-spl.js","../node_modules/refractor/lang/sqf.js","../node_modules/refractor/lang/sql.js","../node_modules/refractor/lang/squirrel.js","../node_modules/refractor/lang/stan.js","../node_modules/refractor/lang/stylus.js","../node_modules/refractor/lang/swift.js","../node_modules/refractor/lang/systemd.js","../node_modules/refractor/lang/t4-cs.js","../node_modules/refractor/lang/t4-templating.js","../node_modules/refractor/lang/t4-vb.js","../node_modules/refractor/lang/tap.js","../node_modules/refractor/lang/tcl.js","../node_modules/refractor/lang/textile.js","../node_modules/refractor/lang/toml.js","../node_modules/refractor/lang/tremor.js","../node_modules/refractor/lang/tsx.js","../node_modules/refractor/lang/tt2.js","../node_modules/refractor/lang/turtle.js","../node_modules/refractor/lang/twig.js","../node_modules/refractor/lang/typescript.js","../node_modules/refractor/lang/typoscript.js","../node_modules/refractor/lang/unrealscript.js","../node_modules/refractor/lang/uorazor.js","../node_modules/refractor/lang/uri.js","../node_modules/refractor/lang/v.js","../node_modules/refractor/lang/vala.js","../node_modules/refractor/lang/vbnet.js","../node_modules/refractor/lang/velocity.js","../node_modules/refractor/lang/verilog.js","../node_modules/refractor/lang/vhdl.js","../node_modules/refractor/lang/vim.js","../node_modules/refractor/lang/visual-basic.js","../node_modules/refractor/lang/warpscript.js","../node_modules/refractor/lang/wasm.js","../node_modules/refractor/lang/web-idl.js","../node_modules/refractor/lang/wiki.js","../node_modules/refractor/lang/wolfram.js","../node_modules/refractor/lang/wren.js","../node_modules/refractor/lang/xeora.js","../node_modules/refractor/lang/xml-doc.js","../node_modules/refractor/lang/xojo.js","../node_modules/refractor/lang/xquery.js","../node_modules/refractor/lang/yaml.js","../node_modules/refractor/lang/yang.js","../node_modules/refractor/lang/zig.js","../node_modules/refractor/node_modules/prismjs/components/prism-core.js","../node_modules/scheduler/cjs/scheduler.production.min.js","../node_modules/scheduler/index.js","../node_modules/style-to-object/index.js","../node_modules/xtend/immutable.js","../webpack/bootstrap","../webpack/runtime/compat get default export","../webpack/runtime/define property getters","../webpack/runtime/global","../webpack/runtime/hasOwnProperty shorthand","../webpack/runtime/make namespace object","data.js","../node_modules/unist-util-stringify-position/lib/index.js","../node_modules/vfile-message/lib/index.js","../node_modules/vfile/lib/minpath.browser.js","../node_modules/vfile/lib/minproc.browser.js","../node_modules/vfile/lib/minurl.shared.js","../node_modules/vfile/lib/minurl.browser.js","../node_modules/vfile/lib/index.js","../node_modules/bail/index.js","../node_modules/is-plain-obj/index.js","../node_modules/trough/lib/index.js","../node_modules/unified/lib/index.js","../node_modules/mdast-util-to-string/lib/index.js","../node_modules/micromark-util-chunked/index.js","../node_modules/micromark-util-combine-extensions/index.js","../node_modules/micromark-util-character/lib/unicode-punctuation-regex.js","../node_modules/micromark-util-character/index.js","../node_modules/micromark-factory-space/index.js","../node_modules/micromark/lib/initialize/content.js","../node_modules/micromark/lib/initialize/document.js","../node_modules/micromark-core-commonmark/lib/blank-line.js","../node_modules/micromark-util-subtokenize/index.js","../node_modules/micromark-core-commonmark/lib/content.js","../node_modules/micromark/lib/initialize/flow.js","../node_modules/micromark/lib/initialize/text.js","../node_modules/micromark-util-resolve-all/index.js","../node_modules/micromark/lib/create-tokenizer.js","../node_modules/micromark-core-commonmark/lib/thematic-break.js","../node_modules/micromark-core-commonmark/lib/list.js","../node_modules/micromark-core-commonmark/lib/block-quote.js","../node_modules/micromark-factory-destination/index.js","../node_modules/micromark-factory-label/index.js","../node_modules/micromark-factory-title/index.js","../node_modules/micromark-factory-whitespace/index.js","../node_modules/micromark-util-normalize-identifier/index.js","../node_modules/micromark-core-commonmark/lib/definition.js","../node_modules/micromark-core-commonmark/lib/code-indented.js","../node_modules/micromark-core-commonmark/lib/heading-atx.js","../node_modules/micromark-core-commonmark/lib/setext-underline.js","../node_modules/micromark-util-html-tag-name/index.js","../node_modules/micromark-core-commonmark/lib/html-flow.js","../node_modules/micromark-core-commonmark/lib/code-fenced.js","../node_modules/decode-named-character-reference/index.dom.js","../node_modules/micromark-core-commonmark/lib/character-reference.js","../node_modules/micromark-core-commonmark/lib/character-escape.js","../node_modules/micromark-core-commonmark/lib/line-ending.js","../node_modules/micromark-core-commonmark/lib/label-end.js","../node_modules/micromark-core-commonmark/lib/label-start-image.js","../node_modules/micromark-util-classify-character/index.js","../node_modules/micromark-core-commonmark/lib/attention.js","../node_modules/micromark-core-commonmark/lib/autolink.js","../node_modules/micromark-core-commonmark/lib/html-text.js","../node_modules/micromark-core-commonmark/lib/label-start-link.js","../node_modules/micromark-core-commonmark/lib/hard-break-escape.js","../node_modules/micromark-core-commonmark/lib/code-text.js","../node_modules/micromark/lib/constructs.js","../node_modules/micromark/lib/preprocess.js","../node_modules/micromark-util-decode-numeric-character-reference/index.js","../node_modules/micromark-util-decode-string/index.js","../node_modules/mdast-util-from-markdown/lib/index.js","../node_modules/micromark/lib/postprocess.js","../node_modules/micromark/lib/parse.js","../node_modules/remark-parse/lib/index.js","../node_modules/micromark-util-sanitize-uri/index.js","../node_modules/unist-util-is/lib/index.js","../node_modules/unist-util-visit-parents/lib/index.js","../node_modules/unist-util-visit/lib/index.js","../node_modules/unist-util-position/lib/index.js","../node_modules/mdast-util-definitions/lib/index.js","../node_modules/mdast-util-to-hast/lib/handlers/footnote-reference.js","../node_modules/mdast-util-to-hast/lib/revert.js","../node_modules/mdast-util-to-hast/lib/handlers/list-item.js","../node_modules/trim-lines/index.js","../node_modules/mdast-util-to-hast/lib/handlers/index.js","../node_modules/mdast-util-to-hast/lib/handlers/blockquote.js","../node_modules/mdast-util-to-hast/lib/handlers/break.js","../node_modules/mdast-util-to-hast/lib/handlers/code.js","../node_modules/mdast-util-to-hast/lib/handlers/delete.js","../node_modules/mdast-util-to-hast/lib/handlers/emphasis.js","../node_modules/mdast-util-to-hast/lib/handlers/footnote.js","../node_modules/mdast-util-to-hast/lib/handlers/heading.js","../node_modules/mdast-util-to-hast/lib/handlers/html.js","../node_modules/mdast-util-to-hast/lib/handlers/image-reference.js","../node_modules/mdast-util-to-hast/lib/handlers/image.js","../node_modules/mdast-util-to-hast/lib/handlers/inline-code.js","../node_modules/mdast-util-to-hast/lib/handlers/link-reference.js","../node_modules/mdast-util-to-hast/lib/handlers/link.js","../node_modules/mdast-util-to-hast/lib/handlers/list.js","../node_modules/mdast-util-to-hast/lib/handlers/paragraph.js","../node_modules/mdast-util-to-hast/lib/handlers/root.js","../node_modules/mdast-util-to-hast/lib/handlers/strong.js","../node_modules/mdast-util-to-hast/lib/handlers/table.js","../node_modules/mdast-util-to-hast/lib/handlers/table-cell.js","../node_modules/mdast-util-to-hast/lib/handlers/table-row.js","../node_modules/mdast-util-to-hast/lib/handlers/text.js","../node_modules/mdast-util-to-hast/lib/handlers/thematic-break.js","../node_modules/mdast-util-to-hast/lib/state.js","../node_modules/unist-util-generated/lib/index.js","../node_modules/mdast-util-to-hast/lib/index.js","../node_modules/mdast-util-to-hast/lib/footer.js","../node_modules/remark-rehype/lib/index.js","../node_modules/property-information/lib/util/schema.js","../node_modules/property-information/lib/util/merge.js","../node_modules/property-information/lib/normalize.js","../node_modules/property-information/lib/util/info.js","../node_modules/property-information/lib/util/types.js","../node_modules/property-information/lib/util/defined-info.js","../node_modules/property-information/lib/util/create.js","../node_modules/property-information/lib/xlink.js","../node_modules/property-information/lib/xml.js","../node_modules/property-information/lib/util/case-sensitive-transform.js","../node_modules/property-information/lib/util/case-insensitive-transform.js","../node_modules/property-information/lib/xmlns.js","../node_modules/property-information/lib/aria.js","../node_modules/property-information/lib/html.js","../node_modules/property-information/lib/svg.js","../node_modules/property-information/index.js","../node_modules/react-markdown/lib/rehype-filter.js","../node_modules/hast-util-whitespace/index.js","../node_modules/property-information/lib/find.js","../node_modules/property-information/lib/hast-to-react.js","../node_modules/space-separated-tokens/index.js","../node_modules/comma-separated-tokens/index.js","../node_modules/style-to-object/index.mjs","../node_modules/react-markdown/lib/uri-transformer.js","../node_modules/react-markdown/lib/ast-to-react.js","../node_modules/react-markdown/lib/react-markdown.js","../node_modules/react-icons/lib/iconsManifest.mjs","../node_modules/react-icons/lib/iconContext.mjs","../node_modules/react-icons/lib/iconBase.mjs","../node_modules/react-icons/bi/index.mjs","../node_modules/react-icons/lu/index.mjs","../node_modules/react-icons/tb/index.mjs","../node_modules/@babel/runtime/helpers/esm/arrayLikeToArray.js","../node_modules/@babel/runtime/helpers/esm/toConsumableArray.js","../node_modules/@babel/runtime/helpers/esm/arrayWithoutHoles.js","../node_modules/@babel/runtime/helpers/esm/iterableToArray.js","../node_modules/@babel/runtime/helpers/esm/unsupportedIterableToArray.js","../node_modules/@babel/runtime/helpers/esm/nonIterableSpread.js","../node_modules/@babel/runtime/helpers/esm/typeof.js","../node_modules/@babel/runtime/helpers/esm/toPropertyKey.js","../node_modules/@babel/runtime/helpers/esm/toPrimitive.js","../node_modules/@babel/runtime/helpers/esm/defineProperty.js","../node_modules/@babel/runtime/helpers/esm/extends.js","../node_modules/react-syntax-highlighter/dist/esm/create-element.js","../node_modules/react-syntax-highlighter/dist/esm/checkForListedLanguage.js","../node_modules/react-syntax-highlighter/dist/esm/highlight.js","../node_modules/react-syntax-highlighter/dist/esm/prism.js","../node_modules/react-syntax-highlighter/dist/esm/styles/prism/prism.js","../node_modules/@babel/runtime/helpers/esm/objectWithoutProperties.js","../node_modules/@babel/runtime/helpers/esm/objectWithoutPropertiesLoose.js","../node_modules/react-syntax-highlighter/dist/esm/languages/prism/supported-languages.js","../node_modules/react-syntax-highlighter/dist/esm/styles/prism/coldark-dark.js","../node_modules/micromark-extension-gfm-autolink-literal/lib/syntax.js","../node_modules/micromark-extension-gfm-footnote/lib/syntax.js","../node_modules/micromark-extension-gfm-strikethrough/lib/syntax.js","../node_modules/micromark-extension-gfm-table/lib/edit-map.js","../node_modules/micromark-extension-gfm-table/lib/infer.js","../node_modules/micromark-extension-gfm-table/lib/syntax.js","../node_modules/micromark-extension-gfm-task-list-item/lib/syntax.js","../node_modules/ccount/index.js","../node_modules/mdast-util-find-and-replace/lib/index.js","../node_modules/mdast-util-find-and-replace/node_modules/escape-string-regexp/index.js","../node_modules/mdast-util-gfm-autolink-literal/lib/index.js","../node_modules/mdast-util-to-markdown/lib/util/association.js","../node_modules/mdast-util-to-markdown/lib/util/container-flow.js","../node_modules/mdast-util-to-markdown/lib/util/indent-lines.js","../node_modules/mdast-util-to-markdown/lib/util/pattern-compile.js","../node_modules/mdast-util-to-markdown/lib/util/pattern-in-scope.js","../node_modules/mdast-util-to-markdown/lib/util/safe.js","../node_modules/mdast-util-to-markdown/lib/util/track.js","../node_modules/mdast-util-gfm-footnote/lib/index.js","../node_modules/mdast-util-to-markdown/lib/util/container-phrasing.js","../node_modules/mdast-util-gfm-strikethrough/lib/index.js","../node_modules/mdast-util-to-markdown/lib/handle/inline-code.js","../node_modules/markdown-table/index.js","../node_modules/mdast-util-gfm-table/lib/index.js","../node_modules/mdast-util-to-markdown/lib/handle/list-item.js","../node_modules/mdast-util-to-markdown/lib/util/check-list-item-indent.js","../node_modules/mdast-util-to-markdown/lib/util/check-bullet.js","../node_modules/mdast-util-gfm-task-list-item/lib/index.js","../node_modules/remark-gfm/index.js","../node_modules/micromark-extension-gfm/index.js","../node_modules/mdast-util-gfm/lib/index.js","../node_modules/hast-util-from-parse5/node_modules/hast-util-parse-selector/lib/index.js","../node_modules/hast-util-from-parse5/node_modules/hastscript/lib/core.js","../node_modules/hast-util-from-parse5/node_modules/hastscript/lib/svg-case-sensitive-tag-names.js","../node_modules/hast-util-from-parse5/node_modules/hastscript/lib/svg.js","../node_modules/hast-util-from-parse5/node_modules/hastscript/lib/html.js","../node_modules/web-namespaces/index.js","../node_modules/hast-util-from-parse5/lib/index.js","../node_modules/vfile-location/lib/index.js","../node_modules/zwitch/index.js","../node_modules/hast-util-to-parse5/lib/index.js","../node_modules/html-void-elements/index.js","../node_modules/hast-util-raw/lib/index.js","../node_modules/rehype-raw/index.js","App.js","index.js"],"sourcesContent":["'use strict';\n\nvar hasOwn = Object.prototype.hasOwnProperty;\nvar toStr = Object.prototype.toString;\nvar defineProperty = Object.defineProperty;\nvar gOPD = Object.getOwnPropertyDescriptor;\n\nvar isArray = function isArray(arr) {\n\tif (typeof Array.isArray === 'function') {\n\t\treturn Array.isArray(arr);\n\t}\n\n\treturn toStr.call(arr) === '[object Array]';\n};\n\nvar isPlainObject = function isPlainObject(obj) {\n\tif (!obj || toStr.call(obj) !== '[object Object]') {\n\t\treturn false;\n\t}\n\n\tvar hasOwnConstructor = hasOwn.call(obj, 'constructor');\n\tvar hasIsPrototypeOf = obj.constructor && obj.constructor.prototype && hasOwn.call(obj.constructor.prototype, 'isPrototypeOf');\n\t// Not own constructor property must be Object\n\tif (obj.constructor && !hasOwnConstructor && !hasIsPrototypeOf) {\n\t\treturn false;\n\t}\n\n\t// Own properties are enumerated firstly, so to speed up,\n\t// if last one is own, then all properties are own.\n\tvar key;\n\tfor (key in obj) { /**/ }\n\n\treturn typeof key === 'undefined' || hasOwn.call(obj, key);\n};\n\n// If name is '__proto__', and Object.defineProperty is available, define __proto__ as an own property on target\nvar setProperty = function setProperty(target, options) {\n\tif (defineProperty && options.name === '__proto__') {\n\t\tdefineProperty(target, options.name, {\n\t\t\tenumerable: true,\n\t\t\tconfigurable: true,\n\t\t\tvalue: options.newValue,\n\t\t\twritable: true\n\t\t});\n\t} else {\n\t\ttarget[options.name] = options.newValue;\n\t}\n};\n\n// Return undefined instead of __proto__ if '__proto__' is not an own property\nvar getProperty = function getProperty(obj, name) {\n\tif (name === '__proto__') {\n\t\tif (!hasOwn.call(obj, name)) {\n\t\t\treturn void 0;\n\t\t} else if (gOPD) {\n\t\t\t// In early versions of node, obj['__proto__'] is buggy when obj has\n\t\t\t// __proto__ as an own property. Object.getOwnPropertyDescriptor() works.\n\t\t\treturn gOPD(obj, name).value;\n\t\t}\n\t}\n\n\treturn obj[name];\n};\n\nmodule.exports = function extend() {\n\tvar options, name, src, copy, copyIsArray, clone;\n\tvar target = arguments[0];\n\tvar i = 1;\n\tvar length = arguments.length;\n\tvar deep = false;\n\n\t// Handle a deep copy situation\n\tif (typeof target === 'boolean') {\n\t\tdeep = target;\n\t\ttarget = arguments[1] || {};\n\t\t// skip the boolean and the target\n\t\ti = 2;\n\t}\n\tif (target == null || (typeof target !== 'object' && typeof target !== 'function')) {\n\t\ttarget = {};\n\t}\n\n\tfor (; i < length; ++i) {\n\t\toptions = arguments[i];\n\t\t// Only deal with non-null/undefined values\n\t\tif (options != null) {\n\t\t\t// Extend the base object\n\t\t\tfor (name in options) {\n\t\t\t\tsrc = getProperty(target, name);\n\t\t\t\tcopy = getProperty(options, name);\n\n\t\t\t\t// Prevent never-ending loop\n\t\t\t\tif (target !== copy) {\n\t\t\t\t\t// Recurse if we're merging plain objects or arrays\n\t\t\t\t\tif (deep && copy && (isPlainObject(copy) || (copyIsArray = isArray(copy)))) {\n\t\t\t\t\t\tif (copyIsArray) {\n\t\t\t\t\t\t\tcopyIsArray = false;\n\t\t\t\t\t\t\tclone = src && isArray(src) ? src : [];\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\tclone = src && isPlainObject(src) ? src : {};\n\t\t\t\t\t\t}\n\n\t\t\t\t\t\t// Never move original objects, clone them\n\t\t\t\t\t\tsetProperty(target, { name: name, newValue: extend(deep, clone, copy) });\n\n\t\t\t\t\t// Don't bring in undefined values\n\t\t\t\t\t} else if (typeof copy !== 'undefined') {\n\t\t\t\t\t\tsetProperty(target, { name: name, newValue: copy });\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\n\t// Return the modified object\n\treturn target;\n};\n","'use strict'\n\nmodule.exports = parse\n\nvar search = /[#.]/g\n\n// Create a hast element from a simple CSS selector.\nfunction parse(selector, defaultTagName) {\n var value = selector || ''\n var name = defaultTagName || 'div'\n var props = {}\n var start = 0\n var subvalue\n var previous\n var match\n\n while (start < value.length) {\n search.lastIndex = start\n match = search.exec(value)\n subvalue = value.slice(start, match ? match.index : value.length)\n\n if (subvalue) {\n if (!previous) {\n name = subvalue\n } else if (previous === '#') {\n props.id = subvalue\n } else if (props.className) {\n props.className.push(subvalue)\n } else {\n props.className = [subvalue]\n }\n\n start += subvalue.length\n }\n\n if (match) {\n previous = match[0]\n start++\n }\n }\n\n return {type: 'element', tagName: name, properties: props, children: []}\n}\n","'use strict'\n\nvar find = require('property-information/find')\nvar normalize = require('property-information/normalize')\nvar parseSelector = require('hast-util-parse-selector')\nvar spaces = require('space-separated-tokens').parse\nvar commas = require('comma-separated-tokens').parse\n\nmodule.exports = factory\n\nvar own = {}.hasOwnProperty\n\nfunction factory(schema, defaultTagName, caseSensitive) {\n var adjust = caseSensitive ? createAdjustMap(caseSensitive) : null\n\n return h\n\n // Hyperscript compatible DSL for creating virtual hast trees.\n function h(selector, properties) {\n var node = parseSelector(selector, defaultTagName)\n var children = Array.prototype.slice.call(arguments, 2)\n var name = node.tagName.toLowerCase()\n var property\n\n node.tagName = adjust && own.call(adjust, name) ? adjust[name] : name\n\n if (properties && isChildren(properties, node)) {\n children.unshift(properties)\n properties = null\n }\n\n if (properties) {\n for (property in properties) {\n addProperty(node.properties, property, properties[property])\n }\n }\n\n addChild(node.children, children)\n\n if (node.tagName === 'template') {\n node.content = {type: 'root', children: node.children}\n node.children = []\n }\n\n return node\n }\n\n function addProperty(properties, key, value) {\n var info\n var property\n var result\n\n // Ignore nullish and NaN values.\n if (value === null || value === undefined || value !== value) {\n return\n }\n\n info = find(schema, key)\n property = info.property\n result = value\n\n // Handle list values.\n if (typeof result === 'string') {\n if (info.spaceSeparated) {\n result = spaces(result)\n } else if (info.commaSeparated) {\n result = commas(result)\n } else if (info.commaOrSpaceSeparated) {\n result = spaces(commas(result).join(' '))\n }\n }\n\n // Accept `object` on style.\n if (property === 'style' && typeof value !== 'string') {\n result = style(result)\n }\n\n // Class-names (which can be added both on the `selector` and here).\n if (property === 'className' && properties.className) {\n result = properties.className.concat(result)\n }\n\n properties[property] = parsePrimitives(info, property, result)\n }\n}\n\nfunction isChildren(value, node) {\n return (\n typeof value === 'string' ||\n 'length' in value ||\n isNode(node.tagName, value)\n )\n}\n\nfunction isNode(tagName, value) {\n var type = value.type\n\n if (tagName === 'input' || !type || typeof type !== 'string') {\n return false\n }\n\n if (typeof value.children === 'object' && 'length' in value.children) {\n return true\n }\n\n type = type.toLowerCase()\n\n if (tagName === 'button') {\n return (\n type !== 'menu' &&\n type !== 'submit' &&\n type !== 'reset' &&\n type !== 'button'\n )\n }\n\n return 'value' in value\n}\n\nfunction addChild(nodes, value) {\n var index\n var length\n\n if (typeof value === 'string' || typeof value === 'number') {\n nodes.push({type: 'text', value: String(value)})\n return\n }\n\n if (typeof value === 'object' && 'length' in value) {\n index = -1\n length = value.length\n\n while (++index < length) {\n addChild(nodes, value[index])\n }\n\n return\n }\n\n if (typeof value !== 'object' || !('type' in value)) {\n throw new Error('Expected node, nodes, or string, got `' + value + '`')\n }\n\n nodes.push(value)\n}\n\n// Parse a (list of) primitives.\nfunction parsePrimitives(info, name, value) {\n var index\n var length\n var result\n\n if (typeof value !== 'object' || !('length' in value)) {\n return parsePrimitive(info, name, value)\n }\n\n length = value.length\n index = -1\n result = []\n\n while (++index < length) {\n result[index] = parsePrimitive(info, name, value[index])\n }\n\n return result\n}\n\n// Parse a single primitives.\nfunction parsePrimitive(info, name, value) {\n var result = value\n\n if (info.number || info.positiveNumber) {\n if (!isNaN(result) && result !== '') {\n result = Number(result)\n }\n } else if (info.boolean || info.overloadedBoolean) {\n // Accept `boolean` and `string`.\n if (\n typeof result === 'string' &&\n (result === '' || normalize(value) === normalize(name))\n ) {\n result = true\n }\n }\n\n return result\n}\n\nfunction style(value) {\n var result = []\n var key\n\n for (key in value) {\n result.push([key, value[key]].join(': '))\n }\n\n return result.join('; ')\n}\n\nfunction createAdjustMap(values) {\n var length = values.length\n var index = -1\n var result = {}\n var value\n\n while (++index < length) {\n value = values[index]\n result[value.toLowerCase()] = value\n }\n\n return result\n}\n","'use strict'\n\nvar schema = require('property-information/html')\nvar factory = require('./factory')\n\nvar html = factory(schema, 'div')\nhtml.displayName = 'html'\n\nmodule.exports = html\n","'use strict'\n\nmodule.exports = require('./html')\n","'use strict'\n\nexports.parse = parse\nexports.stringify = stringify\n\nvar comma = ','\nvar space = ' '\nvar empty = ''\n\n// Parse comma-separated tokens to an array.\nfunction parse(value) {\n var values = []\n var input = String(value || empty)\n var index = input.indexOf(comma)\n var lastIndex = 0\n var end = false\n var val\n\n while (!end) {\n if (index === -1) {\n index = input.length\n end = true\n }\n\n val = input.slice(lastIndex, index).trim()\n\n if (val || !end) {\n values.push(val)\n }\n\n lastIndex = index + 1\n index = input.indexOf(comma, lastIndex)\n }\n\n return values\n}\n\n// Compile an array to comma-separated tokens.\n// `options.padLeft` (default: `true`) pads a space left of each token, and\n// `options.padRight` (default: `false`) pads a space to the right of each token.\nfunction stringify(values, options) {\n var settings = options || {}\n var left = settings.padLeft === false ? empty : space\n var right = settings.padRight ? space : empty\n\n // Ensure the last empty entry is seen.\n if (values[values.length - 1] === empty) {\n values = values.concat(empty)\n }\n\n return values.join(right + comma + left).trim()\n}\n","'use strict'\n\nvar normalize = require('./normalize')\nvar DefinedInfo = require('./lib/util/defined-info')\nvar Info = require('./lib/util/info')\n\nvar data = 'data'\n\nmodule.exports = find\n\nvar valid = /^data[-\\w.:]+$/i\nvar dash = /-[a-z]/g\nvar cap = /[A-Z]/g\n\nfunction find(schema, value) {\n var normal = normalize(value)\n var prop = value\n var Type = Info\n\n if (normal in schema.normal) {\n return schema.property[schema.normal[normal]]\n }\n\n if (normal.length > 4 && normal.slice(0, 4) === data && valid.test(value)) {\n // Attribute or property.\n if (value.charAt(4) === '-') {\n prop = datasetToProperty(value)\n } else {\n value = datasetToAttribute(value)\n }\n\n Type = DefinedInfo\n }\n\n return new Type(prop, value)\n}\n\nfunction datasetToProperty(attribute) {\n var value = attribute.slice(5).replace(dash, camelcase)\n return data + value.charAt(0).toUpperCase() + value.slice(1)\n}\n\nfunction datasetToAttribute(property) {\n var value = property.slice(4)\n\n if (dash.test(value)) {\n return property\n }\n\n value = value.replace(cap, kebab)\n\n if (value.charAt(0) !== '-') {\n value = '-' + value\n }\n\n return data + value\n}\n\nfunction kebab($0) {\n return '-' + $0.toLowerCase()\n}\n\nfunction camelcase($0) {\n return $0.charAt(1).toUpperCase()\n}\n","'use strict'\n\nvar merge = require('./lib/util/merge')\nvar xlink = require('./lib/xlink')\nvar xml = require('./lib/xml')\nvar xmlns = require('./lib/xmlns')\nvar aria = require('./lib/aria')\nvar html = require('./lib/html')\n\nmodule.exports = merge([xml, xlink, xmlns, aria, html])\n","'use strict'\n\nvar types = require('./util/types')\nvar create = require('./util/create')\n\nvar booleanish = types.booleanish\nvar number = types.number\nvar spaceSeparated = types.spaceSeparated\n\nmodule.exports = create({\n transform: ariaTransform,\n properties: {\n ariaActiveDescendant: null,\n ariaAtomic: booleanish,\n ariaAutoComplete: null,\n ariaBusy: booleanish,\n ariaChecked: booleanish,\n ariaColCount: number,\n ariaColIndex: number,\n ariaColSpan: number,\n ariaControls: spaceSeparated,\n ariaCurrent: null,\n ariaDescribedBy: spaceSeparated,\n ariaDetails: null,\n ariaDisabled: booleanish,\n ariaDropEffect: spaceSeparated,\n ariaErrorMessage: null,\n ariaExpanded: booleanish,\n ariaFlowTo: spaceSeparated,\n ariaGrabbed: booleanish,\n ariaHasPopup: null,\n ariaHidden: booleanish,\n ariaInvalid: null,\n ariaKeyShortcuts: null,\n ariaLabel: null,\n ariaLabelledBy: spaceSeparated,\n ariaLevel: number,\n ariaLive: null,\n ariaModal: booleanish,\n ariaMultiLine: booleanish,\n ariaMultiSelectable: booleanish,\n ariaOrientation: null,\n ariaOwns: spaceSeparated,\n ariaPlaceholder: null,\n ariaPosInSet: number,\n ariaPressed: booleanish,\n ariaReadOnly: booleanish,\n ariaRelevant: null,\n ariaRequired: booleanish,\n ariaRoleDescription: spaceSeparated,\n ariaRowCount: number,\n ariaRowIndex: number,\n ariaRowSpan: number,\n ariaSelected: booleanish,\n ariaSetSize: number,\n ariaSort: null,\n ariaValueMax: number,\n ariaValueMin: number,\n ariaValueNow: number,\n ariaValueText: null,\n role: null\n }\n})\n\nfunction ariaTransform(_, prop) {\n return prop === 'role' ? prop : 'aria-' + prop.slice(4).toLowerCase()\n}\n","'use strict'\n\nvar types = require('./util/types')\nvar create = require('./util/create')\nvar caseInsensitiveTransform = require('./util/case-insensitive-transform')\n\nvar boolean = types.boolean\nvar overloadedBoolean = types.overloadedBoolean\nvar booleanish = types.booleanish\nvar number = types.number\nvar spaceSeparated = types.spaceSeparated\nvar commaSeparated = types.commaSeparated\n\nmodule.exports = create({\n space: 'html',\n attributes: {\n acceptcharset: 'accept-charset',\n classname: 'class',\n htmlfor: 'for',\n httpequiv: 'http-equiv'\n },\n transform: caseInsensitiveTransform,\n mustUseProperty: ['checked', 'multiple', 'muted', 'selected'],\n properties: {\n // Standard Properties.\n abbr: null,\n accept: commaSeparated,\n acceptCharset: spaceSeparated,\n accessKey: spaceSeparated,\n action: null,\n allow: null,\n allowFullScreen: boolean,\n allowPaymentRequest: boolean,\n allowUserMedia: boolean,\n alt: null,\n as: null,\n async: boolean,\n autoCapitalize: null,\n autoComplete: spaceSeparated,\n autoFocus: boolean,\n autoPlay: boolean,\n capture: boolean,\n charSet: null,\n checked: boolean,\n cite: null,\n className: spaceSeparated,\n cols: number,\n colSpan: null,\n content: null,\n contentEditable: booleanish,\n controls: boolean,\n controlsList: spaceSeparated,\n coords: number | commaSeparated,\n crossOrigin: null,\n data: null,\n dateTime: null,\n decoding: null,\n default: boolean,\n defer: boolean,\n dir: null,\n dirName: null,\n disabled: boolean,\n download: overloadedBoolean,\n draggable: booleanish,\n encType: null,\n enterKeyHint: null,\n form: null,\n formAction: null,\n formEncType: null,\n formMethod: null,\n formNoValidate: boolean,\n formTarget: null,\n headers: spaceSeparated,\n height: number,\n hidden: boolean,\n high: number,\n href: null,\n hrefLang: null,\n htmlFor: spaceSeparated,\n httpEquiv: spaceSeparated,\n id: null,\n imageSizes: null,\n imageSrcSet: commaSeparated,\n inputMode: null,\n integrity: null,\n is: null,\n isMap: boolean,\n itemId: null,\n itemProp: spaceSeparated,\n itemRef: spaceSeparated,\n itemScope: boolean,\n itemType: spaceSeparated,\n kind: null,\n label: null,\n lang: null,\n language: null,\n list: null,\n loading: null,\n loop: boolean,\n low: number,\n manifest: null,\n max: null,\n maxLength: number,\n media: null,\n method: null,\n min: null,\n minLength: number,\n multiple: boolean,\n muted: boolean,\n name: null,\n nonce: null,\n noModule: boolean,\n noValidate: boolean,\n onAbort: null,\n onAfterPrint: null,\n onAuxClick: null,\n onBeforePrint: null,\n onBeforeUnload: null,\n onBlur: null,\n onCancel: null,\n onCanPlay: null,\n onCanPlayThrough: null,\n onChange: null,\n onClick: null,\n onClose: null,\n onContextMenu: null,\n onCopy: null,\n onCueChange: null,\n onCut: null,\n onDblClick: null,\n onDrag: null,\n onDragEnd: null,\n onDragEnter: null,\n onDragExit: null,\n onDragLeave: null,\n onDragOver: null,\n onDragStart: null,\n onDrop: null,\n onDurationChange: null,\n onEmptied: null,\n onEnded: null,\n onError: null,\n onFocus: null,\n onFormData: null,\n onHashChange: null,\n onInput: null,\n onInvalid: null,\n onKeyDown: null,\n onKeyPress: null,\n onKeyUp: null,\n onLanguageChange: null,\n onLoad: null,\n onLoadedData: null,\n onLoadedMetadata: null,\n onLoadEnd: null,\n onLoadStart: null,\n onMessage: null,\n onMessageError: null,\n onMouseDown: null,\n onMouseEnter: null,\n onMouseLeave: null,\n onMouseMove: null,\n onMouseOut: null,\n onMouseOver: null,\n onMouseUp: null,\n onOffline: null,\n onOnline: null,\n onPageHide: null,\n onPageShow: null,\n onPaste: null,\n onPause: null,\n onPlay: null,\n onPlaying: null,\n onPopState: null,\n onProgress: null,\n onRateChange: null,\n onRejectionHandled: null,\n onReset: null,\n onResize: null,\n onScroll: null,\n onSecurityPolicyViolation: null,\n onSeeked: null,\n onSeeking: null,\n onSelect: null,\n onSlotChange: null,\n onStalled: null,\n onStorage: null,\n onSubmit: null,\n onSuspend: null,\n onTimeUpdate: null,\n onToggle: null,\n onUnhandledRejection: null,\n onUnload: null,\n onVolumeChange: null,\n onWaiting: null,\n onWheel: null,\n open: boolean,\n optimum: number,\n pattern: null,\n ping: spaceSeparated,\n placeholder: null,\n playsInline: boolean,\n poster: null,\n preload: null,\n readOnly: boolean,\n referrerPolicy: null,\n rel: spaceSeparated,\n required: boolean,\n reversed: boolean,\n rows: number,\n rowSpan: number,\n sandbox: spaceSeparated,\n scope: null,\n scoped: boolean,\n seamless: boolean,\n selected: boolean,\n shape: null,\n size: number,\n sizes: null,\n slot: null,\n span: number,\n spellCheck: booleanish,\n src: null,\n srcDoc: null,\n srcLang: null,\n srcSet: commaSeparated,\n start: number,\n step: null,\n style: null,\n tabIndex: number,\n target: null,\n title: null,\n translate: null,\n type: null,\n typeMustMatch: boolean,\n useMap: null,\n value: booleanish,\n width: number,\n wrap: null,\n\n // Legacy.\n // See: https://html.spec.whatwg.org/#other-elements,-attributes-and-apis\n align: null, // Several. Use CSS `text-align` instead,\n aLink: null, // `<body>`. Use CSS `a:active {color}` instead\n archive: spaceSeparated, // `<object>`. List of URIs to archives\n axis: null, // `<td>` and `<th>`. Use `scope` on `<th>`\n background: null, // `<body>`. Use CSS `background-image` instead\n bgColor: null, // `<body>` and table elements. Use CSS `background-color` instead\n border: number, // `<table>`. Use CSS `border-width` instead,\n borderColor: null, // `<table>`. Use CSS `border-color` instead,\n bottomMargin: number, // `<body>`\n cellPadding: null, // `<table>`\n cellSpacing: null, // `<table>`\n char: null, // Several table elements. When `align=char`, sets the character to align on\n charOff: null, // Several table elements. When `char`, offsets the alignment\n classId: null, // `<object>`\n clear: null, // `<br>`. Use CSS `clear` instead\n code: null, // `<object>`\n codeBase: null, // `<object>`\n codeType: null, // `<object>`\n color: null, // `<font>` and `<hr>`. Use CSS instead\n compact: boolean, // Lists. Use CSS to reduce space between items instead\n declare: boolean, // `<object>`\n event: null, // `<script>`\n face: null, // `<font>`. Use CSS instead\n frame: null, // `<table>`\n frameBorder: null, // `<iframe>`. Use CSS `border` instead\n hSpace: number, // `<img>` and `<object>`\n leftMargin: number, // `<body>`\n link: null, // `<body>`. Use CSS `a:link {color: *}` instead\n longDesc: null, // `<frame>`, `<iframe>`, and `<img>`. Use an `<a>`\n lowSrc: null, // `<img>`. Use a `<picture>`\n marginHeight: number, // `<body>`\n marginWidth: number, // `<body>`\n noResize: boolean, // `<frame>`\n noHref: boolean, // `<area>`. Use no href instead of an explicit `nohref`\n noShade: boolean, // `<hr>`. Use background-color and height instead of borders\n noWrap: boolean, // `<td>` and `<th>`\n object: null, // `<applet>`\n profile: null, // `<head>`\n prompt: null, // `<isindex>`\n rev: null, // `<link>`\n rightMargin: number, // `<body>`\n rules: null, // `<table>`\n scheme: null, // `<meta>`\n scrolling: booleanish, // `<frame>`. Use overflow in the child context\n standby: null, // `<object>`\n summary: null, // `<table>`\n text: null, // `<body>`. Use CSS `color` instead\n topMargin: number, // `<body>`\n valueType: null, // `<param>`\n version: null, // `<html>`. Use a doctype.\n vAlign: null, // Several. Use CSS `vertical-align` instead\n vLink: null, // `<body>`. Use CSS `a:visited {color}` instead\n vSpace: number, // `<img>` and `<object>`\n\n // Non-standard Properties.\n allowTransparency: null,\n autoCorrect: null,\n autoSave: null,\n disablePictureInPicture: boolean,\n disableRemotePlayback: boolean,\n prefix: null,\n property: null,\n results: number,\n security: null,\n unselectable: null\n }\n})\n","'use strict'\n\nvar caseSensitiveTransform = require('./case-sensitive-transform')\n\nmodule.exports = caseInsensitiveTransform\n\nfunction caseInsensitiveTransform(attributes, property) {\n return caseSensitiveTransform(attributes, property.toLowerCase())\n}\n","'use strict'\n\nmodule.exports = caseSensitiveTransform\n\nfunction caseSensitiveTransform(attributes, attribute) {\n return attribute in attributes ? attributes[attribute] : attribute\n}\n","'use strict'\n\nvar normalize = require('../../normalize')\nvar Schema = require('./schema')\nvar DefinedInfo = require('./defined-info')\n\nmodule.exports = create\n\nfunction create(definition) {\n var space = definition.space\n var mustUseProperty = definition.mustUseProperty || []\n var attributes = definition.attributes || {}\n var props = definition.properties\n var transform = definition.transform\n var property = {}\n var normal = {}\n var prop\n var info\n\n for (prop in props) {\n info = new DefinedInfo(\n prop,\n transform(attributes, prop),\n props[prop],\n space\n )\n\n if (mustUseProperty.indexOf(prop) !== -1) {\n info.mustUseProperty = true\n }\n\n property[prop] = info\n\n normal[normalize(prop)] = prop\n normal[normalize(info.attribute)] = prop\n }\n\n return new Schema(property, normal, space)\n}\n","'use strict'\n\nvar Info = require('./info')\nvar types = require('./types')\n\nmodule.exports = DefinedInfo\n\nDefinedInfo.prototype = new Info()\nDefinedInfo.prototype.defined = true\n\nvar checks = [\n 'boolean',\n 'booleanish',\n 'overloadedBoolean',\n 'number',\n 'commaSeparated',\n 'spaceSeparated',\n 'commaOrSpaceSeparated'\n]\nvar checksLength = checks.length\n\nfunction DefinedInfo(property, attribute, mask, space) {\n var index = -1\n var check\n\n mark(this, 'space', space)\n\n Info.call(this, property, attribute)\n\n while (++index < checksLength) {\n check = checks[index]\n mark(this, check, (mask & types[check]) === types[check])\n }\n}\n\nfunction mark(values, key, value) {\n if (value) {\n values[key] = value\n }\n}\n","'use strict'\n\nmodule.exports = Info\n\nvar proto = Info.prototype\n\nproto.space = null\nproto.attribute = null\nproto.property = null\nproto.boolean = false\nproto.booleanish = false\nproto.overloadedBoolean = false\nproto.number = false\nproto.commaSeparated = false\nproto.spaceSeparated = false\nproto.commaOrSpaceSeparated = false\nproto.mustUseProperty = false\nproto.defined = false\n\nfunction Info(property, attribute) {\n this.property = property\n this.attribute = attribute\n}\n","'use strict'\n\nvar xtend = require('xtend')\nvar Schema = require('./schema')\n\nmodule.exports = merge\n\nfunction merge(definitions) {\n var length = definitions.length\n var property = []\n var normal = []\n var index = -1\n var info\n var space\n\n while (++index < length) {\n info = definitions[index]\n property.push(info.property)\n normal.push(info.normal)\n space = info.space\n }\n\n return new Schema(\n xtend.apply(null, property),\n xtend.apply(null, normal),\n space\n )\n}\n","'use strict'\n\nmodule.exports = Schema\n\nvar proto = Schema.prototype\n\nproto.space = null\nproto.normal = {}\nproto.property = {}\n\nfunction Schema(property, normal, space) {\n this.property = property\n this.normal = normal\n\n if (space) {\n this.space = space\n }\n}\n","'use strict'\n\nvar powers = 0\n\nexports.boolean = increment()\nexports.booleanish = increment()\nexports.overloadedBoolean = increment()\nexports.number = increment()\nexports.spaceSeparated = increment()\nexports.commaSeparated = increment()\nexports.commaOrSpaceSeparated = increment()\n\nfunction increment() {\n return Math.pow(2, ++powers)\n}\n","'use strict'\n\nvar create = require('./util/create')\n\nmodule.exports = create({\n space: 'xlink',\n transform: xlinkTransform,\n properties: {\n xLinkActuate: null,\n xLinkArcRole: null,\n xLinkHref: null,\n xLinkRole: null,\n xLinkShow: null,\n xLinkTitle: null,\n xLinkType: null\n }\n})\n\nfunction xlinkTransform(_, prop) {\n return 'xlink:' + prop.slice(5).toLowerCase()\n}\n","'use strict'\n\nvar create = require('./util/create')\n\nmodule.exports = create({\n space: 'xml',\n transform: xmlTransform,\n properties: {\n xmlLang: null,\n xmlBase: null,\n xmlSpace: null\n }\n})\n\nfunction xmlTransform(_, prop) {\n return 'xml:' + prop.slice(3).toLowerCase()\n}\n","'use strict'\n\nvar create = require('./util/create')\nvar caseInsensitiveTransform = require('./util/case-insensitive-transform')\n\nmodule.exports = create({\n space: 'xmlns',\n attributes: {\n xmlnsxlink: 'xmlns:xlink'\n },\n transform: caseInsensitiveTransform,\n properties: {\n xmlns: null,\n xmlnsXLink: null\n }\n})\n","'use strict'\n\nmodule.exports = normalize\n\nfunction normalize(value) {\n return value.toLowerCase()\n}\n","'use strict'\n\nexports.parse = parse\nexports.stringify = stringify\n\nvar empty = ''\nvar space = ' '\nvar whiteSpace = /[ \\t\\n\\r\\f]+/g\n\nfunction parse(value) {\n var input = String(value || empty).trim()\n return input === empty ? [] : input.split(whiteSpace)\n}\n\nfunction stringify(values) {\n return values.join(space).trim()\n}\n","// http://www.w3.org/TR/CSS21/grammar.html\n// https://github.com/visionmedia/css-parse/pull/49#issuecomment-30088027\nvar COMMENT_REGEX = /\\/\\*[^*]*\\*+([^/*][^*]*\\*+)*\\//g;\n\nvar NEWLINE_REGEX = /\\n/g;\nvar WHITESPACE_REGEX = /^\\s*/;\n\n// declaration\nvar PROPERTY_REGEX = /^(\\*?[-#/*\\\\\\w]+(\\[[0-9a-z_-]+\\])?)\\s*/;\nvar COLON_REGEX = /^:\\s*/;\nvar VALUE_REGEX = /^((?:'(?:\\\\'|.)*?'|\"(?:\\\\\"|.)*?\"|\\([^)]*?\\)|[^};])+)/;\nvar SEMICOLON_REGEX = /^[;\\s]*/;\n\n// https://developer.mozilla.org/docs/Web/JavaScript/Reference/Global_Objects/String/Trim#Polyfill\nvar TRIM_REGEX = /^\\s+|\\s+$/g;\n\n// strings\nvar NEWLINE = '\\n';\nvar FORWARD_SLASH = '/';\nvar ASTERISK = '*';\nvar EMPTY_STRING = '';\n\n// types\nvar TYPE_COMMENT = 'comment';\nvar TYPE_DECLARATION = 'declaration';\n\n/**\n * @param {String} style\n * @param {Object} [options]\n * @return {Object[]}\n * @throws {TypeError}\n * @throws {Error}\n */\nmodule.exports = function(style, options) {\n if (typeof style !== 'string') {\n throw new TypeError('First argument must be a string');\n }\n\n if (!style) return [];\n\n options = options || {};\n\n /**\n * Positional.\n */\n var lineno = 1;\n var column = 1;\n\n /**\n * Update lineno and column based on `str`.\n *\n * @param {String} str\n */\n function updatePosition(str) {\n var lines = str.match(NEWLINE_REGEX);\n if (lines) lineno += lines.length;\n var i = str.lastIndexOf(NEWLINE);\n column = ~i ? str.length - i : column + str.length;\n }\n\n /**\n * Mark position and patch `node.position`.\n *\n * @return {Function}\n */\n function position() {\n var start = { line: lineno, column: column };\n return function(node) {\n node.position = new Position(start);\n whitespace();\n return node;\n };\n }\n\n /**\n * Store position information for a node.\n *\n * @constructor\n * @property {Object} start\n * @property {Object} end\n * @property {undefined|String} source\n */\n function Position(start) {\n this.start = start;\n this.end = { line: lineno, column: column };\n this.source = options.source;\n }\n\n /**\n * Non-enumerable source string.\n */\n Position.prototype.content = style;\n\n var errorsList = [];\n\n /**\n * Error `msg`.\n *\n * @param {String} msg\n * @throws {Error}\n */\n function error(msg) {\n var err = new Error(\n options.source + ':' + lineno + ':' + column + ': ' + msg\n );\n err.reason = msg;\n err.filename = options.source;\n err.line = lineno;\n err.column = column;\n err.source = style;\n\n if (options.silent) {\n errorsList.push(err);\n } else {\n throw err;\n }\n }\n\n /**\n * Match `re` and return captures.\n *\n * @param {RegExp} re\n * @return {undefined|Array}\n */\n function match(re) {\n var m = re.exec(style);\n if (!m) return;\n var str = m[0];\n updatePosition(str);\n style = style.slice(str.length);\n return m;\n }\n\n /**\n * Parse whitespace.\n */\n function whitespace() {\n match(WHITESPACE_REGEX);\n }\n\n /**\n * Parse comments.\n *\n * @param {Object[]} [rules]\n * @return {Object[]}\n */\n function comments(rules) {\n var c;\n rules = rules || [];\n while ((c = comment())) {\n if (c !== false) {\n rules.push(c);\n }\n }\n return rules;\n }\n\n /**\n * Parse comment.\n *\n * @return {Object}\n * @throws {Error}\n */\n function comment() {\n var pos = position();\n if (FORWARD_SLASH != style.charAt(0) || ASTERISK != style.charAt(1)) return;\n\n var i = 2;\n while (\n EMPTY_STRING != style.charAt(i) &&\n (ASTERISK != style.charAt(i) || FORWARD_SLASH != style.charAt(i + 1))\n ) {\n ++i;\n }\n i += 2;\n\n if (EMPTY_STRING === style.charAt(i - 1)) {\n return error('End of comment missing');\n }\n\n var str = style.slice(2, i - 2);\n column += 2;\n updatePosition(str);\n style = style.slice(i);\n column += 2;\n\n return pos({\n type: TYPE_COMMENT,\n comment: str\n });\n }\n\n /**\n * Parse declaration.\n *\n * @return {Object}\n * @throws {Error}\n */\n function declaration() {\n var pos = position();\n\n // prop\n var prop = match(PROPERTY_REGEX);\n if (!prop) return;\n comment();\n\n // :\n if (!match(COLON_REGEX)) return error(\"property missing ':'\");\n\n // val\n var val = match(VALUE_REGEX);\n\n var ret = pos({\n type: TYPE_DECLARATION,\n property: trim(prop[0].replace(COMMENT_REGEX, EMPTY_STRING)),\n value: val\n ? trim(val[0].replace(COMMENT_REGEX, EMPTY_STRING))\n : EMPTY_STRING\n });\n\n // ;\n match(SEMICOLON_REGEX);\n\n return ret;\n }\n\n /**\n * Parse declarations.\n *\n * @return {Object[]}\n */\n function declarations() {\n var decls = [];\n\n comments(decls);\n\n // declarations\n var decl;\n while ((decl = declaration())) {\n if (decl !== false) {\n decls.push(decl);\n comments(decls);\n }\n }\n\n return decls;\n }\n\n whitespace();\n return declarations();\n};\n\n/**\n * Trim `str`.\n *\n * @param {String} str\n * @return {String}\n */\nfunction trim(str) {\n return str ? str.replace(TRIM_REGEX, EMPTY_STRING) : EMPTY_STRING;\n}\n","'use strict'\n\nmodule.exports = alphabetical\n\n// Check if the given character code, or the character code at the first\n// character, is alphabetical.\nfunction alphabetical(character) {\n var code = typeof character === 'string' ? character.charCodeAt(0) : character\n\n return (\n (code >= 97 && code <= 122) /* a-z */ ||\n (code >= 65 && code <= 90) /* A-Z */\n )\n}\n","'use strict'\n\nvar alphabetical = require('is-alphabetical')\nvar decimal = require('is-decimal')\n\nmodule.exports = alphanumerical\n\n// Check if the given character code, or the character code at the first\n// character, is alphanumerical.\nfunction alphanumerical(character) {\n return alphabetical(character) || decimal(character)\n}\n","/*!\n * Determine if an object is a Buffer\n *\n * @author Feross Aboukhadijeh <https://feross.org>\n * @license MIT\n */\n\nmodule.exports = function isBuffer (obj) {\n return obj != null && obj.constructor != null &&\n typeof obj.constructor.isBuffer === 'function' && obj.constructor.isBuffer(obj)\n}\n","'use strict'\n\nmodule.exports = decimal\n\n// Check if the given character code, or the character code at the first\n// character, is decimal.\nfunction decimal(character) {\n var code = typeof character === 'string' ? character.charCodeAt(0) : character\n\n return code >= 48 && code <= 57 /* 0-9 */\n}\n","'use strict'\n\nmodule.exports = hexadecimal\n\n// Check if the given character code, or the character code at the first\n// character, is hexadecimal.\nfunction hexadecimal(character) {\n var code = typeof character === 'string' ? character.charCodeAt(0) : character\n\n return (\n (code >= 97 /* a */ && code <= 102) /* z */ ||\n (code >= 65 /* A */ && code <= 70) /* Z */ ||\n (code >= 48 /* A */ && code <= 57) /* Z */\n )\n}\n","'use strict'\n\n/* eslint-env browser */\n\nvar el\n\nvar semicolon = 59 // ';'\n\nmodule.exports = decodeEntity\n\nfunction decodeEntity(characters) {\n var entity = '&' + characters + ';'\n var char\n\n el = el || document.createElement('i')\n el.innerHTML = entity\n char = el.textContent\n\n // Some entities do not require the closing semicolon (`¬` - for instance),\n // which leads to situations where parsing the assumed entity of ¬it; will\n // result in the string `¬it;`. When we encounter a trailing semicolon after\n // parsing and the entity to decode was not a semicolon (`;`), we can\n // assume that the matching was incomplete\n if (char.charCodeAt(char.length - 1) === semicolon && characters !== 'semi') {\n return false\n }\n\n // If the decoded string is equal to the input, the entity was not valid\n return char === entity ? false : char\n}\n","'use strict'\n\nvar legacy = require('character-entities-legacy')\nvar invalid = require('character-reference-invalid')\nvar decimal = require('is-decimal')\nvar hexadecimal = require('is-hexadecimal')\nvar alphanumerical = require('is-alphanumerical')\nvar decodeEntity = require('./decode-entity')\n\nmodule.exports = parseEntities\n\nvar own = {}.hasOwnProperty\nvar fromCharCode = String.fromCharCode\nvar noop = Function.prototype\n\n// Default settings.\nvar defaults = {\n warning: null,\n reference: null,\n text: null,\n warningContext: null,\n referenceContext: null,\n textContext: null,\n position: {},\n additional: null,\n attribute: false,\n nonTerminated: true\n}\n\n// Characters.\nvar tab = 9 // '\\t'\nvar lineFeed = 10 // '\\n'\nvar formFeed = 12 // '\\f'\nvar space = 32 // ' '\nvar ampersand = 38 // '&'\nvar semicolon = 59 // ';'\nvar lessThan = 60 // '<'\nvar equalsTo = 61 // '='\nvar numberSign = 35 // '#'\nvar uppercaseX = 88 // 'X'\nvar lowercaseX = 120 // 'x'\nvar replacementCharacter = 65533 // '�'\n\n// Reference types.\nvar name = 'named'\nvar hexa = 'hexadecimal'\nvar deci = 'decimal'\n\n// Map of bases.\nvar bases = {}\n\nbases[hexa] = 16\nbases[deci] = 10\n\n// Map of types to tests.\n// Each type of character reference accepts different characters.\n// This test is used to detect whether a reference has ended (as the semicolon\n// is not strictly needed).\nvar tests = {}\n\ntests[name] = alphanumerical\ntests[deci] = decimal\ntests[hexa] = hexadecimal\n\n// Warning types.\nvar namedNotTerminated = 1\nvar numericNotTerminated = 2\nvar namedEmpty = 3\nvar numericEmpty = 4\nvar namedUnknown = 5\nvar numericDisallowed = 6\nvar numericProhibited = 7\n\n// Warning messages.\nvar messages = {}\n\nmessages[namedNotTerminated] =\n 'Named character references must be terminated by a semicolon'\nmessages[numericNotTerminated] =\n 'Numeric character references must be terminated by a semicolon'\nmessages[namedEmpty] = 'Named character references cannot be empty'\nmessages[numericEmpty] = 'Numeric character references cannot be empty'\nmessages[namedUnknown] = 'Named character references must be known'\nmessages[numericDisallowed] =\n 'Numeric character references cannot be disallowed'\nmessages[numericProhibited] =\n 'Numeric character references cannot be outside the permissible Unicode range'\n\n// Wrap to ensure clean parameters are given to `parse`.\nfunction parseEntities(value, options) {\n var settings = {}\n var option\n var key\n\n if (!options) {\n options = {}\n }\n\n for (key in defaults) {\n option = options[key]\n settings[key] =\n option === null || option === undefined ? defaults[key] : option\n }\n\n if (settings.position.indent || settings.position.start) {\n settings.indent = settings.position.indent || []\n settings.position = settings.position.start\n }\n\n return parse(value, settings)\n}\n\n// Parse entities.\n// eslint-disable-next-line complexity\nfunction parse(value, settings) {\n var additional = settings.additional\n var nonTerminated = settings.nonTerminated\n var handleText = settings.text\n var handleReference = settings.reference\n var handleWarning = settings.warning\n var textContext = settings.textContext\n var referenceContext = settings.referenceContext\n var warningContext = settings.warningContext\n var pos = settings.position\n var indent = settings.indent || []\n var length = value.length\n var index = 0\n var lines = -1\n var column = pos.column || 1\n var line = pos.line || 1\n var queue = ''\n var result = []\n var entityCharacters\n var namedEntity\n var terminated\n var characters\n var character\n var reference\n var following\n var warning\n var reason\n var output\n var entity\n var begin\n var start\n var type\n var test\n var prev\n var next\n var diff\n var end\n\n if (typeof additional === 'string') {\n additional = additional.charCodeAt(0)\n }\n\n // Cache the current point.\n prev = now()\n\n // Wrap `handleWarning`.\n warning = handleWarning ? parseError : noop\n\n // Ensure the algorithm walks over the first character and the end\n // (inclusive).\n index--\n length++\n\n while (++index < length) {\n // If the previous character was a newline.\n if (character === lineFeed) {\n column = indent[lines] || 1\n }\n\n character = value.charCodeAt(index)\n\n if (character === ampersand) {\n following = value.charCodeAt(index + 1)\n\n // The behaviour depends on the identity of the next character.\n if (\n following === tab ||\n following === lineFeed ||\n following === formFeed ||\n following === space ||\n following === ampersand ||\n following === lessThan ||\n following !== following ||\n (additional && following === additional)\n ) {\n // Not a character reference.\n // No characters are consumed, and nothing is returned.\n // This is not an error, either.\n queue += fromCharCode(character)\n column++\n\n continue\n }\n\n start = index + 1\n begin = start\n end = start\n\n if (following === numberSign) {\n // Numerical entity.\n end = ++begin\n\n // The behaviour further depends on the next character.\n following = value.charCodeAt(end)\n\n if (following === uppercaseX || following === lowercaseX) {\n // ASCII hex digits.\n type = hexa\n end = ++begin\n } else {\n // ASCII digits.\n type = deci\n }\n } else {\n // Named entity.\n type = name\n }\n\n entityCharacters = ''\n entity = ''\n characters = ''\n test = tests[type]\n end--\n\n while (++end < length) {\n following = value.charCodeAt(end)\n\n if (!test(following)) {\n break\n }\n\n characters += fromCharCode(following)\n\n // Check if we can match a legacy named reference.\n // If so, we cache that as the last viable named reference.\n // This ensures we do not need to walk backwards later.\n if (type === name && own.call(legacy, characters)) {\n entityCharacters = characters\n entity = legacy[characters]\n }\n }\n\n terminated = value.charCodeAt(end) === semicolon\n\n if (terminated) {\n end++\n\n namedEntity = type === name ? decodeEntity(characters) : false\n\n if (namedEntity) {\n entityCharacters = characters\n entity = namedEntity\n }\n }\n\n diff = 1 + end - start\n\n if (!terminated && !nonTerminated) {\n // Empty.\n } else if (!characters) {\n // An empty (possible) entity is valid, unless it’s numeric (thus an\n // ampersand followed by an octothorp).\n if (type !== name) {\n warning(numericEmpty, diff)\n }\n } else if (type === name) {\n // An ampersand followed by anything unknown, and not terminated, is\n // invalid.\n if (terminated && !entity) {\n warning(namedUnknown, 1)\n } else {\n // If theres something after an entity name which is not known, cap\n // the reference.\n if (entityCharacters !== characters) {\n end = begin + entityCharacters.length\n diff = 1 + end - begin\n terminated = false\n }\n\n // If the reference is not terminated, warn.\n if (!terminated) {\n reason = entityCharacters ? namedNotTerminated : namedEmpty\n\n if (settings.attribute) {\n following = value.charCodeAt(end)\n\n if (following === equalsTo) {\n warning(reason, diff)\n entity = null\n } else if (alphanumerical(following)) {\n entity = null\n } else {\n warning(reason, diff)\n }\n } else {\n warning(reason, diff)\n }\n }\n }\n\n reference = entity\n } else {\n if (!terminated) {\n // All non-terminated numeric entities are not rendered, and trigger a\n // warning.\n warning(numericNotTerminated, diff)\n }\n\n // When terminated and number, parse as either hexadecimal or decimal.\n reference = parseInt(characters, bases[type])\n\n // Trigger a warning when the parsed number is prohibited, and replace\n // with replacement character.\n if (prohibited(reference)) {\n warning(numericProhibited, diff)\n reference = fromCharCode(replacementCharacter)\n } else if (reference in invalid) {\n // Trigger a warning when the parsed number is disallowed, and replace\n // by an alternative.\n warning(numericDisallowed, diff)\n reference = invalid[reference]\n } else {\n // Parse the number.\n output = ''\n\n // Trigger a warning when the parsed number should not be used.\n if (disallowed(reference)) {\n warning(numericDisallowed, diff)\n }\n\n // Stringify the number.\n if (reference > 0xffff) {\n reference -= 0x10000\n output += fromCharCode((reference >>> (10 & 0x3ff)) | 0xd800)\n reference = 0xdc00 | (reference & 0x3ff)\n }\n\n reference = output + fromCharCode(reference)\n }\n }\n\n // Found it!\n // First eat the queued characters as normal text, then eat an entity.\n if (reference) {\n flush()\n\n prev = now()\n index = end - 1\n column += end - start + 1\n result.push(reference)\n next = now()\n next.offset++\n\n if (handleReference) {\n handleReference.call(\n referenceContext,\n reference,\n {start: prev, end: next},\n value.slice(start - 1, end)\n )\n }\n\n prev = next\n } else {\n // If we could not find a reference, queue the checked characters (as\n // normal characters), and move the pointer to their end.\n // This is possible because we can be certain neither newlines nor\n // ampersands are included.\n characters = value.slice(start - 1, end)\n queue += characters\n column += characters.length\n index = end - 1\n }\n } else {\n // Handle anything other than an ampersand, including newlines and EOF.\n if (\n character === 10 // Line feed\n ) {\n line++\n lines++\n column = 0\n }\n\n if (character === character) {\n queue += fromCharCode(character)\n column++\n } else {\n flush()\n }\n }\n }\n\n // Return the reduced nodes.\n return result.join('')\n\n // Get current position.\n function now() {\n return {\n line: line,\n column: column,\n offset: index + (pos.offset || 0)\n }\n }\n\n // “Throw” a parse-error: a warning.\n function parseError(code, offset) {\n var position = now()\n\n position.column += offset\n position.offset += offset\n\n handleWarning.call(warningContext, messages[code], position, code)\n }\n\n // Flush `queue` (normal text).\n // Macro invoked before each entity and at the end of `value`.\n // Does nothing when `queue` is empty.\n function flush() {\n if (queue) {\n result.push(queue)\n\n if (handleText) {\n handleText.call(textContext, queue, {start: prev, end: now()})\n }\n\n queue = ''\n }\n }\n}\n\n// Check if `character` is outside the permissible unicode range.\nfunction prohibited(code) {\n return (code >= 0xd800 && code <= 0xdfff) || code > 0x10ffff\n}\n\n// Check if `character` is disallowed.\nfunction disallowed(code) {\n return (\n (code >= 0x0001 && code <= 0x0008) ||\n code === 0x000b ||\n (code >= 0x000d && code <= 0x001f) ||\n (code >= 0x007f && code <= 0x009f) ||\n (code >= 0xfdd0 && code <= 0xfdef) ||\n (code & 0xffff) === 0xffff ||\n (code & 0xffff) === 0xfffe\n )\n}\n","'use strict';\n\nconst { DOCUMENT_MODE } = require('./html');\n\n//Const\nconst VALID_DOCTYPE_NAME = 'html';\nconst VALID_SYSTEM_ID = 'about:legacy-compat';\nconst QUIRKS_MODE_SYSTEM_ID = 'http://www.ibm.com/data/dtd/v11/ibmxhtml1-transitional.dtd';\n\nconst QUIRKS_MODE_PUBLIC_ID_PREFIXES = [\n '+//silmaril//dtd html pro v0r11 19970101//',\n '-//as//dtd html 3.0 aswedit + extensions//',\n '-//advasoft ltd//dtd html 3.0 aswedit + extensions//',\n '-//ietf//dtd html 2.0 level 1//',\n '-//ietf//dtd html 2.0 level 2//',\n '-//ietf//dtd html 2.0 strict level 1//',\n '-//ietf//dtd html 2.0 strict level 2//',\n '-//ietf//dtd html 2.0 strict//',\n '-//ietf//dtd html 2.0//',\n '-//ietf//dtd html 2.1e//',\n '-//ietf//dtd html 3.0//',\n '-//ietf//dtd html 3.2 final//',\n '-//ietf//dtd html 3.2//',\n '-//ietf//dtd html 3//',\n '-//ietf//dtd html level 0//',\n '-//ietf//dtd html level 1//',\n '-//ietf//dtd html level 2//',\n '-//ietf//dtd html level 3//',\n '-//ietf//dtd html strict level 0//',\n '-//ietf//dtd html strict level 1//',\n '-//ietf//dtd html strict level 2//',\n '-//ietf//dtd html strict level 3//',\n '-//ietf//dtd html strict//',\n '-//ietf//dtd html//',\n '-//metrius//dtd metrius presentational//',\n '-//microsoft//dtd internet explorer 2.0 html strict//',\n '-//microsoft//dtd internet explorer 2.0 html//',\n '-//microsoft//dtd internet explorer 2.0 tables//',\n '-//microsoft//dtd internet explorer 3.0 html strict//',\n '-//microsoft//dtd internet explorer 3.0 html//',\n '-//microsoft//dtd internet explorer 3.0 tables//',\n '-//netscape comm. corp.//dtd html//',\n '-//netscape comm. corp.//dtd strict html//',\n \"-//o'reilly and associates//dtd html 2.0//\",\n \"-//o'reilly and associates//dtd html extended 1.0//\",\n \"-//o'reilly and associates//dtd html extended relaxed 1.0//\",\n '-//sq//dtd html 2.0 hotmetal + extensions//',\n '-//softquad software//dtd hotmetal pro 6.0::19990601::extensions to html 4.0//',\n '-//softquad//dtd hotmetal pro 4.0::19971010::extensions to html 4.0//',\n '-//spyglass//dtd html 2.0 extended//',\n '-//sun microsystems corp.//dtd hotjava html//',\n '-//sun microsystems corp.//dtd hotjava strict html//',\n '-//w3c//dtd html 3 1995-03-24//',\n '-//w3c//dtd html 3.2 draft//',\n '-//w3c//dtd html 3.2 final//',\n '-//w3c//dtd html 3.2//',\n '-//w3c//dtd html 3.2s draft//',\n '-//w3c//dtd html 4.0 frameset//',\n '-//w3c//dtd html 4.0 transitional//',\n '-//w3c//dtd html experimental 19960712//',\n '-//w3c//dtd html experimental 970421//',\n '-//w3c//dtd w3 html//',\n '-//w3o//dtd w3 html 3.0//',\n '-//webtechs//dtd mozilla html 2.0//',\n '-//webtechs//dtd mozilla html//'\n];\n\nconst QUIRKS_MODE_NO_SYSTEM_ID_PUBLIC_ID_PREFIXES = QUIRKS_MODE_PUBLIC_ID_PREFIXES.concat([\n '-//w3c//dtd html 4.01 frameset//',\n '-//w3c//dtd html 4.01 transitional//'\n]);\n\nconst QUIRKS_MODE_PUBLIC_IDS = ['-//w3o//dtd w3 html strict 3.0//en//', '-/w3c/dtd html 4.0 transitional/en', 'html'];\nconst LIMITED_QUIRKS_PUBLIC_ID_PREFIXES = ['-//w3c//dtd xhtml 1.0 frameset//', '-//w3c//dtd xhtml 1.0 transitional//'];\n\nconst LIMITED_QUIRKS_WITH_SYSTEM_ID_PUBLIC_ID_PREFIXES = LIMITED_QUIRKS_PUBLIC_ID_PREFIXES.concat([\n '-//w3c//dtd html 4.01 frameset//',\n '-//w3c//dtd html 4.01 transitional//'\n]);\n\n//Utils\nfunction enquoteDoctypeId(id) {\n const quote = id.indexOf('\"') !== -1 ? \"'\" : '\"';\n\n return quote + id + quote;\n}\n\nfunction hasPrefix(publicId, prefixes) {\n for (let i = 0; i < prefixes.length; i++) {\n if (publicId.indexOf(prefixes[i]) === 0) {\n return true;\n }\n }\n\n return false;\n}\n\n//API\nexports.isConforming = function(token) {\n return (\n token.name === VALID_DOCTYPE_NAME &&\n token.publicId === null &&\n (token.systemId === null || token.systemId === VALID_SYSTEM_ID)\n );\n};\n\nexports.getDocumentMode = function(token) {\n if (token.name !== VALID_DOCTYPE_NAME) {\n return DOCUMENT_MODE.QUIRKS;\n }\n\n const systemId = token.systemId;\n\n if (systemId && systemId.toLowerCase() === QUIRKS_MODE_SYSTEM_ID) {\n return DOCUMENT_MODE.QUIRKS;\n }\n\n let publicId = token.publicId;\n\n if (publicId !== null) {\n publicId = publicId.toLowerCase();\n\n if (QUIRKS_MODE_PUBLIC_IDS.indexOf(publicId) > -1) {\n return DOCUMENT_MODE.QUIRKS;\n }\n\n let prefixes = systemId === null ? QUIRKS_MODE_NO_SYSTEM_ID_PUBLIC_ID_PREFIXES : QUIRKS_MODE_PUBLIC_ID_PREFIXES;\n\n if (hasPrefix(publicId, prefixes)) {\n return DOCUMENT_MODE.QUIRKS;\n }\n\n prefixes =\n systemId === null ? LIMITED_QUIRKS_PUBLIC_ID_PREFIXES : LIMITED_QUIRKS_WITH_SYSTEM_ID_PUBLIC_ID_PREFIXES;\n\n if (hasPrefix(publicId, prefixes)) {\n return DOCUMENT_MODE.LIMITED_QUIRKS;\n }\n }\n\n return DOCUMENT_MODE.NO_QUIRKS;\n};\n\nexports.serializeContent = function(name, publicId, systemId) {\n let str = '!DOCTYPE ';\n\n if (name) {\n str += name;\n }\n\n if (publicId) {\n str += ' PUBLIC ' + enquoteDoctypeId(publicId);\n } else if (systemId) {\n str += ' SYSTEM';\n }\n\n if (systemId !== null) {\n str += ' ' + enquoteDoctypeId(systemId);\n }\n\n return str;\n};\n","'use strict';\n\nmodule.exports = {\n controlCharacterInInputStream: 'control-character-in-input-stream',\n noncharacterInInputStream: 'noncharacter-in-input-stream',\n surrogateInInputStream: 'surrogate-in-input-stream',\n nonVoidHtmlElementStartTagWithTrailingSolidus: 'non-void-html-element-start-tag-with-trailing-solidus',\n endTagWithAttributes: 'end-tag-with-attributes',\n endTagWithTrailingSolidus: 'end-tag-with-trailing-solidus',\n unexpectedSolidusInTag: 'unexpected-solidus-in-tag',\n unexpectedNullCharacter: 'unexpected-null-character',\n unexpectedQuestionMarkInsteadOfTagName: 'unexpected-question-mark-instead-of-tag-name',\n invalidFirstCharacterOfTagName: 'invalid-first-character-of-tag-name',\n unexpectedEqualsSignBeforeAttributeName: 'unexpected-equals-sign-before-attribute-name',\n missingEndTagName: 'missing-end-tag-name',\n unexpectedCharacterInAttributeName: 'unexpected-character-in-attribute-name',\n unknownNamedCharacterReference: 'unknown-named-character-reference',\n missingSemicolonAfterCharacterReference: 'missing-semicolon-after-character-reference',\n unexpectedCharacterAfterDoctypeSystemIdentifier: 'unexpected-character-after-doctype-system-identifier',\n unexpectedCharacterInUnquotedAttributeValue: 'unexpected-character-in-unquoted-attribute-value',\n eofBeforeTagName: 'eof-before-tag-name',\n eofInTag: 'eof-in-tag',\n missingAttributeValue: 'missing-attribute-value',\n missingWhitespaceBetweenAttributes: 'missing-whitespace-between-attributes',\n missingWhitespaceAfterDoctypePublicKeyword: 'missing-whitespace-after-doctype-public-keyword',\n missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers:\n 'missing-whitespace-between-doctype-public-and-system-identifiers',\n missingWhitespaceAfterDoctypeSystemKeyword: 'missing-whitespace-after-doctype-system-keyword',\n missingQuoteBeforeDoctypePublicIdentifier: 'missing-quote-before-doctype-public-identifier',\n missingQuoteBeforeDoctypeSystemIdentifier: 'missing-quote-before-doctype-system-identifier',\n missingDoctypePublicIdentifier: 'missing-doctype-public-identifier',\n missingDoctypeSystemIdentifier: 'missing-doctype-system-identifier',\n abruptDoctypePublicIdentifier: 'abrupt-doctype-public-identifier',\n abruptDoctypeSystemIdentifier: 'abrupt-doctype-system-identifier',\n cdataInHtmlContent: 'cdata-in-html-content',\n incorrectlyOpenedComment: 'incorrectly-opened-comment',\n eofInScriptHtmlCommentLikeText: 'eof-in-script-html-comment-like-text',\n eofInDoctype: 'eof-in-doctype',\n nestedComment: 'nested-comment',\n abruptClosingOfEmptyComment: 'abrupt-closing-of-empty-comment',\n eofInComment: 'eof-in-comment',\n incorrectlyClosedComment: 'incorrectly-closed-comment',\n eofInCdata: 'eof-in-cdata',\n absenceOfDigitsInNumericCharacterReference: 'absence-of-digits-in-numeric-character-reference',\n nullCharacterReference: 'null-character-reference',\n surrogateCharacterReference: 'surrogate-character-reference',\n characterReferenceOutsideUnicodeRange: 'character-reference-outside-unicode-range',\n controlCharacterReference: 'control-character-reference',\n noncharacterCharacterReference: 'noncharacter-character-reference',\n missingWhitespaceBeforeDoctypeName: 'missing-whitespace-before-doctype-name',\n missingDoctypeName: 'missing-doctype-name',\n invalidCharacterSequenceAfterDoctypeName: 'invalid-character-sequence-after-doctype-name',\n duplicateAttribute: 'duplicate-attribute',\n nonConformingDoctype: 'non-conforming-doctype',\n missingDoctype: 'missing-doctype',\n misplacedDoctype: 'misplaced-doctype',\n endTagWithoutMatchingOpenElement: 'end-tag-without-matching-open-element',\n closingOfElementWithOpenChildElements: 'closing-of-element-with-open-child-elements',\n disallowedContentInNoscriptInHead: 'disallowed-content-in-noscript-in-head',\n openElementsLeftAfterEof: 'open-elements-left-after-eof',\n abandonedHeadElementChild: 'abandoned-head-element-child',\n misplacedStartTagForHeadElement: 'misplaced-start-tag-for-head-element',\n nestedNoscriptInHead: 'nested-noscript-in-head',\n eofInElementThatCanContainOnlyText: 'eof-in-element-that-can-contain-only-text'\n};\n","'use strict';\n\nconst Tokenizer = require('../tokenizer');\nconst HTML = require('./html');\n\n//Aliases\nconst $ = HTML.TAG_NAMES;\nconst NS = HTML.NAMESPACES;\nconst ATTRS = HTML.ATTRS;\n\n//MIME types\nconst MIME_TYPES = {\n TEXT_HTML: 'text/html',\n APPLICATION_XML: 'application/xhtml+xml'\n};\n\n//Attributes\nconst DEFINITION_URL_ATTR = 'definitionurl';\nconst ADJUSTED_DEFINITION_URL_ATTR = 'definitionURL';\nconst SVG_ATTRS_ADJUSTMENT_MAP = {\n attributename: 'attributeName',\n attributetype: 'attributeType',\n basefrequency: 'baseFrequency',\n baseprofile: 'baseProfile',\n calcmode: 'calcMode',\n clippathunits: 'clipPathUnits',\n diffuseconstant: 'diffuseConstant',\n edgemode: 'edgeMode',\n filterunits: 'filterUnits',\n glyphref: 'glyphRef',\n gradienttransform: 'gradientTransform',\n gradientunits: 'gradientUnits',\n kernelmatrix: 'kernelMatrix',\n kernelunitlength: 'kernelUnitLength',\n keypoints: 'keyPoints',\n keysplines: 'keySplines',\n keytimes: 'keyTimes',\n lengthadjust: 'lengthAdjust',\n limitingconeangle: 'limitingConeAngle',\n markerheight: 'markerHeight',\n markerunits: 'markerUnits',\n markerwidth: 'markerWidth',\n maskcontentunits: 'maskContentUnits',\n maskunits: 'maskUnits',\n numoctaves: 'numOctaves',\n pathlength: 'pathLength',\n patterncontentunits: 'patternContentUnits',\n patterntransform: 'patternTransform',\n patternunits: 'patternUnits',\n pointsatx: 'pointsAtX',\n pointsaty: 'pointsAtY',\n pointsatz: 'pointsAtZ',\n preservealpha: 'preserveAlpha',\n preserveaspectratio: 'preserveAspectRatio',\n primitiveunits: 'primitiveUnits',\n refx: 'refX',\n refy: 'refY',\n repeatcount: 'repeatCount',\n repeatdur: 'repeatDur',\n requiredextensions: 'requiredExtensions',\n requiredfeatures: 'requiredFeatures',\n specularconstant: 'specularConstant',\n specularexponent: 'specularExponent',\n spreadmethod: 'spreadMethod',\n startoffset: 'startOffset',\n stddeviation: 'stdDeviation',\n stitchtiles: 'stitchTiles',\n surfacescale: 'surfaceScale',\n systemlanguage: 'systemLanguage',\n tablevalues: 'tableValues',\n targetx: 'targetX',\n targety: 'targetY',\n textlength: 'textLength',\n viewbox: 'viewBox',\n viewtarget: 'viewTarget',\n xchannelselector: 'xChannelSelector',\n ychannelselector: 'yChannelSelector',\n zoomandpan: 'zoomAndPan'\n};\n\nconst XML_ATTRS_ADJUSTMENT_MAP = {\n 'xlink:actuate': { prefix: 'xlink', name: 'actuate', namespace: NS.XLINK },\n 'xlink:arcrole': { prefix: 'xlink', name: 'arcrole', namespace: NS.XLINK },\n 'xlink:href': { prefix: 'xlink', name: 'href', namespace: NS.XLINK },\n 'xlink:role': { prefix: 'xlink', name: 'role', namespace: NS.XLINK },\n 'xlink:show': { prefix: 'xlink', name: 'show', namespace: NS.XLINK },\n 'xlink:title': { prefix: 'xlink', name: 'title', namespace: NS.XLINK },\n 'xlink:type': { prefix: 'xlink', name: 'type', namespace: NS.XLINK },\n 'xml:base': { prefix: 'xml', name: 'base', namespace: NS.XML },\n 'xml:lang': { prefix: 'xml', name: 'lang', namespace: NS.XML },\n 'xml:space': { prefix: 'xml', name: 'space', namespace: NS.XML },\n xmlns: { prefix: '', name: 'xmlns', namespace: NS.XMLNS },\n 'xmlns:xlink': { prefix: 'xmlns', name: 'xlink', namespace: NS.XMLNS }\n};\n\n//SVG tag names adjustment map\nconst SVG_TAG_NAMES_ADJUSTMENT_MAP = (exports.SVG_TAG_NAMES_ADJUSTMENT_MAP = {\n altglyph: 'altGlyph',\n altglyphdef: 'altGlyphDef',\n altglyphitem: 'altGlyphItem',\n animatecolor: 'animateColor',\n animatemotion: 'animateMotion',\n animatetransform: 'animateTransform',\n clippath: 'clipPath',\n feblend: 'feBlend',\n fecolormatrix: 'feColorMatrix',\n fecomponenttransfer: 'feComponentTransfer',\n fecomposite: 'feComposite',\n feconvolvematrix: 'feConvolveMatrix',\n fediffuselighting: 'feDiffuseLighting',\n fedisplacementmap: 'feDisplacementMap',\n fedistantlight: 'feDistantLight',\n feflood: 'feFlood',\n fefunca: 'feFuncA',\n fefuncb: 'feFuncB',\n fefuncg: 'feFuncG',\n fefuncr: 'feFuncR',\n fegaussianblur: 'feGaussianBlur',\n feimage: 'feImage',\n femerge: 'feMerge',\n femergenode: 'feMergeNode',\n femorphology: 'feMorphology',\n feoffset: 'feOffset',\n fepointlight: 'fePointLight',\n fespecularlighting: 'feSpecularLighting',\n fespotlight: 'feSpotLight',\n fetile: 'feTile',\n feturbulence: 'feTurbulence',\n foreignobject: 'foreignObject',\n glyphref: 'glyphRef',\n lineargradient: 'linearGradient',\n radialgradient: 'radialGradient',\n textpath: 'textPath'\n});\n\n//Tags that causes exit from foreign content\nconst EXITS_FOREIGN_CONTENT = {\n [$.B]: true,\n [$.BIG]: true,\n [$.BLOCKQUOTE]: true,\n [$.BODY]: true,\n [$.BR]: true,\n [$.CENTER]: true,\n [$.CODE]: true,\n [$.DD]: true,\n [$.DIV]: true,\n [$.DL]: true,\n [$.DT]: true,\n [$.EM]: true,\n [$.EMBED]: true,\n [$.H1]: true,\n [$.H2]: true,\n [$.H3]: true,\n [$.H4]: true,\n [$.H5]: true,\n [$.H6]: true,\n [$.HEAD]: true,\n [$.HR]: true,\n [$.I]: true,\n [$.IMG]: true,\n [$.LI]: true,\n [$.LISTING]: true,\n [$.MENU]: true,\n [$.META]: true,\n [$.NOBR]: true,\n [$.OL]: true,\n [$.P]: true,\n [$.PRE]: true,\n [$.RUBY]: true,\n [$.S]: true,\n [$.SMALL]: true,\n [$.SPAN]: true,\n [$.STRONG]: true,\n [$.STRIKE]: true,\n [$.SUB]: true,\n [$.SUP]: true,\n [$.TABLE]: true,\n [$.TT]: true,\n [$.U]: true,\n [$.UL]: true,\n [$.VAR]: true\n};\n\n//Check exit from foreign content\nexports.causesExit = function(startTagToken) {\n const tn = startTagToken.tagName;\n const isFontWithAttrs =\n tn === $.FONT &&\n (Tokenizer.getTokenAttr(startTagToken, ATTRS.COLOR) !== null ||\n Tokenizer.getTokenAttr(startTagToken, ATTRS.SIZE) !== null ||\n Tokenizer.getTokenAttr(startTagToken, ATTRS.FACE) !== null);\n\n return isFontWithAttrs ? true : EXITS_FOREIGN_CONTENT[tn];\n};\n\n//Token adjustments\nexports.adjustTokenMathMLAttrs = function(token) {\n for (let i = 0; i < token.attrs.length; i++) {\n if (token.attrs[i].name === DEFINITION_URL_ATTR) {\n token.attrs[i].name = ADJUSTED_DEFINITION_URL_ATTR;\n break;\n }\n }\n};\n\nexports.adjustTokenSVGAttrs = function(token) {\n for (let i = 0; i < token.attrs.length; i++) {\n const adjustedAttrName = SVG_ATTRS_ADJUSTMENT_MAP[token.attrs[i].name];\n\n if (adjustedAttrName) {\n token.attrs[i].name = adjustedAttrName;\n }\n }\n};\n\nexports.adjustTokenXMLAttrs = function(token) {\n for (let i = 0; i < token.attrs.length; i++) {\n const adjustedAttrEntry = XML_ATTRS_ADJUSTMENT_MAP[token.attrs[i].name];\n\n if (adjustedAttrEntry) {\n token.attrs[i].prefix = adjustedAttrEntry.prefix;\n token.attrs[i].name = adjustedAttrEntry.name;\n token.attrs[i].namespace = adjustedAttrEntry.namespace;\n }\n }\n};\n\nexports.adjustTokenSVGTagName = function(token) {\n const adjustedTagName = SVG_TAG_NAMES_ADJUSTMENT_MAP[token.tagName];\n\n if (adjustedTagName) {\n token.tagName = adjustedTagName;\n }\n};\n\n//Integration points\nfunction isMathMLTextIntegrationPoint(tn, ns) {\n return ns === NS.MATHML && (tn === $.MI || tn === $.MO || tn === $.MN || tn === $.MS || tn === $.MTEXT);\n}\n\nfunction isHtmlIntegrationPoint(tn, ns, attrs) {\n if (ns === NS.MATHML && tn === $.ANNOTATION_XML) {\n for (let i = 0; i < attrs.length; i++) {\n if (attrs[i].name === ATTRS.ENCODING) {\n const value = attrs[i].value.toLowerCase();\n\n return value === MIME_TYPES.TEXT_HTML || value === MIME_TYPES.APPLICATION_XML;\n }\n }\n }\n\n return ns === NS.SVG && (tn === $.FOREIGN_OBJECT || tn === $.DESC || tn === $.TITLE);\n}\n\nexports.isIntegrationPoint = function(tn, ns, attrs, foreignNS) {\n if ((!foreignNS || foreignNS === NS.HTML) && isHtmlIntegrationPoint(tn, ns, attrs)) {\n return true;\n }\n\n if ((!foreignNS || foreignNS === NS.MATHML) && isMathMLTextIntegrationPoint(tn, ns)) {\n return true;\n }\n\n return false;\n};\n","'use strict';\n\nconst NS = (exports.NAMESPACES = {\n HTML: 'http://www.w3.org/1999/xhtml',\n MATHML: 'http://www.w3.org/1998/Math/MathML',\n SVG: 'http://www.w3.org/2000/svg',\n XLINK: 'http://www.w3.org/1999/xlink',\n XML: 'http://www.w3.org/XML/1998/namespace',\n XMLNS: 'http://www.w3.org/2000/xmlns/'\n});\n\nexports.ATTRS = {\n TYPE: 'type',\n ACTION: 'action',\n ENCODING: 'encoding',\n PROMPT: 'prompt',\n NAME: 'name',\n COLOR: 'color',\n FACE: 'face',\n SIZE: 'size'\n};\n\nexports.DOCUMENT_MODE = {\n NO_QUIRKS: 'no-quirks',\n QUIRKS: 'quirks',\n LIMITED_QUIRKS: 'limited-quirks'\n};\n\nconst $ = (exports.TAG_NAMES = {\n A: 'a',\n ADDRESS: 'address',\n ANNOTATION_XML: 'annotation-xml',\n APPLET: 'applet',\n AREA: 'area',\n ARTICLE: 'article',\n ASIDE: 'aside',\n\n B: 'b',\n BASE: 'base',\n BASEFONT: 'basefont',\n BGSOUND: 'bgsound',\n BIG: 'big',\n BLOCKQUOTE: 'blockquote',\n BODY: 'body',\n BR: 'br',\n BUTTON: 'button',\n\n CAPTION: 'caption',\n CENTER: 'center',\n CODE: 'code',\n COL: 'col',\n COLGROUP: 'colgroup',\n\n DD: 'dd',\n DESC: 'desc',\n DETAILS: 'details',\n DIALOG: 'dialog',\n DIR: 'dir',\n DIV: 'div',\n DL: 'dl',\n DT: 'dt',\n\n EM: 'em',\n EMBED: 'embed',\n\n FIELDSET: 'fieldset',\n FIGCAPTION: 'figcaption',\n FIGURE: 'figure',\n FONT: 'font',\n FOOTER: 'footer',\n FOREIGN_OBJECT: 'foreignObject',\n FORM: 'form',\n FRAME: 'frame',\n FRAMESET: 'frameset',\n\n H1: 'h1',\n H2: 'h2',\n H3: 'h3',\n H4: 'h4',\n H5: 'h5',\n H6: 'h6',\n HEAD: 'head',\n HEADER: 'header',\n HGROUP: 'hgroup',\n HR: 'hr',\n HTML: 'html',\n\n I: 'i',\n IMG: 'img',\n IMAGE: 'image',\n INPUT: 'input',\n IFRAME: 'iframe',\n\n KEYGEN: 'keygen',\n\n LABEL: 'label',\n LI: 'li',\n LINK: 'link',\n LISTING: 'listing',\n\n MAIN: 'main',\n MALIGNMARK: 'malignmark',\n MARQUEE: 'marquee',\n MATH: 'math',\n MENU: 'menu',\n META: 'meta',\n MGLYPH: 'mglyph',\n MI: 'mi',\n MO: 'mo',\n MN: 'mn',\n MS: 'ms',\n MTEXT: 'mtext',\n\n NAV: 'nav',\n NOBR: 'nobr',\n NOFRAMES: 'noframes',\n NOEMBED: 'noembed',\n NOSCRIPT: 'noscript',\n\n OBJECT: 'object',\n OL: 'ol',\n OPTGROUP: 'optgroup',\n OPTION: 'option',\n\n P: 'p',\n PARAM: 'param',\n PLAINTEXT: 'plaintext',\n PRE: 'pre',\n\n RB: 'rb',\n RP: 'rp',\n RT: 'rt',\n RTC: 'rtc',\n RUBY: 'ruby',\n\n S: 's',\n SCRIPT: 'script',\n SECTION: 'section',\n SELECT: 'select',\n SOURCE: 'source',\n SMALL: 'small',\n SPAN: 'span',\n STRIKE: 'strike',\n STRONG: 'strong',\n STYLE: 'style',\n SUB: 'sub',\n SUMMARY: 'summary',\n SUP: 'sup',\n\n TABLE: 'table',\n TBODY: 'tbody',\n TEMPLATE: 'template',\n TEXTAREA: 'textarea',\n TFOOT: 'tfoot',\n TD: 'td',\n TH: 'th',\n THEAD: 'thead',\n TITLE: 'title',\n TR: 'tr',\n TRACK: 'track',\n TT: 'tt',\n\n U: 'u',\n UL: 'ul',\n\n SVG: 'svg',\n\n VAR: 'var',\n\n WBR: 'wbr',\n\n XMP: 'xmp'\n});\n\nexports.SPECIAL_ELEMENTS = {\n [NS.HTML]: {\n [$.ADDRESS]: true,\n [$.APPLET]: true,\n [$.AREA]: true,\n [$.ARTICLE]: true,\n [$.ASIDE]: true,\n [$.BASE]: true,\n [$.BASEFONT]: true,\n [$.BGSOUND]: true,\n [$.BLOCKQUOTE]: true,\n [$.BODY]: true,\n [$.BR]: true,\n [$.BUTTON]: true,\n [$.CAPTION]: true,\n [$.CENTER]: true,\n [$.COL]: true,\n [$.COLGROUP]: true,\n [$.DD]: true,\n [$.DETAILS]: true,\n [$.DIR]: true,\n [$.DIV]: true,\n [$.DL]: true,\n [$.DT]: true,\n [$.EMBED]: true,\n [$.FIELDSET]: true,\n [$.FIGCAPTION]: true,\n [$.FIGURE]: true,\n [$.FOOTER]: true,\n [$.FORM]: true,\n [$.FRAME]: true,\n [$.FRAMESET]: true,\n [$.H1]: true,\n [$.H2]: true,\n [$.H3]: true,\n [$.H4]: true,\n [$.H5]: true,\n [$.H6]: true,\n [$.HEAD]: true,\n [$.HEADER]: true,\n [$.HGROUP]: true,\n [$.HR]: true,\n [$.HTML]: true,\n [$.IFRAME]: true,\n [$.IMG]: true,\n [$.INPUT]: true,\n [$.LI]: true,\n [$.LINK]: true,\n [$.LISTING]: true,\n [$.MAIN]: true,\n [$.MARQUEE]: true,\n [$.MENU]: true,\n [$.META]: true,\n [$.NAV]: true,\n [$.NOEMBED]: true,\n [$.NOFRAMES]: true,\n [$.NOSCRIPT]: true,\n [$.OBJECT]: true,\n [$.OL]: true,\n [$.P]: true,\n [$.PARAM]: true,\n [$.PLAINTEXT]: true,\n [$.PRE]: true,\n [$.SCRIPT]: true,\n [$.SECTION]: true,\n [$.SELECT]: true,\n [$.SOURCE]: true,\n [$.STYLE]: true,\n [$.SUMMARY]: true,\n [$.TABLE]: true,\n [$.TBODY]: true,\n [$.TD]: true,\n [$.TEMPLATE]: true,\n [$.TEXTAREA]: true,\n [$.TFOOT]: true,\n [$.TH]: true,\n [$.THEAD]: true,\n [$.TITLE]: true,\n [$.TR]: true,\n [$.TRACK]: true,\n [$.UL]: true,\n [$.WBR]: true,\n [$.XMP]: true\n },\n [NS.MATHML]: {\n [$.MI]: true,\n [$.MO]: true,\n [$.MN]: true,\n [$.MS]: true,\n [$.MTEXT]: true,\n [$.ANNOTATION_XML]: true\n },\n [NS.SVG]: {\n [$.TITLE]: true,\n [$.FOREIGN_OBJECT]: true,\n [$.DESC]: true\n }\n};\n","'use strict';\n\nconst UNDEFINED_CODE_POINTS = [\n 0xfffe,\n 0xffff,\n 0x1fffe,\n 0x1ffff,\n 0x2fffe,\n 0x2ffff,\n 0x3fffe,\n 0x3ffff,\n 0x4fffe,\n 0x4ffff,\n 0x5fffe,\n 0x5ffff,\n 0x6fffe,\n 0x6ffff,\n 0x7fffe,\n 0x7ffff,\n 0x8fffe,\n 0x8ffff,\n 0x9fffe,\n 0x9ffff,\n 0xafffe,\n 0xaffff,\n 0xbfffe,\n 0xbffff,\n 0xcfffe,\n 0xcffff,\n 0xdfffe,\n 0xdffff,\n 0xefffe,\n 0xeffff,\n 0xffffe,\n 0xfffff,\n 0x10fffe,\n 0x10ffff\n];\n\nexports.REPLACEMENT_CHARACTER = '\\uFFFD';\n\nexports.CODE_POINTS = {\n EOF: -1,\n NULL: 0x00,\n TABULATION: 0x09,\n CARRIAGE_RETURN: 0x0d,\n LINE_FEED: 0x0a,\n FORM_FEED: 0x0c,\n SPACE: 0x20,\n EXCLAMATION_MARK: 0x21,\n QUOTATION_MARK: 0x22,\n NUMBER_SIGN: 0x23,\n AMPERSAND: 0x26,\n APOSTROPHE: 0x27,\n HYPHEN_MINUS: 0x2d,\n SOLIDUS: 0x2f,\n DIGIT_0: 0x30,\n DIGIT_9: 0x39,\n SEMICOLON: 0x3b,\n LESS_THAN_SIGN: 0x3c,\n EQUALS_SIGN: 0x3d,\n GREATER_THAN_SIGN: 0x3e,\n QUESTION_MARK: 0x3f,\n LATIN_CAPITAL_A: 0x41,\n LATIN_CAPITAL_F: 0x46,\n LATIN_CAPITAL_X: 0x58,\n LATIN_CAPITAL_Z: 0x5a,\n RIGHT_SQUARE_BRACKET: 0x5d,\n GRAVE_ACCENT: 0x60,\n LATIN_SMALL_A: 0x61,\n LATIN_SMALL_F: 0x66,\n LATIN_SMALL_X: 0x78,\n LATIN_SMALL_Z: 0x7a,\n REPLACEMENT_CHARACTER: 0xfffd\n};\n\nexports.CODE_POINT_SEQUENCES = {\n DASH_DASH_STRING: [0x2d, 0x2d], //--\n DOCTYPE_STRING: [0x44, 0x4f, 0x43, 0x54, 0x59, 0x50, 0x45], //DOCTYPE\n CDATA_START_STRING: [0x5b, 0x43, 0x44, 0x41, 0x54, 0x41, 0x5b], //[CDATA[\n SCRIPT_STRING: [0x73, 0x63, 0x72, 0x69, 0x70, 0x74], //script\n PUBLIC_STRING: [0x50, 0x55, 0x42, 0x4c, 0x49, 0x43], //PUBLIC\n SYSTEM_STRING: [0x53, 0x59, 0x53, 0x54, 0x45, 0x4d] //SYSTEM\n};\n\n//Surrogates\nexports.isSurrogate = function(cp) {\n return cp >= 0xd800 && cp <= 0xdfff;\n};\n\nexports.isSurrogatePair = function(cp) {\n return cp >= 0xdc00 && cp <= 0xdfff;\n};\n\nexports.getSurrogatePairCodePoint = function(cp1, cp2) {\n return (cp1 - 0xd800) * 0x400 + 0x2400 + cp2;\n};\n\n//NOTE: excluding NULL and ASCII whitespace\nexports.isControlCodePoint = function(cp) {\n return (\n (cp !== 0x20 && cp !== 0x0a && cp !== 0x0d && cp !== 0x09 && cp !== 0x0c && cp >= 0x01 && cp <= 0x1f) ||\n (cp >= 0x7f && cp <= 0x9f)\n );\n};\n\nexports.isUndefinedCodePoint = function(cp) {\n return (cp >= 0xfdd0 && cp <= 0xfdef) || UNDEFINED_CODE_POINTS.indexOf(cp) > -1;\n};\n","'use strict';\n\nconst Mixin = require('../../utils/mixin');\n\nclass ErrorReportingMixinBase extends Mixin {\n constructor(host, opts) {\n super(host);\n\n this.posTracker = null;\n this.onParseError = opts.onParseError;\n }\n\n _setErrorLocation(err) {\n err.startLine = err.endLine = this.posTracker.line;\n err.startCol = err.endCol = this.posTracker.col;\n err.startOffset = err.endOffset = this.posTracker.offset;\n }\n\n _reportError(code) {\n const err = {\n code: code,\n startLine: -1,\n startCol: -1,\n startOffset: -1,\n endLine: -1,\n endCol: -1,\n endOffset: -1\n };\n\n this._setErrorLocation(err);\n this.onParseError(err);\n }\n\n _getOverriddenMethods(mxn) {\n return {\n _err(code) {\n mxn._reportError(code);\n }\n };\n }\n}\n\nmodule.exports = ErrorReportingMixinBase;\n","'use strict';\n\nconst ErrorReportingMixinBase = require('./mixin-base');\nconst ErrorReportingTokenizerMixin = require('./tokenizer-mixin');\nconst LocationInfoTokenizerMixin = require('../location-info/tokenizer-mixin');\nconst Mixin = require('../../utils/mixin');\n\nclass ErrorReportingParserMixin extends ErrorReportingMixinBase {\n constructor(parser, opts) {\n super(parser, opts);\n\n this.opts = opts;\n this.ctLoc = null;\n this.locBeforeToken = false;\n }\n\n _setErrorLocation(err) {\n if (this.ctLoc) {\n err.startLine = this.ctLoc.startLine;\n err.startCol = this.ctLoc.startCol;\n err.startOffset = this.ctLoc.startOffset;\n\n err.endLine = this.locBeforeToken ? this.ctLoc.startLine : this.ctLoc.endLine;\n err.endCol = this.locBeforeToken ? this.ctLoc.startCol : this.ctLoc.endCol;\n err.endOffset = this.locBeforeToken ? this.ctLoc.startOffset : this.ctLoc.endOffset;\n }\n }\n\n _getOverriddenMethods(mxn, orig) {\n return {\n _bootstrap(document, fragmentContext) {\n orig._bootstrap.call(this, document, fragmentContext);\n\n Mixin.install(this.tokenizer, ErrorReportingTokenizerMixin, mxn.opts);\n Mixin.install(this.tokenizer, LocationInfoTokenizerMixin);\n },\n\n _processInputToken(token) {\n mxn.ctLoc = token.location;\n\n orig._processInputToken.call(this, token);\n },\n\n _err(code, options) {\n mxn.locBeforeToken = options && options.beforeToken;\n mxn._reportError(code);\n }\n };\n }\n}\n\nmodule.exports = ErrorReportingParserMixin;\n","'use strict';\n\nconst ErrorReportingMixinBase = require('./mixin-base');\nconst PositionTrackingPreprocessorMixin = require('../position-tracking/preprocessor-mixin');\nconst Mixin = require('../../utils/mixin');\n\nclass ErrorReportingPreprocessorMixin extends ErrorReportingMixinBase {\n constructor(preprocessor, opts) {\n super(preprocessor, opts);\n\n this.posTracker = Mixin.install(preprocessor, PositionTrackingPreprocessorMixin);\n this.lastErrOffset = -1;\n }\n\n _reportError(code) {\n //NOTE: avoid reporting error twice on advance/retreat\n if (this.lastErrOffset !== this.posTracker.offset) {\n this.lastErrOffset = this.posTracker.offset;\n super._reportError(code);\n }\n }\n}\n\nmodule.exports = ErrorReportingPreprocessorMixin;\n","'use strict';\n\nconst ErrorReportingMixinBase = require('./mixin-base');\nconst ErrorReportingPreprocessorMixin = require('./preprocessor-mixin');\nconst Mixin = require('../../utils/mixin');\n\nclass ErrorReportingTokenizerMixin extends ErrorReportingMixinBase {\n constructor(tokenizer, opts) {\n super(tokenizer, opts);\n\n const preprocessorMixin = Mixin.install(tokenizer.preprocessor, ErrorReportingPreprocessorMixin, opts);\n\n this.posTracker = preprocessorMixin.posTracker;\n }\n}\n\nmodule.exports = ErrorReportingTokenizerMixin;\n","'use strict';\n\nconst Mixin = require('../../utils/mixin');\n\nclass LocationInfoOpenElementStackMixin extends Mixin {\n constructor(stack, opts) {\n super(stack);\n\n this.onItemPop = opts.onItemPop;\n }\n\n _getOverriddenMethods(mxn, orig) {\n return {\n pop() {\n mxn.onItemPop(this.current);\n orig.pop.call(this);\n },\n\n popAllUpToHtmlElement() {\n for (let i = this.stackTop; i > 0; i--) {\n mxn.onItemPop(this.items[i]);\n }\n\n orig.popAllUpToHtmlElement.call(this);\n },\n\n remove(element) {\n mxn.onItemPop(this.current);\n orig.remove.call(this, element);\n }\n };\n }\n}\n\nmodule.exports = LocationInfoOpenElementStackMixin;\n","'use strict';\n\nconst Mixin = require('../../utils/mixin');\nconst Tokenizer = require('../../tokenizer');\nconst LocationInfoTokenizerMixin = require('./tokenizer-mixin');\nconst LocationInfoOpenElementStackMixin = require('./open-element-stack-mixin');\nconst HTML = require('../../common/html');\n\n//Aliases\nconst $ = HTML.TAG_NAMES;\n\nclass LocationInfoParserMixin extends Mixin {\n constructor(parser) {\n super(parser);\n\n this.parser = parser;\n this.treeAdapter = this.parser.treeAdapter;\n this.posTracker = null;\n this.lastStartTagToken = null;\n this.lastFosterParentingLocation = null;\n this.currentToken = null;\n }\n\n _setStartLocation(element) {\n let loc = null;\n\n if (this.lastStartTagToken) {\n loc = Object.assign({}, this.lastStartTagToken.location);\n loc.startTag = this.lastStartTagToken.location;\n }\n\n this.treeAdapter.setNodeSourceCodeLocation(element, loc);\n }\n\n _setEndLocation(element, closingToken) {\n const loc = this.treeAdapter.getNodeSourceCodeLocation(element);\n\n if (loc) {\n if (closingToken.location) {\n const ctLoc = closingToken.location;\n const tn = this.treeAdapter.getTagName(element);\n\n // NOTE: For cases like <p> <p> </p> - First 'p' closes without a closing\n // tag and for cases like <td> <p> </td> - 'p' closes without a closing tag.\n const isClosingEndTag = closingToken.type === Tokenizer.END_TAG_TOKEN && tn === closingToken.tagName;\n const endLoc = {};\n if (isClosingEndTag) {\n endLoc.endTag = Object.assign({}, ctLoc);\n endLoc.endLine = ctLoc.endLine;\n endLoc.endCol = ctLoc.endCol;\n endLoc.endOffset = ctLoc.endOffset;\n } else {\n endLoc.endLine = ctLoc.startLine;\n endLoc.endCol = ctLoc.startCol;\n endLoc.endOffset = ctLoc.startOffset;\n }\n\n this.treeAdapter.updateNodeSourceCodeLocation(element, endLoc);\n }\n }\n }\n\n _getOverriddenMethods(mxn, orig) {\n return {\n _bootstrap(document, fragmentContext) {\n orig._bootstrap.call(this, document, fragmentContext);\n\n mxn.lastStartTagToken = null;\n mxn.lastFosterParentingLocation = null;\n mxn.currentToken = null;\n\n const tokenizerMixin = Mixin.install(this.tokenizer, LocationInfoTokenizerMixin);\n\n mxn.posTracker = tokenizerMixin.posTracker;\n\n Mixin.install(this.openElements, LocationInfoOpenElementStackMixin, {\n onItemPop: function(element) {\n mxn._setEndLocation(element, mxn.currentToken);\n }\n });\n },\n\n _runParsingLoop(scriptHandler) {\n orig._runParsingLoop.call(this, scriptHandler);\n\n // NOTE: generate location info for elements\n // that remains on open element stack\n for (let i = this.openElements.stackTop; i >= 0; i--) {\n mxn._setEndLocation(this.openElements.items[i], mxn.currentToken);\n }\n },\n\n //Token processing\n _processTokenInForeignContent(token) {\n mxn.currentToken = token;\n orig._processTokenInForeignContent.call(this, token);\n },\n\n _processToken(token) {\n mxn.currentToken = token;\n orig._processToken.call(this, token);\n\n //NOTE: <body> and <html> are never popped from the stack, so we need to updated\n //their end location explicitly.\n const requireExplicitUpdate =\n token.type === Tokenizer.END_TAG_TOKEN &&\n (token.tagName === $.HTML || (token.tagName === $.BODY && this.openElements.hasInScope($.BODY)));\n\n if (requireExplicitUpdate) {\n for (let i = this.openElements.stackTop; i >= 0; i--) {\n const element = this.openElements.items[i];\n\n if (this.treeAdapter.getTagName(element) === token.tagName) {\n mxn._setEndLocation(element, token);\n break;\n }\n }\n }\n },\n\n //Doctype\n _setDocumentType(token) {\n orig._setDocumentType.call(this, token);\n\n const documentChildren = this.treeAdapter.getChildNodes(this.document);\n const cnLength = documentChildren.length;\n\n for (let i = 0; i < cnLength; i++) {\n const node = documentChildren[i];\n\n if (this.treeAdapter.isDocumentTypeNode(node)) {\n this.treeAdapter.setNodeSourceCodeLocation(node, token.location);\n break;\n }\n }\n },\n\n //Elements\n _attachElementToTree(element) {\n //NOTE: _attachElementToTree is called from _appendElement, _insertElement and _insertTemplate methods.\n //So we will use token location stored in this methods for the element.\n mxn._setStartLocation(element);\n mxn.lastStartTagToken = null;\n orig._attachElementToTree.call(this, element);\n },\n\n _appendElement(token, namespaceURI) {\n mxn.lastStartTagToken = token;\n orig._appendElement.call(this, token, namespaceURI);\n },\n\n _insertElement(token, namespaceURI) {\n mxn.lastStartTagToken = token;\n orig._insertElement.call(this, token, namespaceURI);\n },\n\n _insertTemplate(token) {\n mxn.lastStartTagToken = token;\n orig._insertTemplate.call(this, token);\n\n const tmplContent = this.treeAdapter.getTemplateContent(this.openElements.current);\n\n this.treeAdapter.setNodeSourceCodeLocation(tmplContent, null);\n },\n\n _insertFakeRootElement() {\n orig._insertFakeRootElement.call(this);\n this.treeAdapter.setNodeSourceCodeLocation(this.openElements.current, null);\n },\n\n //Comments\n _appendCommentNode(token, parent) {\n orig._appendCommentNode.call(this, token, parent);\n\n const children = this.treeAdapter.getChildNodes(parent);\n const commentNode = children[children.length - 1];\n\n this.treeAdapter.setNodeSourceCodeLocation(commentNode, token.location);\n },\n\n //Text\n _findFosterParentingLocation() {\n //NOTE: store last foster parenting location, so we will be able to find inserted text\n //in case of foster parenting\n mxn.lastFosterParentingLocation = orig._findFosterParentingLocation.call(this);\n\n return mxn.lastFosterParentingLocation;\n },\n\n _insertCharacters(token) {\n orig._insertCharacters.call(this, token);\n\n const hasFosterParent = this._shouldFosterParentOnInsertion();\n\n const parent =\n (hasFosterParent && mxn.lastFosterParentingLocation.parent) ||\n this.openElements.currentTmplContent ||\n this.openElements.current;\n\n const siblings = this.treeAdapter.getChildNodes(parent);\n\n const textNodeIdx =\n hasFosterParent && mxn.lastFosterParentingLocation.beforeElement\n ? siblings.indexOf(mxn.lastFosterParentingLocation.beforeElement) - 1\n : siblings.length - 1;\n\n const textNode = siblings[textNodeIdx];\n\n //NOTE: if we have location assigned by another token, then just update end position\n const tnLoc = this.treeAdapter.getNodeSourceCodeLocation(textNode);\n\n if (tnLoc) {\n const { endLine, endCol, endOffset } = token.location;\n this.treeAdapter.updateNodeSourceCodeLocation(textNode, { endLine, endCol, endOffset });\n } else {\n this.treeAdapter.setNodeSourceCodeLocation(textNode, token.location);\n }\n }\n };\n }\n}\n\nmodule.exports = LocationInfoParserMixin;\n","'use strict';\n\nconst Mixin = require('../../utils/mixin');\nconst Tokenizer = require('../../tokenizer');\nconst PositionTrackingPreprocessorMixin = require('../position-tracking/preprocessor-mixin');\n\nclass LocationInfoTokenizerMixin extends Mixin {\n constructor(tokenizer) {\n super(tokenizer);\n\n this.tokenizer = tokenizer;\n this.posTracker = Mixin.install(tokenizer.preprocessor, PositionTrackingPreprocessorMixin);\n this.currentAttrLocation = null;\n this.ctLoc = null;\n }\n\n _getCurrentLocation() {\n return {\n startLine: this.posTracker.line,\n startCol: this.posTracker.col,\n startOffset: this.posTracker.offset,\n endLine: -1,\n endCol: -1,\n endOffset: -1\n };\n }\n\n _attachCurrentAttrLocationInfo() {\n this.currentAttrLocation.endLine = this.posTracker.line;\n this.currentAttrLocation.endCol = this.posTracker.col;\n this.currentAttrLocation.endOffset = this.posTracker.offset;\n\n const currentToken = this.tokenizer.currentToken;\n const currentAttr = this.tokenizer.currentAttr;\n\n if (!currentToken.location.attrs) {\n currentToken.location.attrs = Object.create(null);\n }\n\n currentToken.location.attrs[currentAttr.name] = this.currentAttrLocation;\n }\n\n _getOverriddenMethods(mxn, orig) {\n const methods = {\n _createStartTagToken() {\n orig._createStartTagToken.call(this);\n this.currentToken.location = mxn.ctLoc;\n },\n\n _createEndTagToken() {\n orig._createEndTagToken.call(this);\n this.currentToken.location = mxn.ctLoc;\n },\n\n _createCommentToken() {\n orig._createCommentToken.call(this);\n this.currentToken.location = mxn.ctLoc;\n },\n\n _createDoctypeToken(initialName) {\n orig._createDoctypeToken.call(this, initialName);\n this.currentToken.location = mxn.ctLoc;\n },\n\n _createCharacterToken(type, ch) {\n orig._createCharacterToken.call(this, type, ch);\n this.currentCharacterToken.location = mxn.ctLoc;\n },\n\n _createEOFToken() {\n orig._createEOFToken.call(this);\n this.currentToken.location = mxn._getCurrentLocation();\n },\n\n _createAttr(attrNameFirstCh) {\n orig._createAttr.call(this, attrNameFirstCh);\n mxn.currentAttrLocation = mxn._getCurrentLocation();\n },\n\n _leaveAttrName(toState) {\n orig._leaveAttrName.call(this, toState);\n mxn._attachCurrentAttrLocationInfo();\n },\n\n _leaveAttrValue(toState) {\n orig._leaveAttrValue.call(this, toState);\n mxn._attachCurrentAttrLocationInfo();\n },\n\n _emitCurrentToken() {\n const ctLoc = this.currentToken.location;\n\n //NOTE: if we have pending character token make it's end location equal to the\n //current token's start location.\n if (this.currentCharacterToken) {\n this.currentCharacterToken.location.endLine = ctLoc.startLine;\n this.currentCharacterToken.location.endCol = ctLoc.startCol;\n this.currentCharacterToken.location.endOffset = ctLoc.startOffset;\n }\n\n if (this.currentToken.type === Tokenizer.EOF_TOKEN) {\n ctLoc.endLine = ctLoc.startLine;\n ctLoc.endCol = ctLoc.startCol;\n ctLoc.endOffset = ctLoc.startOffset;\n } else {\n ctLoc.endLine = mxn.posTracker.line;\n ctLoc.endCol = mxn.posTracker.col + 1;\n ctLoc.endOffset = mxn.posTracker.offset + 1;\n }\n\n orig._emitCurrentToken.call(this);\n },\n\n _emitCurrentCharacterToken() {\n const ctLoc = this.currentCharacterToken && this.currentCharacterToken.location;\n\n //NOTE: if we have character token and it's location wasn't set in the _emitCurrentToken(),\n //then set it's location at the current preprocessor position.\n //We don't need to increment preprocessor position, since character token\n //emission is always forced by the start of the next character token here.\n //So, we already have advanced position.\n if (ctLoc && ctLoc.endOffset === -1) {\n ctLoc.endLine = mxn.posTracker.line;\n ctLoc.endCol = mxn.posTracker.col;\n ctLoc.endOffset = mxn.posTracker.offset;\n }\n\n orig._emitCurrentCharacterToken.call(this);\n }\n };\n\n //NOTE: patch initial states for each mode to obtain token start position\n Object.keys(Tokenizer.MODE).forEach(modeName => {\n const state = Tokenizer.MODE[modeName];\n\n methods[state] = function(cp) {\n mxn.ctLoc = mxn._getCurrentLocation();\n orig[state].call(this, cp);\n };\n });\n\n return methods;\n }\n}\n\nmodule.exports = LocationInfoTokenizerMixin;\n","'use strict';\n\nconst Mixin = require('../../utils/mixin');\n\nclass PositionTrackingPreprocessorMixin extends Mixin {\n constructor(preprocessor) {\n super(preprocessor);\n\n this.preprocessor = preprocessor;\n this.isEol = false;\n this.lineStartPos = 0;\n this.droppedBufferSize = 0;\n\n this.offset = 0;\n this.col = 0;\n this.line = 1;\n }\n\n _getOverriddenMethods(mxn, orig) {\n return {\n advance() {\n const pos = this.pos + 1;\n const ch = this.html[pos];\n\n //NOTE: LF should be in the last column of the line\n if (mxn.isEol) {\n mxn.isEol = false;\n mxn.line++;\n mxn.lineStartPos = pos;\n }\n\n if (ch === '\\n' || (ch === '\\r' && this.html[pos + 1] !== '\\n')) {\n mxn.isEol = true;\n }\n\n mxn.col = pos - mxn.lineStartPos + 1;\n mxn.offset = mxn.droppedBufferSize + pos;\n\n return orig.advance.call(this);\n },\n\n retreat() {\n orig.retreat.call(this);\n\n mxn.isEol = false;\n mxn.col = this.pos - mxn.lineStartPos + 1;\n },\n\n dropParsedChunk() {\n const prevPos = this.pos;\n\n orig.dropParsedChunk.call(this);\n\n const reduction = prevPos - this.pos;\n\n mxn.lineStartPos -= reduction;\n mxn.droppedBufferSize += reduction;\n mxn.offset = mxn.droppedBufferSize + this.pos;\n }\n };\n }\n}\n\nmodule.exports = PositionTrackingPreprocessorMixin;\n","'use strict';\n\n//Const\nconst NOAH_ARK_CAPACITY = 3;\n\n//List of formatting elements\nclass FormattingElementList {\n constructor(treeAdapter) {\n this.length = 0;\n this.entries = [];\n this.treeAdapter = treeAdapter;\n this.bookmark = null;\n }\n\n //Noah Ark's condition\n //OPTIMIZATION: at first we try to find possible candidates for exclusion using\n //lightweight heuristics without thorough attributes check.\n _getNoahArkConditionCandidates(newElement) {\n const candidates = [];\n\n if (this.length >= NOAH_ARK_CAPACITY) {\n const neAttrsLength = this.treeAdapter.getAttrList(newElement).length;\n const neTagName = this.treeAdapter.getTagName(newElement);\n const neNamespaceURI = this.treeAdapter.getNamespaceURI(newElement);\n\n for (let i = this.length - 1; i >= 0; i--) {\n const entry = this.entries[i];\n\n if (entry.type === FormattingElementList.MARKER_ENTRY) {\n break;\n }\n\n const element = entry.element;\n const elementAttrs = this.treeAdapter.getAttrList(element);\n\n const isCandidate =\n this.treeAdapter.getTagName(element) === neTagName &&\n this.treeAdapter.getNamespaceURI(element) === neNamespaceURI &&\n elementAttrs.length === neAttrsLength;\n\n if (isCandidate) {\n candidates.push({ idx: i, attrs: elementAttrs });\n }\n }\n }\n\n return candidates.length < NOAH_ARK_CAPACITY ? [] : candidates;\n }\n\n _ensureNoahArkCondition(newElement) {\n const candidates = this._getNoahArkConditionCandidates(newElement);\n let cLength = candidates.length;\n\n if (cLength) {\n const neAttrs = this.treeAdapter.getAttrList(newElement);\n const neAttrsLength = neAttrs.length;\n const neAttrsMap = Object.create(null);\n\n //NOTE: build attrs map for the new element so we can perform fast lookups\n for (let i = 0; i < neAttrsLength; i++) {\n const neAttr = neAttrs[i];\n\n neAttrsMap[neAttr.name] = neAttr.value;\n }\n\n for (let i = 0; i < neAttrsLength; i++) {\n for (let j = 0; j < cLength; j++) {\n const cAttr = candidates[j].attrs[i];\n\n if (neAttrsMap[cAttr.name] !== cAttr.value) {\n candidates.splice(j, 1);\n cLength--;\n }\n\n if (candidates.length < NOAH_ARK_CAPACITY) {\n return;\n }\n }\n }\n\n //NOTE: remove bottommost candidates until Noah's Ark condition will not be met\n for (let i = cLength - 1; i >= NOAH_ARK_CAPACITY - 1; i--) {\n this.entries.splice(candidates[i].idx, 1);\n this.length--;\n }\n }\n }\n\n //Mutations\n insertMarker() {\n this.entries.push({ type: FormattingElementList.MARKER_ENTRY });\n this.length++;\n }\n\n pushElement(element, token) {\n this._ensureNoahArkCondition(element);\n\n this.entries.push({\n type: FormattingElementList.ELEMENT_ENTRY,\n element: element,\n token: token\n });\n\n this.length++;\n }\n\n insertElementAfterBookmark(element, token) {\n let bookmarkIdx = this.length - 1;\n\n for (; bookmarkIdx >= 0; bookmarkIdx--) {\n if (this.entries[bookmarkIdx] === this.bookmark) {\n break;\n }\n }\n\n this.entries.splice(bookmarkIdx + 1, 0, {\n type: FormattingElementList.ELEMENT_ENTRY,\n element: element,\n token: token\n });\n\n this.length++;\n }\n\n removeEntry(entry) {\n for (let i = this.length - 1; i >= 0; i--) {\n if (this.entries[i] === entry) {\n this.entries.splice(i, 1);\n this.length--;\n break;\n }\n }\n }\n\n clearToLastMarker() {\n while (this.length) {\n const entry = this.entries.pop();\n\n this.length--;\n\n if (entry.type === FormattingElementList.MARKER_ENTRY) {\n break;\n }\n }\n }\n\n //Search\n getElementEntryInScopeWithTagName(tagName) {\n for (let i = this.length - 1; i >= 0; i--) {\n const entry = this.entries[i];\n\n if (entry.type === FormattingElementList.MARKER_ENTRY) {\n return null;\n }\n\n if (this.treeAdapter.getTagName(entry.element) === tagName) {\n return entry;\n }\n }\n\n return null;\n }\n\n getElementEntry(element) {\n for (let i = this.length - 1; i >= 0; i--) {\n const entry = this.entries[i];\n\n if (entry.type === FormattingElementList.ELEMENT_ENTRY && entry.element === element) {\n return entry;\n }\n }\n\n return null;\n }\n}\n\n//Entry types\nFormattingElementList.MARKER_ENTRY = 'MARKER_ENTRY';\nFormattingElementList.ELEMENT_ENTRY = 'ELEMENT_ENTRY';\n\nmodule.exports = FormattingElementList;\n","'use strict';\n\nconst Tokenizer = require('../tokenizer');\nconst OpenElementStack = require('./open-element-stack');\nconst FormattingElementList = require('./formatting-element-list');\nconst LocationInfoParserMixin = require('../extensions/location-info/parser-mixin');\nconst ErrorReportingParserMixin = require('../extensions/error-reporting/parser-mixin');\nconst Mixin = require('../utils/mixin');\nconst defaultTreeAdapter = require('../tree-adapters/default');\nconst mergeOptions = require('../utils/merge-options');\nconst doctype = require('../common/doctype');\nconst foreignContent = require('../common/foreign-content');\nconst ERR = require('../common/error-codes');\nconst unicode = require('../common/unicode');\nconst HTML = require('../common/html');\n\n//Aliases\nconst $ = HTML.TAG_NAMES;\nconst NS = HTML.NAMESPACES;\nconst ATTRS = HTML.ATTRS;\n\nconst DEFAULT_OPTIONS = {\n scriptingEnabled: true,\n sourceCodeLocationInfo: false,\n onParseError: null,\n treeAdapter: defaultTreeAdapter\n};\n\n//Misc constants\nconst HIDDEN_INPUT_TYPE = 'hidden';\n\n//Adoption agency loops iteration count\nconst AA_OUTER_LOOP_ITER = 8;\nconst AA_INNER_LOOP_ITER = 3;\n\n//Insertion modes\nconst INITIAL_MODE = 'INITIAL_MODE';\nconst BEFORE_HTML_MODE = 'BEFORE_HTML_MODE';\nconst BEFORE_HEAD_MODE = 'BEFORE_HEAD_MODE';\nconst IN_HEAD_MODE = 'IN_HEAD_MODE';\nconst IN_HEAD_NO_SCRIPT_MODE = 'IN_HEAD_NO_SCRIPT_MODE';\nconst AFTER_HEAD_MODE = 'AFTER_HEAD_MODE';\nconst IN_BODY_MODE = 'IN_BODY_MODE';\nconst TEXT_MODE = 'TEXT_MODE';\nconst IN_TABLE_MODE = 'IN_TABLE_MODE';\nconst IN_TABLE_TEXT_MODE = 'IN_TABLE_TEXT_MODE';\nconst IN_CAPTION_MODE = 'IN_CAPTION_MODE';\nconst IN_COLUMN_GROUP_MODE = 'IN_COLUMN_GROUP_MODE';\nconst IN_TABLE_BODY_MODE = 'IN_TABLE_BODY_MODE';\nconst IN_ROW_MODE = 'IN_ROW_MODE';\nconst IN_CELL_MODE = 'IN_CELL_MODE';\nconst IN_SELECT_MODE = 'IN_SELECT_MODE';\nconst IN_SELECT_IN_TABLE_MODE = 'IN_SELECT_IN_TABLE_MODE';\nconst IN_TEMPLATE_MODE = 'IN_TEMPLATE_MODE';\nconst AFTER_BODY_MODE = 'AFTER_BODY_MODE';\nconst IN_FRAMESET_MODE = 'IN_FRAMESET_MODE';\nconst AFTER_FRAMESET_MODE = 'AFTER_FRAMESET_MODE';\nconst AFTER_AFTER_BODY_MODE = 'AFTER_AFTER_BODY_MODE';\nconst AFTER_AFTER_FRAMESET_MODE = 'AFTER_AFTER_FRAMESET_MODE';\n\n//Insertion mode reset map\nconst INSERTION_MODE_RESET_MAP = {\n [$.TR]: IN_ROW_MODE,\n [$.TBODY]: IN_TABLE_BODY_MODE,\n [$.THEAD]: IN_TABLE_BODY_MODE,\n [$.TFOOT]: IN_TABLE_BODY_MODE,\n [$.CAPTION]: IN_CAPTION_MODE,\n [$.COLGROUP]: IN_COLUMN_GROUP_MODE,\n [$.TABLE]: IN_TABLE_MODE,\n [$.BODY]: IN_BODY_MODE,\n [$.FRAMESET]: IN_FRAMESET_MODE\n};\n\n//Template insertion mode switch map\nconst TEMPLATE_INSERTION_MODE_SWITCH_MAP = {\n [$.CAPTION]: IN_TABLE_MODE,\n [$.COLGROUP]: IN_TABLE_MODE,\n [$.TBODY]: IN_TABLE_MODE,\n [$.TFOOT]: IN_TABLE_MODE,\n [$.THEAD]: IN_TABLE_MODE,\n [$.COL]: IN_COLUMN_GROUP_MODE,\n [$.TR]: IN_TABLE_BODY_MODE,\n [$.TD]: IN_ROW_MODE,\n [$.TH]: IN_ROW_MODE\n};\n\n//Token handlers map for insertion modes\nconst TOKEN_HANDLERS = {\n [INITIAL_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenInInitialMode,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenInInitialMode,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: doctypeInInitialMode,\n [Tokenizer.START_TAG_TOKEN]: tokenInInitialMode,\n [Tokenizer.END_TAG_TOKEN]: tokenInInitialMode,\n [Tokenizer.EOF_TOKEN]: tokenInInitialMode\n },\n [BEFORE_HTML_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenBeforeHtml,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenBeforeHtml,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagBeforeHtml,\n [Tokenizer.END_TAG_TOKEN]: endTagBeforeHtml,\n [Tokenizer.EOF_TOKEN]: tokenBeforeHtml\n },\n [BEFORE_HEAD_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenBeforeHead,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenBeforeHead,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: misplacedDoctype,\n [Tokenizer.START_TAG_TOKEN]: startTagBeforeHead,\n [Tokenizer.END_TAG_TOKEN]: endTagBeforeHead,\n [Tokenizer.EOF_TOKEN]: tokenBeforeHead\n },\n [IN_HEAD_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenInHead,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenInHead,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: misplacedDoctype,\n [Tokenizer.START_TAG_TOKEN]: startTagInHead,\n [Tokenizer.END_TAG_TOKEN]: endTagInHead,\n [Tokenizer.EOF_TOKEN]: tokenInHead\n },\n [IN_HEAD_NO_SCRIPT_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenInHeadNoScript,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenInHeadNoScript,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: misplacedDoctype,\n [Tokenizer.START_TAG_TOKEN]: startTagInHeadNoScript,\n [Tokenizer.END_TAG_TOKEN]: endTagInHeadNoScript,\n [Tokenizer.EOF_TOKEN]: tokenInHeadNoScript\n },\n [AFTER_HEAD_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenAfterHead,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenAfterHead,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: misplacedDoctype,\n [Tokenizer.START_TAG_TOKEN]: startTagAfterHead,\n [Tokenizer.END_TAG_TOKEN]: endTagAfterHead,\n [Tokenizer.EOF_TOKEN]: tokenAfterHead\n },\n [IN_BODY_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInBody,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInBody,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInBody,\n [Tokenizer.END_TAG_TOKEN]: endTagInBody,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [TEXT_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.NULL_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: ignoreToken,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: ignoreToken,\n [Tokenizer.END_TAG_TOKEN]: endTagInText,\n [Tokenizer.EOF_TOKEN]: eofInText\n },\n [IN_TABLE_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.NULL_CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInTable,\n [Tokenizer.END_TAG_TOKEN]: endTagInTable,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_TABLE_TEXT_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInTableText,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInTableText,\n [Tokenizer.COMMENT_TOKEN]: tokenInTableText,\n [Tokenizer.DOCTYPE_TOKEN]: tokenInTableText,\n [Tokenizer.START_TAG_TOKEN]: tokenInTableText,\n [Tokenizer.END_TAG_TOKEN]: tokenInTableText,\n [Tokenizer.EOF_TOKEN]: tokenInTableText\n },\n [IN_CAPTION_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInBody,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInBody,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInCaption,\n [Tokenizer.END_TAG_TOKEN]: endTagInCaption,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_COLUMN_GROUP_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenInColumnGroup,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenInColumnGroup,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInColumnGroup,\n [Tokenizer.END_TAG_TOKEN]: endTagInColumnGroup,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_TABLE_BODY_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.NULL_CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInTableBody,\n [Tokenizer.END_TAG_TOKEN]: endTagInTableBody,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_ROW_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.NULL_CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: characterInTable,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInRow,\n [Tokenizer.END_TAG_TOKEN]: endTagInRow,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_CELL_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInBody,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInBody,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInCell,\n [Tokenizer.END_TAG_TOKEN]: endTagInCell,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_SELECT_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInSelect,\n [Tokenizer.END_TAG_TOKEN]: endTagInSelect,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_SELECT_IN_TABLE_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInSelectInTable,\n [Tokenizer.END_TAG_TOKEN]: endTagInSelectInTable,\n [Tokenizer.EOF_TOKEN]: eofInBody\n },\n [IN_TEMPLATE_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: characterInBody,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInBody,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInTemplate,\n [Tokenizer.END_TAG_TOKEN]: endTagInTemplate,\n [Tokenizer.EOF_TOKEN]: eofInTemplate\n },\n [AFTER_BODY_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenAfterBody,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenAfterBody,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInBody,\n [Tokenizer.COMMENT_TOKEN]: appendCommentToRootHtmlElement,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagAfterBody,\n [Tokenizer.END_TAG_TOKEN]: endTagAfterBody,\n [Tokenizer.EOF_TOKEN]: stopParsing\n },\n [IN_FRAMESET_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagInFrameset,\n [Tokenizer.END_TAG_TOKEN]: endTagInFrameset,\n [Tokenizer.EOF_TOKEN]: stopParsing\n },\n [AFTER_FRAMESET_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: insertCharacters,\n [Tokenizer.COMMENT_TOKEN]: appendComment,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagAfterFrameset,\n [Tokenizer.END_TAG_TOKEN]: endTagAfterFrameset,\n [Tokenizer.EOF_TOKEN]: stopParsing\n },\n [AFTER_AFTER_BODY_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: tokenAfterAfterBody,\n [Tokenizer.NULL_CHARACTER_TOKEN]: tokenAfterAfterBody,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInBody,\n [Tokenizer.COMMENT_TOKEN]: appendCommentToDocument,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagAfterAfterBody,\n [Tokenizer.END_TAG_TOKEN]: tokenAfterAfterBody,\n [Tokenizer.EOF_TOKEN]: stopParsing\n },\n [AFTER_AFTER_FRAMESET_MODE]: {\n [Tokenizer.CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.NULL_CHARACTER_TOKEN]: ignoreToken,\n [Tokenizer.WHITESPACE_CHARACTER_TOKEN]: whitespaceCharacterInBody,\n [Tokenizer.COMMENT_TOKEN]: appendCommentToDocument,\n [Tokenizer.DOCTYPE_TOKEN]: ignoreToken,\n [Tokenizer.START_TAG_TOKEN]: startTagAfterAfterFrameset,\n [Tokenizer.END_TAG_TOKEN]: ignoreToken,\n [Tokenizer.EOF_TOKEN]: stopParsing\n }\n};\n\n//Parser\nclass Parser {\n constructor(options) {\n this.options = mergeOptions(DEFAULT_OPTIONS, options);\n\n this.treeAdapter = this.options.treeAdapter;\n this.pendingScript = null;\n\n if (this.options.sourceCodeLocationInfo) {\n Mixin.install(this, LocationInfoParserMixin);\n }\n\n if (this.options.onParseError) {\n Mixin.install(this, ErrorReportingParserMixin, { onParseError: this.options.onParseError });\n }\n }\n\n // API\n parse(html) {\n const document = this.treeAdapter.createDocument();\n\n this._bootstrap(document, null);\n this.tokenizer.write(html, true);\n this._runParsingLoop(null);\n\n return document;\n }\n\n parseFragment(html, fragmentContext) {\n //NOTE: use <template> element as a fragment context if context element was not provided,\n //so we will parse in \"forgiving\" manner\n if (!fragmentContext) {\n fragmentContext = this.treeAdapter.createElement($.TEMPLATE, NS.HTML, []);\n }\n\n //NOTE: create fake element which will be used as 'document' for fragment parsing.\n //This is important for jsdom there 'document' can't be recreated, therefore\n //fragment parsing causes messing of the main `document`.\n const documentMock = this.treeAdapter.createElement('documentmock', NS.HTML, []);\n\n this._bootstrap(documentMock, fragmentContext);\n\n if (this.treeAdapter.getTagName(fragmentContext) === $.TEMPLATE) {\n this._pushTmplInsertionMode(IN_TEMPLATE_MODE);\n }\n\n this._initTokenizerForFragmentParsing();\n this._insertFakeRootElement();\n this._resetInsertionMode();\n this._findFormInFragmentContext();\n this.tokenizer.write(html, true);\n this._runParsingLoop(null);\n\n const rootElement = this.treeAdapter.getFirstChild(documentMock);\n const fragment = this.treeAdapter.createDocumentFragment();\n\n this._adoptNodes(rootElement, fragment);\n\n return fragment;\n }\n\n //Bootstrap parser\n _bootstrap(document, fragmentContext) {\n this.tokenizer = new Tokenizer(this.options);\n\n this.stopped = false;\n\n this.insertionMode = INITIAL_MODE;\n this.originalInsertionMode = '';\n\n this.document = document;\n this.fragmentContext = fragmentContext;\n\n this.headElement = null;\n this.formElement = null;\n\n this.openElements = new OpenElementStack(this.document, this.treeAdapter);\n this.activeFormattingElements = new FormattingElementList(this.treeAdapter);\n\n this.tmplInsertionModeStack = [];\n this.tmplInsertionModeStackTop = -1;\n this.currentTmplInsertionMode = null;\n\n this.pendingCharacterTokens = [];\n this.hasNonWhitespacePendingCharacterToken = false;\n\n this.framesetOk = true;\n this.skipNextNewLine = false;\n this.fosterParentingEnabled = false;\n }\n\n //Errors\n _err() {\n // NOTE: err reporting is noop by default. Enabled by mixin.\n }\n\n //Parsing loop\n _runParsingLoop(scriptHandler) {\n while (!this.stopped) {\n this._setupTokenizerCDATAMode();\n\n const token = this.tokenizer.getNextToken();\n\n if (token.type === Tokenizer.HIBERNATION_TOKEN) {\n break;\n }\n\n if (this.skipNextNewLine) {\n this.skipNextNewLine = false;\n\n if (token.type === Tokenizer.WHITESPACE_CHARACTER_TOKEN && token.chars[0] === '\\n') {\n if (token.chars.length === 1) {\n continue;\n }\n\n token.chars = token.chars.substr(1);\n }\n }\n\n this._processInputToken(token);\n\n if (scriptHandler && this.pendingScript) {\n break;\n }\n }\n }\n\n runParsingLoopForCurrentChunk(writeCallback, scriptHandler) {\n this._runParsingLoop(scriptHandler);\n\n if (scriptHandler && this.pendingScript) {\n const script = this.pendingScript;\n\n this.pendingScript = null;\n\n scriptHandler(script);\n\n return;\n }\n\n if (writeCallback) {\n writeCallback();\n }\n }\n\n //Text parsing\n _setupTokenizerCDATAMode() {\n const current = this._getAdjustedCurrentElement();\n\n this.tokenizer.allowCDATA =\n current &&\n current !== this.document &&\n this.treeAdapter.getNamespaceURI(current) !== NS.HTML &&\n !this._isIntegrationPoint(current);\n }\n\n _switchToTextParsing(currentToken, nextTokenizerState) {\n this._insertElement(currentToken, NS.HTML);\n this.tokenizer.state = nextTokenizerState;\n this.originalInsertionMode = this.insertionMode;\n this.insertionMode = TEXT_MODE;\n }\n\n switchToPlaintextParsing() {\n this.insertionMode = TEXT_MODE;\n this.originalInsertionMode = IN_BODY_MODE;\n this.tokenizer.state = Tokenizer.MODE.PLAINTEXT;\n }\n\n //Fragment parsing\n _getAdjustedCurrentElement() {\n return this.openElements.stackTop === 0 && this.fragmentContext\n ? this.fragmentContext\n : this.openElements.current;\n }\n\n _findFormInFragmentContext() {\n let node = this.fragmentContext;\n\n do {\n if (this.treeAdapter.getTagName(node) === $.FORM) {\n this.formElement = node;\n break;\n }\n\n node = this.treeAdapter.getParentNode(node);\n } while (node);\n }\n\n _initTokenizerForFragmentParsing() {\n if (this.treeAdapter.getNamespaceURI(this.fragmentContext) === NS.HTML) {\n const tn = this.treeAdapter.getTagName(this.fragmentContext);\n\n if (tn === $.TITLE || tn === $.TEXTAREA) {\n this.tokenizer.state = Tokenizer.MODE.RCDATA;\n } else if (\n tn === $.STYLE ||\n tn === $.XMP ||\n tn === $.IFRAME ||\n tn === $.NOEMBED ||\n tn === $.NOFRAMES ||\n tn === $.NOSCRIPT\n ) {\n this.tokenizer.state = Tokenizer.MODE.RAWTEXT;\n } else if (tn === $.SCRIPT) {\n this.tokenizer.state = Tokenizer.MODE.SCRIPT_DATA;\n } else if (tn === $.PLAINTEXT) {\n this.tokenizer.state = Tokenizer.MODE.PLAINTEXT;\n }\n }\n }\n\n //Tree mutation\n _setDocumentType(token) {\n const name = token.name || '';\n const publicId = token.publicId || '';\n const systemId = token.systemId || '';\n\n this.treeAdapter.setDocumentType(this.document, name, publicId, systemId);\n }\n\n _attachElementToTree(element) {\n if (this._shouldFosterParentOnInsertion()) {\n this._fosterParentElement(element);\n } else {\n const parent = this.openElements.currentTmplContent || this.openElements.current;\n\n this.treeAdapter.appendChild(parent, element);\n }\n }\n\n _appendElement(token, namespaceURI) {\n const element = this.treeAdapter.createElement(token.tagName, namespaceURI, token.attrs);\n\n this._attachElementToTree(element);\n }\n\n _insertElement(token, namespaceURI) {\n const element = this.treeAdapter.createElement(token.tagName, namespaceURI, token.attrs);\n\n this._attachElementToTree(element);\n this.openElements.push(element);\n }\n\n _insertFakeElement(tagName) {\n const element = this.treeAdapter.createElement(tagName, NS.HTML, []);\n\n this._attachElementToTree(element);\n this.openElements.push(element);\n }\n\n _insertTemplate(token) {\n const tmpl = this.treeAdapter.createElement(token.tagName, NS.HTML, token.attrs);\n const content = this.treeAdapter.createDocumentFragment();\n\n this.treeAdapter.setTemplateContent(tmpl, content);\n this._attachElementToTree(tmpl);\n this.openElements.push(tmpl);\n }\n\n _insertFakeRootElement() {\n const element = this.treeAdapter.createElement($.HTML, NS.HTML, []);\n\n this.treeAdapter.appendChild(this.openElements.current, element);\n this.openElements.push(element);\n }\n\n _appendCommentNode(token, parent) {\n const commentNode = this.treeAdapter.createCommentNode(token.data);\n\n this.treeAdapter.appendChild(parent, commentNode);\n }\n\n _insertCharacters(token) {\n if (this._shouldFosterParentOnInsertion()) {\n this._fosterParentText(token.chars);\n } else {\n const parent = this.openElements.currentTmplContent || this.openElements.current;\n\n this.treeAdapter.insertText(parent, token.chars);\n }\n }\n\n _adoptNodes(donor, recipient) {\n for (let child = this.treeAdapter.getFirstChild(donor); child; child = this.treeAdapter.getFirstChild(donor)) {\n this.treeAdapter.detachNode(child);\n this.treeAdapter.appendChild(recipient, child);\n }\n }\n\n //Token processing\n _shouldProcessTokenInForeignContent(token) {\n const current = this._getAdjustedCurrentElement();\n\n if (!current || current === this.document) {\n return false;\n }\n\n const ns = this.treeAdapter.getNamespaceURI(current);\n\n if (ns === NS.HTML) {\n return false;\n }\n\n if (\n this.treeAdapter.getTagName(current) === $.ANNOTATION_XML &&\n ns === NS.MATHML &&\n token.type === Tokenizer.START_TAG_TOKEN &&\n token.tagName === $.SVG\n ) {\n return false;\n }\n\n const isCharacterToken =\n token.type === Tokenizer.CHARACTER_TOKEN ||\n token.type === Tokenizer.NULL_CHARACTER_TOKEN ||\n token.type === Tokenizer.WHITESPACE_CHARACTER_TOKEN;\n\n const isMathMLTextStartTag =\n token.type === Tokenizer.START_TAG_TOKEN && token.tagName !== $.MGLYPH && token.tagName !== $.MALIGNMARK;\n\n if ((isMathMLTextStartTag || isCharacterToken) && this._isIntegrationPoint(current, NS.MATHML)) {\n return false;\n }\n\n if (\n (token.type === Tokenizer.START_TAG_TOKEN || isCharacterToken) &&\n this._isIntegrationPoint(current, NS.HTML)\n ) {\n return false;\n }\n\n return token.type !== Tokenizer.EOF_TOKEN;\n }\n\n _processToken(token) {\n TOKEN_HANDLERS[this.insertionMode][token.type](this, token);\n }\n\n _processTokenInBodyMode(token) {\n TOKEN_HANDLERS[IN_BODY_MODE][token.type](this, token);\n }\n\n _processTokenInForeignContent(token) {\n if (token.type === Tokenizer.CHARACTER_TOKEN) {\n characterInForeignContent(this, token);\n } else if (token.type === Tokenizer.NULL_CHARACTER_TOKEN) {\n nullCharacterInForeignContent(this, token);\n } else if (token.type === Tokenizer.WHITESPACE_CHARACTER_TOKEN) {\n insertCharacters(this, token);\n } else if (token.type === Tokenizer.COMMENT_TOKEN) {\n appendComment(this, token);\n } else if (token.type === Tokenizer.START_TAG_TOKEN) {\n startTagInForeignContent(this, token);\n } else if (token.type === Tokenizer.END_TAG_TOKEN) {\n endTagInForeignContent(this, token);\n }\n }\n\n _processInputToken(token) {\n if (this._shouldProcessTokenInForeignContent(token)) {\n this._processTokenInForeignContent(token);\n } else {\n this._processToken(token);\n }\n\n if (token.type === Tokenizer.START_TAG_TOKEN && token.selfClosing && !token.ackSelfClosing) {\n this._err(ERR.nonVoidHtmlElementStartTagWithTrailingSolidus);\n }\n }\n\n //Integration points\n _isIntegrationPoint(element, foreignNS) {\n const tn = this.treeAdapter.getTagName(element);\n const ns = this.treeAdapter.getNamespaceURI(element);\n const attrs = this.treeAdapter.getAttrList(element);\n\n return foreignContent.isIntegrationPoint(tn, ns, attrs, foreignNS);\n }\n\n //Active formatting elements reconstruction\n _reconstructActiveFormattingElements() {\n const listLength = this.activeFormattingElements.length;\n\n if (listLength) {\n let unopenIdx = listLength;\n let entry = null;\n\n do {\n unopenIdx--;\n entry = this.activeFormattingElements.entries[unopenIdx];\n\n if (entry.type === FormattingElementList.MARKER_ENTRY || this.openElements.contains(entry.element)) {\n unopenIdx++;\n break;\n }\n } while (unopenIdx > 0);\n\n for (let i = unopenIdx; i < listLength; i++) {\n entry = this.activeFormattingElements.entries[i];\n this._insertElement(entry.token, this.treeAdapter.getNamespaceURI(entry.element));\n entry.element = this.openElements.current;\n }\n }\n }\n\n //Close elements\n _closeTableCell() {\n this.openElements.generateImpliedEndTags();\n this.openElements.popUntilTableCellPopped();\n this.activeFormattingElements.clearToLastMarker();\n this.insertionMode = IN_ROW_MODE;\n }\n\n _closePElement() {\n this.openElements.generateImpliedEndTagsWithExclusion($.P);\n this.openElements.popUntilTagNamePopped($.P);\n }\n\n //Insertion modes\n _resetInsertionMode() {\n for (let i = this.openElements.stackTop, last = false; i >= 0; i--) {\n let element = this.openElements.items[i];\n\n if (i === 0) {\n last = true;\n\n if (this.fragmentContext) {\n element = this.fragmentContext;\n }\n }\n\n const tn = this.treeAdapter.getTagName(element);\n const newInsertionMode = INSERTION_MODE_RESET_MAP[tn];\n\n if (newInsertionMode) {\n this.insertionMode = newInsertionMode;\n break;\n } else if (!last && (tn === $.TD || tn === $.TH)) {\n this.insertionMode = IN_CELL_MODE;\n break;\n } else if (!last && tn === $.HEAD) {\n this.insertionMode = IN_HEAD_MODE;\n break;\n } else if (tn === $.SELECT) {\n this._resetInsertionModeForSelect(i);\n break;\n } else if (tn === $.TEMPLATE) {\n this.insertionMode = this.currentTmplInsertionMode;\n break;\n } else if (tn === $.HTML) {\n this.insertionMode = this.headElement ? AFTER_HEAD_MODE : BEFORE_HEAD_MODE;\n break;\n } else if (last) {\n this.insertionMode = IN_BODY_MODE;\n break;\n }\n }\n }\n\n _resetInsertionModeForSelect(selectIdx) {\n if (selectIdx > 0) {\n for (let i = selectIdx - 1; i > 0; i--) {\n const ancestor = this.openElements.items[i];\n const tn = this.treeAdapter.getTagName(ancestor);\n\n if (tn === $.TEMPLATE) {\n break;\n } else if (tn === $.TABLE) {\n this.insertionMode = IN_SELECT_IN_TABLE_MODE;\n return;\n }\n }\n }\n\n this.insertionMode = IN_SELECT_MODE;\n }\n\n _pushTmplInsertionMode(mode) {\n this.tmplInsertionModeStack.push(mode);\n this.tmplInsertionModeStackTop++;\n this.currentTmplInsertionMode = mode;\n }\n\n _popTmplInsertionMode() {\n this.tmplInsertionModeStack.pop();\n this.tmplInsertionModeStackTop--;\n this.currentTmplInsertionMode = this.tmplInsertionModeStack[this.tmplInsertionModeStackTop];\n }\n\n //Foster parenting\n _isElementCausesFosterParenting(element) {\n const tn = this.treeAdapter.getTagName(element);\n\n return tn === $.TABLE || tn === $.TBODY || tn === $.TFOOT || tn === $.THEAD || tn === $.TR;\n }\n\n _shouldFosterParentOnInsertion() {\n return this.fosterParentingEnabled && this._isElementCausesFosterParenting(this.openElements.current);\n }\n\n _findFosterParentingLocation() {\n const location = {\n parent: null,\n beforeElement: null\n };\n\n for (let i = this.openElements.stackTop; i >= 0; i--) {\n const openElement = this.openElements.items[i];\n const tn = this.treeAdapter.getTagName(openElement);\n const ns = this.treeAdapter.getNamespaceURI(openElement);\n\n if (tn === $.TEMPLATE && ns === NS.HTML) {\n location.parent = this.treeAdapter.getTemplateContent(openElement);\n break;\n } else if (tn === $.TABLE) {\n location.parent = this.treeAdapter.getParentNode(openElement);\n\n if (location.parent) {\n location.beforeElement = openElement;\n } else {\n location.parent = this.openElements.items[i - 1];\n }\n\n break;\n }\n }\n\n if (!location.parent) {\n location.parent = this.openElements.items[0];\n }\n\n return location;\n }\n\n _fosterParentElement(element) {\n const location = this._findFosterParentingLocation();\n\n if (location.beforeElement) {\n this.treeAdapter.insertBefore(location.parent, element, location.beforeElement);\n } else {\n this.treeAdapter.appendChild(location.parent, element);\n }\n }\n\n _fosterParentText(chars) {\n const location = this._findFosterParentingLocation();\n\n if (location.beforeElement) {\n this.treeAdapter.insertTextBefore(location.parent, chars, location.beforeElement);\n } else {\n this.treeAdapter.insertText(location.parent, chars);\n }\n }\n\n //Special elements\n _isSpecialElement(element) {\n const tn = this.treeAdapter.getTagName(element);\n const ns = this.treeAdapter.getNamespaceURI(element);\n\n return HTML.SPECIAL_ELEMENTS[ns][tn];\n }\n}\n\nmodule.exports = Parser;\n\n//Adoption agency algorithm\n//(see: http://www.whatwg.org/specs/web-apps/current-work/multipage/tree-construction.html#adoptionAgency)\n//------------------------------------------------------------------\n\n//Steps 5-8 of the algorithm\nfunction aaObtainFormattingElementEntry(p, token) {\n let formattingElementEntry = p.activeFormattingElements.getElementEntryInScopeWithTagName(token.tagName);\n\n if (formattingElementEntry) {\n if (!p.openElements.contains(formattingElementEntry.element)) {\n p.activeFormattingElements.removeEntry(formattingElementEntry);\n formattingElementEntry = null;\n } else if (!p.openElements.hasInScope(token.tagName)) {\n formattingElementEntry = null;\n }\n } else {\n genericEndTagInBody(p, token);\n }\n\n return formattingElementEntry;\n}\n\n//Steps 9 and 10 of the algorithm\nfunction aaObtainFurthestBlock(p, formattingElementEntry) {\n let furthestBlock = null;\n\n for (let i = p.openElements.stackTop; i >= 0; i--) {\n const element = p.openElements.items[i];\n\n if (element === formattingElementEntry.element) {\n break;\n }\n\n if (p._isSpecialElement(element)) {\n furthestBlock = element;\n }\n }\n\n if (!furthestBlock) {\n p.openElements.popUntilElementPopped(formattingElementEntry.element);\n p.activeFormattingElements.removeEntry(formattingElementEntry);\n }\n\n return furthestBlock;\n}\n\n//Step 13 of the algorithm\nfunction aaInnerLoop(p, furthestBlock, formattingElement) {\n let lastElement = furthestBlock;\n let nextElement = p.openElements.getCommonAncestor(furthestBlock);\n\n for (let i = 0, element = nextElement; element !== formattingElement; i++, element = nextElement) {\n //NOTE: store next element for the next loop iteration (it may be deleted from the stack by step 9.5)\n nextElement = p.openElements.getCommonAncestor(element);\n\n const elementEntry = p.activeFormattingElements.getElementEntry(element);\n const counterOverflow = elementEntry && i >= AA_INNER_LOOP_ITER;\n const shouldRemoveFromOpenElements = !elementEntry || counterOverflow;\n\n if (shouldRemoveFromOpenElements) {\n if (counterOverflow) {\n p.activeFormattingElements.removeEntry(elementEntry);\n }\n\n p.openElements.remove(element);\n } else {\n element = aaRecreateElementFromEntry(p, elementEntry);\n\n if (lastElement === furthestBlock) {\n p.activeFormattingElements.bookmark = elementEntry;\n }\n\n p.treeAdapter.detachNode(lastElement);\n p.treeAdapter.appendChild(element, lastElement);\n lastElement = element;\n }\n }\n\n return lastElement;\n}\n\n//Step 13.7 of the algorithm\nfunction aaRecreateElementFromEntry(p, elementEntry) {\n const ns = p.treeAdapter.getNamespaceURI(elementEntry.element);\n const newElement = p.treeAdapter.createElement(elementEntry.token.tagName, ns, elementEntry.token.attrs);\n\n p.openElements.replace(elementEntry.element, newElement);\n elementEntry.element = newElement;\n\n return newElement;\n}\n\n//Step 14 of the algorithm\nfunction aaInsertLastNodeInCommonAncestor(p, commonAncestor, lastElement) {\n if (p._isElementCausesFosterParenting(commonAncestor)) {\n p._fosterParentElement(lastElement);\n } else {\n const tn = p.treeAdapter.getTagName(commonAncestor);\n const ns = p.treeAdapter.getNamespaceURI(commonAncestor);\n\n if (tn === $.TEMPLATE && ns === NS.HTML) {\n commonAncestor = p.treeAdapter.getTemplateContent(commonAncestor);\n }\n\n p.treeAdapter.appendChild(commonAncestor, lastElement);\n }\n}\n\n//Steps 15-19 of the algorithm\nfunction aaReplaceFormattingElement(p, furthestBlock, formattingElementEntry) {\n const ns = p.treeAdapter.getNamespaceURI(formattingElementEntry.element);\n const token = formattingElementEntry.token;\n const newElement = p.treeAdapter.createElement(token.tagName, ns, token.attrs);\n\n p._adoptNodes(furthestBlock, newElement);\n p.treeAdapter.appendChild(furthestBlock, newElement);\n\n p.activeFormattingElements.insertElementAfterBookmark(newElement, formattingElementEntry.token);\n p.activeFormattingElements.removeEntry(formattingElementEntry);\n\n p.openElements.remove(formattingElementEntry.element);\n p.openElements.insertAfter(furthestBlock, newElement);\n}\n\n//Algorithm entry point\nfunction callAdoptionAgency(p, token) {\n let formattingElementEntry;\n\n for (let i = 0; i < AA_OUTER_LOOP_ITER; i++) {\n formattingElementEntry = aaObtainFormattingElementEntry(p, token, formattingElementEntry);\n\n if (!formattingElementEntry) {\n break;\n }\n\n const furthestBlock = aaObtainFurthestBlock(p, formattingElementEntry);\n\n if (!furthestBlock) {\n break;\n }\n\n p.activeFormattingElements.bookmark = formattingElementEntry;\n\n const lastElement = aaInnerLoop(p, furthestBlock, formattingElementEntry.element);\n const commonAncestor = p.openElements.getCommonAncestor(formattingElementEntry.element);\n\n p.treeAdapter.detachNode(lastElement);\n aaInsertLastNodeInCommonAncestor(p, commonAncestor, lastElement);\n aaReplaceFormattingElement(p, furthestBlock, formattingElementEntry);\n }\n}\n\n//Generic token handlers\n//------------------------------------------------------------------\nfunction ignoreToken() {\n //NOTE: do nothing =)\n}\n\nfunction misplacedDoctype(p) {\n p._err(ERR.misplacedDoctype);\n}\n\nfunction appendComment(p, token) {\n p._appendCommentNode(token, p.openElements.currentTmplContent || p.openElements.current);\n}\n\nfunction appendCommentToRootHtmlElement(p, token) {\n p._appendCommentNode(token, p.openElements.items[0]);\n}\n\nfunction appendCommentToDocument(p, token) {\n p._appendCommentNode(token, p.document);\n}\n\nfunction insertCharacters(p, token) {\n p._insertCharacters(token);\n}\n\nfunction stopParsing(p) {\n p.stopped = true;\n}\n\n// The \"initial\" insertion mode\n//------------------------------------------------------------------\nfunction doctypeInInitialMode(p, token) {\n p._setDocumentType(token);\n\n const mode = token.forceQuirks ? HTML.DOCUMENT_MODE.QUIRKS : doctype.getDocumentMode(token);\n\n if (!doctype.isConforming(token)) {\n p._err(ERR.nonConformingDoctype);\n }\n\n p.treeAdapter.setDocumentMode(p.document, mode);\n\n p.insertionMode = BEFORE_HTML_MODE;\n}\n\nfunction tokenInInitialMode(p, token) {\n p._err(ERR.missingDoctype, { beforeToken: true });\n p.treeAdapter.setDocumentMode(p.document, HTML.DOCUMENT_MODE.QUIRKS);\n p.insertionMode = BEFORE_HTML_MODE;\n p._processToken(token);\n}\n\n// The \"before html\" insertion mode\n//------------------------------------------------------------------\nfunction startTagBeforeHtml(p, token) {\n if (token.tagName === $.HTML) {\n p._insertElement(token, NS.HTML);\n p.insertionMode = BEFORE_HEAD_MODE;\n } else {\n tokenBeforeHtml(p, token);\n }\n}\n\nfunction endTagBeforeHtml(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML || tn === $.HEAD || tn === $.BODY || tn === $.BR) {\n tokenBeforeHtml(p, token);\n }\n}\n\nfunction tokenBeforeHtml(p, token) {\n p._insertFakeRootElement();\n p.insertionMode = BEFORE_HEAD_MODE;\n p._processToken(token);\n}\n\n// The \"before head\" insertion mode\n//------------------------------------------------------------------\nfunction startTagBeforeHead(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.HEAD) {\n p._insertElement(token, NS.HTML);\n p.headElement = p.openElements.current;\n p.insertionMode = IN_HEAD_MODE;\n } else {\n tokenBeforeHead(p, token);\n }\n}\n\nfunction endTagBeforeHead(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HEAD || tn === $.BODY || tn === $.HTML || tn === $.BR) {\n tokenBeforeHead(p, token);\n } else {\n p._err(ERR.endTagWithoutMatchingOpenElement);\n }\n}\n\nfunction tokenBeforeHead(p, token) {\n p._insertFakeElement($.HEAD);\n p.headElement = p.openElements.current;\n p.insertionMode = IN_HEAD_MODE;\n p._processToken(token);\n}\n\n// The \"in head\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInHead(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.BASE || tn === $.BASEFONT || tn === $.BGSOUND || tn === $.LINK || tn === $.META) {\n p._appendElement(token, NS.HTML);\n token.ackSelfClosing = true;\n } else if (tn === $.TITLE) {\n p._switchToTextParsing(token, Tokenizer.MODE.RCDATA);\n } else if (tn === $.NOSCRIPT) {\n if (p.options.scriptingEnabled) {\n p._switchToTextParsing(token, Tokenizer.MODE.RAWTEXT);\n } else {\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_HEAD_NO_SCRIPT_MODE;\n }\n } else if (tn === $.NOFRAMES || tn === $.STYLE) {\n p._switchToTextParsing(token, Tokenizer.MODE.RAWTEXT);\n } else if (tn === $.SCRIPT) {\n p._switchToTextParsing(token, Tokenizer.MODE.SCRIPT_DATA);\n } else if (tn === $.TEMPLATE) {\n p._insertTemplate(token, NS.HTML);\n p.activeFormattingElements.insertMarker();\n p.framesetOk = false;\n p.insertionMode = IN_TEMPLATE_MODE;\n p._pushTmplInsertionMode(IN_TEMPLATE_MODE);\n } else if (tn === $.HEAD) {\n p._err(ERR.misplacedStartTagForHeadElement);\n } else {\n tokenInHead(p, token);\n }\n}\n\nfunction endTagInHead(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HEAD) {\n p.openElements.pop();\n p.insertionMode = AFTER_HEAD_MODE;\n } else if (tn === $.BODY || tn === $.BR || tn === $.HTML) {\n tokenInHead(p, token);\n } else if (tn === $.TEMPLATE) {\n if (p.openElements.tmplCount > 0) {\n p.openElements.generateImpliedEndTagsThoroughly();\n\n if (p.openElements.currentTagName !== $.TEMPLATE) {\n p._err(ERR.closingOfElementWithOpenChildElements);\n }\n\n p.openElements.popUntilTagNamePopped($.TEMPLATE);\n p.activeFormattingElements.clearToLastMarker();\n p._popTmplInsertionMode();\n p._resetInsertionMode();\n } else {\n p._err(ERR.endTagWithoutMatchingOpenElement);\n }\n } else {\n p._err(ERR.endTagWithoutMatchingOpenElement);\n }\n}\n\nfunction tokenInHead(p, token) {\n p.openElements.pop();\n p.insertionMode = AFTER_HEAD_MODE;\n p._processToken(token);\n}\n\n// The \"in head no script\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInHeadNoScript(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (\n tn === $.BASEFONT ||\n tn === $.BGSOUND ||\n tn === $.HEAD ||\n tn === $.LINK ||\n tn === $.META ||\n tn === $.NOFRAMES ||\n tn === $.STYLE\n ) {\n startTagInHead(p, token);\n } else if (tn === $.NOSCRIPT) {\n p._err(ERR.nestedNoscriptInHead);\n } else {\n tokenInHeadNoScript(p, token);\n }\n}\n\nfunction endTagInHeadNoScript(p, token) {\n const tn = token.tagName;\n\n if (tn === $.NOSCRIPT) {\n p.openElements.pop();\n p.insertionMode = IN_HEAD_MODE;\n } else if (tn === $.BR) {\n tokenInHeadNoScript(p, token);\n } else {\n p._err(ERR.endTagWithoutMatchingOpenElement);\n }\n}\n\nfunction tokenInHeadNoScript(p, token) {\n const errCode =\n token.type === Tokenizer.EOF_TOKEN ? ERR.openElementsLeftAfterEof : ERR.disallowedContentInNoscriptInHead;\n\n p._err(errCode);\n p.openElements.pop();\n p.insertionMode = IN_HEAD_MODE;\n p._processToken(token);\n}\n\n// The \"after head\" insertion mode\n//------------------------------------------------------------------\nfunction startTagAfterHead(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.BODY) {\n p._insertElement(token, NS.HTML);\n p.framesetOk = false;\n p.insertionMode = IN_BODY_MODE;\n } else if (tn === $.FRAMESET) {\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_FRAMESET_MODE;\n } else if (\n tn === $.BASE ||\n tn === $.BASEFONT ||\n tn === $.BGSOUND ||\n tn === $.LINK ||\n tn === $.META ||\n tn === $.NOFRAMES ||\n tn === $.SCRIPT ||\n tn === $.STYLE ||\n tn === $.TEMPLATE ||\n tn === $.TITLE\n ) {\n p._err(ERR.abandonedHeadElementChild);\n p.openElements.push(p.headElement);\n startTagInHead(p, token);\n p.openElements.remove(p.headElement);\n } else if (tn === $.HEAD) {\n p._err(ERR.misplacedStartTagForHeadElement);\n } else {\n tokenAfterHead(p, token);\n }\n}\n\nfunction endTagAfterHead(p, token) {\n const tn = token.tagName;\n\n if (tn === $.BODY || tn === $.HTML || tn === $.BR) {\n tokenAfterHead(p, token);\n } else if (tn === $.TEMPLATE) {\n endTagInHead(p, token);\n } else {\n p._err(ERR.endTagWithoutMatchingOpenElement);\n }\n}\n\nfunction tokenAfterHead(p, token) {\n p._insertFakeElement($.BODY);\n p.insertionMode = IN_BODY_MODE;\n p._processToken(token);\n}\n\n// The \"in body\" insertion mode\n//------------------------------------------------------------------\nfunction whitespaceCharacterInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._insertCharacters(token);\n}\n\nfunction characterInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._insertCharacters(token);\n p.framesetOk = false;\n}\n\nfunction htmlStartTagInBody(p, token) {\n if (p.openElements.tmplCount === 0) {\n p.treeAdapter.adoptAttributes(p.openElements.items[0], token.attrs);\n }\n}\n\nfunction bodyStartTagInBody(p, token) {\n const bodyElement = p.openElements.tryPeekProperlyNestedBodyElement();\n\n if (bodyElement && p.openElements.tmplCount === 0) {\n p.framesetOk = false;\n p.treeAdapter.adoptAttributes(bodyElement, token.attrs);\n }\n}\n\nfunction framesetStartTagInBody(p, token) {\n const bodyElement = p.openElements.tryPeekProperlyNestedBodyElement();\n\n if (p.framesetOk && bodyElement) {\n p.treeAdapter.detachNode(bodyElement);\n p.openElements.popAllUpToHtmlElement();\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_FRAMESET_MODE;\n }\n}\n\nfunction addressStartTagInBody(p, token) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._insertElement(token, NS.HTML);\n}\n\nfunction numberedHeaderStartTagInBody(p, token) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n const tn = p.openElements.currentTagName;\n\n if (tn === $.H1 || tn === $.H2 || tn === $.H3 || tn === $.H4 || tn === $.H5 || tn === $.H6) {\n p.openElements.pop();\n }\n\n p._insertElement(token, NS.HTML);\n}\n\nfunction preStartTagInBody(p, token) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._insertElement(token, NS.HTML);\n //NOTE: If the next token is a U+000A LINE FEED (LF) character token, then ignore that token and move\n //on to the next one. (Newlines at the start of pre blocks are ignored as an authoring convenience.)\n p.skipNextNewLine = true;\n p.framesetOk = false;\n}\n\nfunction formStartTagInBody(p, token) {\n const inTemplate = p.openElements.tmplCount > 0;\n\n if (!p.formElement || inTemplate) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._insertElement(token, NS.HTML);\n\n if (!inTemplate) {\n p.formElement = p.openElements.current;\n }\n }\n}\n\nfunction listItemStartTagInBody(p, token) {\n p.framesetOk = false;\n\n const tn = token.tagName;\n\n for (let i = p.openElements.stackTop; i >= 0; i--) {\n const element = p.openElements.items[i];\n const elementTn = p.treeAdapter.getTagName(element);\n let closeTn = null;\n\n if (tn === $.LI && elementTn === $.LI) {\n closeTn = $.LI;\n } else if ((tn === $.DD || tn === $.DT) && (elementTn === $.DD || elementTn === $.DT)) {\n closeTn = elementTn;\n }\n\n if (closeTn) {\n p.openElements.generateImpliedEndTagsWithExclusion(closeTn);\n p.openElements.popUntilTagNamePopped(closeTn);\n break;\n }\n\n if (elementTn !== $.ADDRESS && elementTn !== $.DIV && elementTn !== $.P && p._isSpecialElement(element)) {\n break;\n }\n }\n\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._insertElement(token, NS.HTML);\n}\n\nfunction plaintextStartTagInBody(p, token) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._insertElement(token, NS.HTML);\n p.tokenizer.state = Tokenizer.MODE.PLAINTEXT;\n}\n\nfunction buttonStartTagInBody(p, token) {\n if (p.openElements.hasInScope($.BUTTON)) {\n p.openElements.generateImpliedEndTags();\n p.openElements.popUntilTagNamePopped($.BUTTON);\n }\n\n p._reconstructActiveFormattingElements();\n p._insertElement(token, NS.HTML);\n p.framesetOk = false;\n}\n\nfunction aStartTagInBody(p, token) {\n const activeElementEntry = p.activeFormattingElements.getElementEntryInScopeWithTagName($.A);\n\n if (activeElementEntry) {\n callAdoptionAgency(p, token);\n p.openElements.remove(activeElementEntry.element);\n p.activeFormattingElements.removeEntry(activeElementEntry);\n }\n\n p._reconstructActiveFormattingElements();\n p._insertElement(token, NS.HTML);\n p.activeFormattingElements.pushElement(p.openElements.current, token);\n}\n\nfunction bStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._insertElement(token, NS.HTML);\n p.activeFormattingElements.pushElement(p.openElements.current, token);\n}\n\nfunction nobrStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n\n if (p.openElements.hasInScope($.NOBR)) {\n callAdoptionAgency(p, token);\n p._reconstructActiveFormattingElements();\n }\n\n p._insertElement(token, NS.HTML);\n p.activeFormattingElements.pushElement(p.openElements.current, token);\n}\n\nfunction appletStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._insertElement(token, NS.HTML);\n p.activeFormattingElements.insertMarker();\n p.framesetOk = false;\n}\n\nfunction tableStartTagInBody(p, token) {\n if (\n p.treeAdapter.getDocumentMode(p.document) !== HTML.DOCUMENT_MODE.QUIRKS &&\n p.openElements.hasInButtonScope($.P)\n ) {\n p._closePElement();\n }\n\n p._insertElement(token, NS.HTML);\n p.framesetOk = false;\n p.insertionMode = IN_TABLE_MODE;\n}\n\nfunction areaStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._appendElement(token, NS.HTML);\n p.framesetOk = false;\n token.ackSelfClosing = true;\n}\n\nfunction inputStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._appendElement(token, NS.HTML);\n\n const inputType = Tokenizer.getTokenAttr(token, ATTRS.TYPE);\n\n if (!inputType || inputType.toLowerCase() !== HIDDEN_INPUT_TYPE) {\n p.framesetOk = false;\n }\n\n token.ackSelfClosing = true;\n}\n\nfunction paramStartTagInBody(p, token) {\n p._appendElement(token, NS.HTML);\n token.ackSelfClosing = true;\n}\n\nfunction hrStartTagInBody(p, token) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._appendElement(token, NS.HTML);\n p.framesetOk = false;\n token.ackSelfClosing = true;\n}\n\nfunction imageStartTagInBody(p, token) {\n token.tagName = $.IMG;\n areaStartTagInBody(p, token);\n}\n\nfunction textareaStartTagInBody(p, token) {\n p._insertElement(token, NS.HTML);\n //NOTE: If the next token is a U+000A LINE FEED (LF) character token, then ignore that token and move\n //on to the next one. (Newlines at the start of textarea elements are ignored as an authoring convenience.)\n p.skipNextNewLine = true;\n p.tokenizer.state = Tokenizer.MODE.RCDATA;\n p.originalInsertionMode = p.insertionMode;\n p.framesetOk = false;\n p.insertionMode = TEXT_MODE;\n}\n\nfunction xmpStartTagInBody(p, token) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._reconstructActiveFormattingElements();\n p.framesetOk = false;\n p._switchToTextParsing(token, Tokenizer.MODE.RAWTEXT);\n}\n\nfunction iframeStartTagInBody(p, token) {\n p.framesetOk = false;\n p._switchToTextParsing(token, Tokenizer.MODE.RAWTEXT);\n}\n\n//NOTE: here we assume that we always act as an user agent with enabled plugins, so we parse\n//<noembed> as a rawtext.\nfunction noembedStartTagInBody(p, token) {\n p._switchToTextParsing(token, Tokenizer.MODE.RAWTEXT);\n}\n\nfunction selectStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._insertElement(token, NS.HTML);\n p.framesetOk = false;\n\n if (\n p.insertionMode === IN_TABLE_MODE ||\n p.insertionMode === IN_CAPTION_MODE ||\n p.insertionMode === IN_TABLE_BODY_MODE ||\n p.insertionMode === IN_ROW_MODE ||\n p.insertionMode === IN_CELL_MODE\n ) {\n p.insertionMode = IN_SELECT_IN_TABLE_MODE;\n } else {\n p.insertionMode = IN_SELECT_MODE;\n }\n}\n\nfunction optgroupStartTagInBody(p, token) {\n if (p.openElements.currentTagName === $.OPTION) {\n p.openElements.pop();\n }\n\n p._reconstructActiveFormattingElements();\n p._insertElement(token, NS.HTML);\n}\n\nfunction rbStartTagInBody(p, token) {\n if (p.openElements.hasInScope($.RUBY)) {\n p.openElements.generateImpliedEndTags();\n }\n\n p._insertElement(token, NS.HTML);\n}\n\nfunction rtStartTagInBody(p, token) {\n if (p.openElements.hasInScope($.RUBY)) {\n p.openElements.generateImpliedEndTagsWithExclusion($.RTC);\n }\n\n p._insertElement(token, NS.HTML);\n}\n\nfunction menuStartTagInBody(p, token) {\n if (p.openElements.hasInButtonScope($.P)) {\n p._closePElement();\n }\n\n p._insertElement(token, NS.HTML);\n}\n\nfunction mathStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n\n foreignContent.adjustTokenMathMLAttrs(token);\n foreignContent.adjustTokenXMLAttrs(token);\n\n if (token.selfClosing) {\n p._appendElement(token, NS.MATHML);\n } else {\n p._insertElement(token, NS.MATHML);\n }\n\n token.ackSelfClosing = true;\n}\n\nfunction svgStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n\n foreignContent.adjustTokenSVGAttrs(token);\n foreignContent.adjustTokenXMLAttrs(token);\n\n if (token.selfClosing) {\n p._appendElement(token, NS.SVG);\n } else {\n p._insertElement(token, NS.SVG);\n }\n\n token.ackSelfClosing = true;\n}\n\nfunction genericStartTagInBody(p, token) {\n p._reconstructActiveFormattingElements();\n p._insertElement(token, NS.HTML);\n}\n\n//OPTIMIZATION: Integer comparisons are low-cost, so we can use very fast tag name length filters here.\n//It's faster than using dictionary.\nfunction startTagInBody(p, token) {\n const tn = token.tagName;\n\n switch (tn.length) {\n case 1:\n if (tn === $.I || tn === $.S || tn === $.B || tn === $.U) {\n bStartTagInBody(p, token);\n } else if (tn === $.P) {\n addressStartTagInBody(p, token);\n } else if (tn === $.A) {\n aStartTagInBody(p, token);\n } else {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 2:\n if (tn === $.DL || tn === $.OL || tn === $.UL) {\n addressStartTagInBody(p, token);\n } else if (tn === $.H1 || tn === $.H2 || tn === $.H3 || tn === $.H4 || tn === $.H5 || tn === $.H6) {\n numberedHeaderStartTagInBody(p, token);\n } else if (tn === $.LI || tn === $.DD || tn === $.DT) {\n listItemStartTagInBody(p, token);\n } else if (tn === $.EM || tn === $.TT) {\n bStartTagInBody(p, token);\n } else if (tn === $.BR) {\n areaStartTagInBody(p, token);\n } else if (tn === $.HR) {\n hrStartTagInBody(p, token);\n } else if (tn === $.RB) {\n rbStartTagInBody(p, token);\n } else if (tn === $.RT || tn === $.RP) {\n rtStartTagInBody(p, token);\n } else if (tn !== $.TH && tn !== $.TD && tn !== $.TR) {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 3:\n if (tn === $.DIV || tn === $.DIR || tn === $.NAV) {\n addressStartTagInBody(p, token);\n } else if (tn === $.PRE) {\n preStartTagInBody(p, token);\n } else if (tn === $.BIG) {\n bStartTagInBody(p, token);\n } else if (tn === $.IMG || tn === $.WBR) {\n areaStartTagInBody(p, token);\n } else if (tn === $.XMP) {\n xmpStartTagInBody(p, token);\n } else if (tn === $.SVG) {\n svgStartTagInBody(p, token);\n } else if (tn === $.RTC) {\n rbStartTagInBody(p, token);\n } else if (tn !== $.COL) {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 4:\n if (tn === $.HTML) {\n htmlStartTagInBody(p, token);\n } else if (tn === $.BASE || tn === $.LINK || tn === $.META) {\n startTagInHead(p, token);\n } else if (tn === $.BODY) {\n bodyStartTagInBody(p, token);\n } else if (tn === $.MAIN || tn === $.MENU) {\n addressStartTagInBody(p, token);\n } else if (tn === $.FORM) {\n formStartTagInBody(p, token);\n } else if (tn === $.CODE || tn === $.FONT) {\n bStartTagInBody(p, token);\n } else if (tn === $.NOBR) {\n nobrStartTagInBody(p, token);\n } else if (tn === $.AREA) {\n areaStartTagInBody(p, token);\n } else if (tn === $.MATH) {\n mathStartTagInBody(p, token);\n } else if (tn === $.MENU) {\n menuStartTagInBody(p, token);\n } else if (tn !== $.HEAD) {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 5:\n if (tn === $.STYLE || tn === $.TITLE) {\n startTagInHead(p, token);\n } else if (tn === $.ASIDE) {\n addressStartTagInBody(p, token);\n } else if (tn === $.SMALL) {\n bStartTagInBody(p, token);\n } else if (tn === $.TABLE) {\n tableStartTagInBody(p, token);\n } else if (tn === $.EMBED) {\n areaStartTagInBody(p, token);\n } else if (tn === $.INPUT) {\n inputStartTagInBody(p, token);\n } else if (tn === $.PARAM || tn === $.TRACK) {\n paramStartTagInBody(p, token);\n } else if (tn === $.IMAGE) {\n imageStartTagInBody(p, token);\n } else if (tn !== $.FRAME && tn !== $.TBODY && tn !== $.TFOOT && tn !== $.THEAD) {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 6:\n if (tn === $.SCRIPT) {\n startTagInHead(p, token);\n } else if (\n tn === $.CENTER ||\n tn === $.FIGURE ||\n tn === $.FOOTER ||\n tn === $.HEADER ||\n tn === $.HGROUP ||\n tn === $.DIALOG\n ) {\n addressStartTagInBody(p, token);\n } else if (tn === $.BUTTON) {\n buttonStartTagInBody(p, token);\n } else if (tn === $.STRIKE || tn === $.STRONG) {\n bStartTagInBody(p, token);\n } else if (tn === $.APPLET || tn === $.OBJECT) {\n appletStartTagInBody(p, token);\n } else if (tn === $.KEYGEN) {\n areaStartTagInBody(p, token);\n } else if (tn === $.SOURCE) {\n paramStartTagInBody(p, token);\n } else if (tn === $.IFRAME) {\n iframeStartTagInBody(p, token);\n } else if (tn === $.SELECT) {\n selectStartTagInBody(p, token);\n } else if (tn === $.OPTION) {\n optgroupStartTagInBody(p, token);\n } else {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 7:\n if (tn === $.BGSOUND) {\n startTagInHead(p, token);\n } else if (\n tn === $.DETAILS ||\n tn === $.ADDRESS ||\n tn === $.ARTICLE ||\n tn === $.SECTION ||\n tn === $.SUMMARY\n ) {\n addressStartTagInBody(p, token);\n } else if (tn === $.LISTING) {\n preStartTagInBody(p, token);\n } else if (tn === $.MARQUEE) {\n appletStartTagInBody(p, token);\n } else if (tn === $.NOEMBED) {\n noembedStartTagInBody(p, token);\n } else if (tn !== $.CAPTION) {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 8:\n if (tn === $.BASEFONT) {\n startTagInHead(p, token);\n } else if (tn === $.FRAMESET) {\n framesetStartTagInBody(p, token);\n } else if (tn === $.FIELDSET) {\n addressStartTagInBody(p, token);\n } else if (tn === $.TEXTAREA) {\n textareaStartTagInBody(p, token);\n } else if (tn === $.TEMPLATE) {\n startTagInHead(p, token);\n } else if (tn === $.NOSCRIPT) {\n if (p.options.scriptingEnabled) {\n noembedStartTagInBody(p, token);\n } else {\n genericStartTagInBody(p, token);\n }\n } else if (tn === $.OPTGROUP) {\n optgroupStartTagInBody(p, token);\n } else if (tn !== $.COLGROUP) {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 9:\n if (tn === $.PLAINTEXT) {\n plaintextStartTagInBody(p, token);\n } else {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n case 10:\n if (tn === $.BLOCKQUOTE || tn === $.FIGCAPTION) {\n addressStartTagInBody(p, token);\n } else {\n genericStartTagInBody(p, token);\n }\n\n break;\n\n default:\n genericStartTagInBody(p, token);\n }\n}\n\nfunction bodyEndTagInBody(p) {\n if (p.openElements.hasInScope($.BODY)) {\n p.insertionMode = AFTER_BODY_MODE;\n }\n}\n\nfunction htmlEndTagInBody(p, token) {\n if (p.openElements.hasInScope($.BODY)) {\n p.insertionMode = AFTER_BODY_MODE;\n p._processToken(token);\n }\n}\n\nfunction addressEndTagInBody(p, token) {\n const tn = token.tagName;\n\n if (p.openElements.hasInScope(tn)) {\n p.openElements.generateImpliedEndTags();\n p.openElements.popUntilTagNamePopped(tn);\n }\n}\n\nfunction formEndTagInBody(p) {\n const inTemplate = p.openElements.tmplCount > 0;\n const formElement = p.formElement;\n\n if (!inTemplate) {\n p.formElement = null;\n }\n\n if ((formElement || inTemplate) && p.openElements.hasInScope($.FORM)) {\n p.openElements.generateImpliedEndTags();\n\n if (inTemplate) {\n p.openElements.popUntilTagNamePopped($.FORM);\n } else {\n p.openElements.remove(formElement);\n }\n }\n}\n\nfunction pEndTagInBody(p) {\n if (!p.openElements.hasInButtonScope($.P)) {\n p._insertFakeElement($.P);\n }\n\n p._closePElement();\n}\n\nfunction liEndTagInBody(p) {\n if (p.openElements.hasInListItemScope($.LI)) {\n p.openElements.generateImpliedEndTagsWithExclusion($.LI);\n p.openElements.popUntilTagNamePopped($.LI);\n }\n}\n\nfunction ddEndTagInBody(p, token) {\n const tn = token.tagName;\n\n if (p.openElements.hasInScope(tn)) {\n p.openElements.generateImpliedEndTagsWithExclusion(tn);\n p.openElements.popUntilTagNamePopped(tn);\n }\n}\n\nfunction numberedHeaderEndTagInBody(p) {\n if (p.openElements.hasNumberedHeaderInScope()) {\n p.openElements.generateImpliedEndTags();\n p.openElements.popUntilNumberedHeaderPopped();\n }\n}\n\nfunction appletEndTagInBody(p, token) {\n const tn = token.tagName;\n\n if (p.openElements.hasInScope(tn)) {\n p.openElements.generateImpliedEndTags();\n p.openElements.popUntilTagNamePopped(tn);\n p.activeFormattingElements.clearToLastMarker();\n }\n}\n\nfunction brEndTagInBody(p) {\n p._reconstructActiveFormattingElements();\n p._insertFakeElement($.BR);\n p.openElements.pop();\n p.framesetOk = false;\n}\n\nfunction genericEndTagInBody(p, token) {\n const tn = token.tagName;\n\n for (let i = p.openElements.stackTop; i > 0; i--) {\n const element = p.openElements.items[i];\n\n if (p.treeAdapter.getTagName(element) === tn) {\n p.openElements.generateImpliedEndTagsWithExclusion(tn);\n p.openElements.popUntilElementPopped(element);\n break;\n }\n\n if (p._isSpecialElement(element)) {\n break;\n }\n }\n}\n\n//OPTIMIZATION: Integer comparisons are low-cost, so we can use very fast tag name length filters here.\n//It's faster than using dictionary.\nfunction endTagInBody(p, token) {\n const tn = token.tagName;\n\n switch (tn.length) {\n case 1:\n if (tn === $.A || tn === $.B || tn === $.I || tn === $.S || tn === $.U) {\n callAdoptionAgency(p, token);\n } else if (tn === $.P) {\n pEndTagInBody(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 2:\n if (tn === $.DL || tn === $.UL || tn === $.OL) {\n addressEndTagInBody(p, token);\n } else if (tn === $.LI) {\n liEndTagInBody(p, token);\n } else if (tn === $.DD || tn === $.DT) {\n ddEndTagInBody(p, token);\n } else if (tn === $.H1 || tn === $.H2 || tn === $.H3 || tn === $.H4 || tn === $.H5 || tn === $.H6) {\n numberedHeaderEndTagInBody(p, token);\n } else if (tn === $.BR) {\n brEndTagInBody(p, token);\n } else if (tn === $.EM || tn === $.TT) {\n callAdoptionAgency(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 3:\n if (tn === $.BIG) {\n callAdoptionAgency(p, token);\n } else if (tn === $.DIR || tn === $.DIV || tn === $.NAV || tn === $.PRE) {\n addressEndTagInBody(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 4:\n if (tn === $.BODY) {\n bodyEndTagInBody(p, token);\n } else if (tn === $.HTML) {\n htmlEndTagInBody(p, token);\n } else if (tn === $.FORM) {\n formEndTagInBody(p, token);\n } else if (tn === $.CODE || tn === $.FONT || tn === $.NOBR) {\n callAdoptionAgency(p, token);\n } else if (tn === $.MAIN || tn === $.MENU) {\n addressEndTagInBody(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 5:\n if (tn === $.ASIDE) {\n addressEndTagInBody(p, token);\n } else if (tn === $.SMALL) {\n callAdoptionAgency(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 6:\n if (\n tn === $.CENTER ||\n tn === $.FIGURE ||\n tn === $.FOOTER ||\n tn === $.HEADER ||\n tn === $.HGROUP ||\n tn === $.DIALOG\n ) {\n addressEndTagInBody(p, token);\n } else if (tn === $.APPLET || tn === $.OBJECT) {\n appletEndTagInBody(p, token);\n } else if (tn === $.STRIKE || tn === $.STRONG) {\n callAdoptionAgency(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 7:\n if (\n tn === $.ADDRESS ||\n tn === $.ARTICLE ||\n tn === $.DETAILS ||\n tn === $.SECTION ||\n tn === $.SUMMARY ||\n tn === $.LISTING\n ) {\n addressEndTagInBody(p, token);\n } else if (tn === $.MARQUEE) {\n appletEndTagInBody(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 8:\n if (tn === $.FIELDSET) {\n addressEndTagInBody(p, token);\n } else if (tn === $.TEMPLATE) {\n endTagInHead(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n case 10:\n if (tn === $.BLOCKQUOTE || tn === $.FIGCAPTION) {\n addressEndTagInBody(p, token);\n } else {\n genericEndTagInBody(p, token);\n }\n\n break;\n\n default:\n genericEndTagInBody(p, token);\n }\n}\n\nfunction eofInBody(p, token) {\n if (p.tmplInsertionModeStackTop > -1) {\n eofInTemplate(p, token);\n } else {\n p.stopped = true;\n }\n}\n\n// The \"text\" insertion mode\n//------------------------------------------------------------------\nfunction endTagInText(p, token) {\n if (token.tagName === $.SCRIPT) {\n p.pendingScript = p.openElements.current;\n }\n\n p.openElements.pop();\n p.insertionMode = p.originalInsertionMode;\n}\n\nfunction eofInText(p, token) {\n p._err(ERR.eofInElementThatCanContainOnlyText);\n p.openElements.pop();\n p.insertionMode = p.originalInsertionMode;\n p._processToken(token);\n}\n\n// The \"in table\" insertion mode\n//------------------------------------------------------------------\nfunction characterInTable(p, token) {\n const curTn = p.openElements.currentTagName;\n\n if (curTn === $.TABLE || curTn === $.TBODY || curTn === $.TFOOT || curTn === $.THEAD || curTn === $.TR) {\n p.pendingCharacterTokens = [];\n p.hasNonWhitespacePendingCharacterToken = false;\n p.originalInsertionMode = p.insertionMode;\n p.insertionMode = IN_TABLE_TEXT_MODE;\n p._processToken(token);\n } else {\n tokenInTable(p, token);\n }\n}\n\nfunction captionStartTagInTable(p, token) {\n p.openElements.clearBackToTableContext();\n p.activeFormattingElements.insertMarker();\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_CAPTION_MODE;\n}\n\nfunction colgroupStartTagInTable(p, token) {\n p.openElements.clearBackToTableContext();\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_COLUMN_GROUP_MODE;\n}\n\nfunction colStartTagInTable(p, token) {\n p.openElements.clearBackToTableContext();\n p._insertFakeElement($.COLGROUP);\n p.insertionMode = IN_COLUMN_GROUP_MODE;\n p._processToken(token);\n}\n\nfunction tbodyStartTagInTable(p, token) {\n p.openElements.clearBackToTableContext();\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_TABLE_BODY_MODE;\n}\n\nfunction tdStartTagInTable(p, token) {\n p.openElements.clearBackToTableContext();\n p._insertFakeElement($.TBODY);\n p.insertionMode = IN_TABLE_BODY_MODE;\n p._processToken(token);\n}\n\nfunction tableStartTagInTable(p, token) {\n if (p.openElements.hasInTableScope($.TABLE)) {\n p.openElements.popUntilTagNamePopped($.TABLE);\n p._resetInsertionMode();\n p._processToken(token);\n }\n}\n\nfunction inputStartTagInTable(p, token) {\n const inputType = Tokenizer.getTokenAttr(token, ATTRS.TYPE);\n\n if (inputType && inputType.toLowerCase() === HIDDEN_INPUT_TYPE) {\n p._appendElement(token, NS.HTML);\n } else {\n tokenInTable(p, token);\n }\n\n token.ackSelfClosing = true;\n}\n\nfunction formStartTagInTable(p, token) {\n if (!p.formElement && p.openElements.tmplCount === 0) {\n p._insertElement(token, NS.HTML);\n p.formElement = p.openElements.current;\n p.openElements.pop();\n }\n}\n\nfunction startTagInTable(p, token) {\n const tn = token.tagName;\n\n switch (tn.length) {\n case 2:\n if (tn === $.TD || tn === $.TH || tn === $.TR) {\n tdStartTagInTable(p, token);\n } else {\n tokenInTable(p, token);\n }\n\n break;\n\n case 3:\n if (tn === $.COL) {\n colStartTagInTable(p, token);\n } else {\n tokenInTable(p, token);\n }\n\n break;\n\n case 4:\n if (tn === $.FORM) {\n formStartTagInTable(p, token);\n } else {\n tokenInTable(p, token);\n }\n\n break;\n\n case 5:\n if (tn === $.TABLE) {\n tableStartTagInTable(p, token);\n } else if (tn === $.STYLE) {\n startTagInHead(p, token);\n } else if (tn === $.TBODY || tn === $.TFOOT || tn === $.THEAD) {\n tbodyStartTagInTable(p, token);\n } else if (tn === $.INPUT) {\n inputStartTagInTable(p, token);\n } else {\n tokenInTable(p, token);\n }\n\n break;\n\n case 6:\n if (tn === $.SCRIPT) {\n startTagInHead(p, token);\n } else {\n tokenInTable(p, token);\n }\n\n break;\n\n case 7:\n if (tn === $.CAPTION) {\n captionStartTagInTable(p, token);\n } else {\n tokenInTable(p, token);\n }\n\n break;\n\n case 8:\n if (tn === $.COLGROUP) {\n colgroupStartTagInTable(p, token);\n } else if (tn === $.TEMPLATE) {\n startTagInHead(p, token);\n } else {\n tokenInTable(p, token);\n }\n\n break;\n\n default:\n tokenInTable(p, token);\n }\n}\n\nfunction endTagInTable(p, token) {\n const tn = token.tagName;\n\n if (tn === $.TABLE) {\n if (p.openElements.hasInTableScope($.TABLE)) {\n p.openElements.popUntilTagNamePopped($.TABLE);\n p._resetInsertionMode();\n }\n } else if (tn === $.TEMPLATE) {\n endTagInHead(p, token);\n } else if (\n tn !== $.BODY &&\n tn !== $.CAPTION &&\n tn !== $.COL &&\n tn !== $.COLGROUP &&\n tn !== $.HTML &&\n tn !== $.TBODY &&\n tn !== $.TD &&\n tn !== $.TFOOT &&\n tn !== $.TH &&\n tn !== $.THEAD &&\n tn !== $.TR\n ) {\n tokenInTable(p, token);\n }\n}\n\nfunction tokenInTable(p, token) {\n const savedFosterParentingState = p.fosterParentingEnabled;\n\n p.fosterParentingEnabled = true;\n p._processTokenInBodyMode(token);\n p.fosterParentingEnabled = savedFosterParentingState;\n}\n\n// The \"in table text\" insertion mode\n//------------------------------------------------------------------\nfunction whitespaceCharacterInTableText(p, token) {\n p.pendingCharacterTokens.push(token);\n}\n\nfunction characterInTableText(p, token) {\n p.pendingCharacterTokens.push(token);\n p.hasNonWhitespacePendingCharacterToken = true;\n}\n\nfunction tokenInTableText(p, token) {\n let i = 0;\n\n if (p.hasNonWhitespacePendingCharacterToken) {\n for (; i < p.pendingCharacterTokens.length; i++) {\n tokenInTable(p, p.pendingCharacterTokens[i]);\n }\n } else {\n for (; i < p.pendingCharacterTokens.length; i++) {\n p._insertCharacters(p.pendingCharacterTokens[i]);\n }\n }\n\n p.insertionMode = p.originalInsertionMode;\n p._processToken(token);\n}\n\n// The \"in caption\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInCaption(p, token) {\n const tn = token.tagName;\n\n if (\n tn === $.CAPTION ||\n tn === $.COL ||\n tn === $.COLGROUP ||\n tn === $.TBODY ||\n tn === $.TD ||\n tn === $.TFOOT ||\n tn === $.TH ||\n tn === $.THEAD ||\n tn === $.TR\n ) {\n if (p.openElements.hasInTableScope($.CAPTION)) {\n p.openElements.generateImpliedEndTags();\n p.openElements.popUntilTagNamePopped($.CAPTION);\n p.activeFormattingElements.clearToLastMarker();\n p.insertionMode = IN_TABLE_MODE;\n p._processToken(token);\n }\n } else {\n startTagInBody(p, token);\n }\n}\n\nfunction endTagInCaption(p, token) {\n const tn = token.tagName;\n\n if (tn === $.CAPTION || tn === $.TABLE) {\n if (p.openElements.hasInTableScope($.CAPTION)) {\n p.openElements.generateImpliedEndTags();\n p.openElements.popUntilTagNamePopped($.CAPTION);\n p.activeFormattingElements.clearToLastMarker();\n p.insertionMode = IN_TABLE_MODE;\n\n if (tn === $.TABLE) {\n p._processToken(token);\n }\n }\n } else if (\n tn !== $.BODY &&\n tn !== $.COL &&\n tn !== $.COLGROUP &&\n tn !== $.HTML &&\n tn !== $.TBODY &&\n tn !== $.TD &&\n tn !== $.TFOOT &&\n tn !== $.TH &&\n tn !== $.THEAD &&\n tn !== $.TR\n ) {\n endTagInBody(p, token);\n }\n}\n\n// The \"in column group\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInColumnGroup(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.COL) {\n p._appendElement(token, NS.HTML);\n token.ackSelfClosing = true;\n } else if (tn === $.TEMPLATE) {\n startTagInHead(p, token);\n } else {\n tokenInColumnGroup(p, token);\n }\n}\n\nfunction endTagInColumnGroup(p, token) {\n const tn = token.tagName;\n\n if (tn === $.COLGROUP) {\n if (p.openElements.currentTagName === $.COLGROUP) {\n p.openElements.pop();\n p.insertionMode = IN_TABLE_MODE;\n }\n } else if (tn === $.TEMPLATE) {\n endTagInHead(p, token);\n } else if (tn !== $.COL) {\n tokenInColumnGroup(p, token);\n }\n}\n\nfunction tokenInColumnGroup(p, token) {\n if (p.openElements.currentTagName === $.COLGROUP) {\n p.openElements.pop();\n p.insertionMode = IN_TABLE_MODE;\n p._processToken(token);\n }\n}\n\n// The \"in table body\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInTableBody(p, token) {\n const tn = token.tagName;\n\n if (tn === $.TR) {\n p.openElements.clearBackToTableBodyContext();\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_ROW_MODE;\n } else if (tn === $.TH || tn === $.TD) {\n p.openElements.clearBackToTableBodyContext();\n p._insertFakeElement($.TR);\n p.insertionMode = IN_ROW_MODE;\n p._processToken(token);\n } else if (\n tn === $.CAPTION ||\n tn === $.COL ||\n tn === $.COLGROUP ||\n tn === $.TBODY ||\n tn === $.TFOOT ||\n tn === $.THEAD\n ) {\n if (p.openElements.hasTableBodyContextInTableScope()) {\n p.openElements.clearBackToTableBodyContext();\n p.openElements.pop();\n p.insertionMode = IN_TABLE_MODE;\n p._processToken(token);\n }\n } else {\n startTagInTable(p, token);\n }\n}\n\nfunction endTagInTableBody(p, token) {\n const tn = token.tagName;\n\n if (tn === $.TBODY || tn === $.TFOOT || tn === $.THEAD) {\n if (p.openElements.hasInTableScope(tn)) {\n p.openElements.clearBackToTableBodyContext();\n p.openElements.pop();\n p.insertionMode = IN_TABLE_MODE;\n }\n } else if (tn === $.TABLE) {\n if (p.openElements.hasTableBodyContextInTableScope()) {\n p.openElements.clearBackToTableBodyContext();\n p.openElements.pop();\n p.insertionMode = IN_TABLE_MODE;\n p._processToken(token);\n }\n } else if (\n (tn !== $.BODY && tn !== $.CAPTION && tn !== $.COL && tn !== $.COLGROUP) ||\n (tn !== $.HTML && tn !== $.TD && tn !== $.TH && tn !== $.TR)\n ) {\n endTagInTable(p, token);\n }\n}\n\n// The \"in row\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInRow(p, token) {\n const tn = token.tagName;\n\n if (tn === $.TH || tn === $.TD) {\n p.openElements.clearBackToTableRowContext();\n p._insertElement(token, NS.HTML);\n p.insertionMode = IN_CELL_MODE;\n p.activeFormattingElements.insertMarker();\n } else if (\n tn === $.CAPTION ||\n tn === $.COL ||\n tn === $.COLGROUP ||\n tn === $.TBODY ||\n tn === $.TFOOT ||\n tn === $.THEAD ||\n tn === $.TR\n ) {\n if (p.openElements.hasInTableScope($.TR)) {\n p.openElements.clearBackToTableRowContext();\n p.openElements.pop();\n p.insertionMode = IN_TABLE_BODY_MODE;\n p._processToken(token);\n }\n } else {\n startTagInTable(p, token);\n }\n}\n\nfunction endTagInRow(p, token) {\n const tn = token.tagName;\n\n if (tn === $.TR) {\n if (p.openElements.hasInTableScope($.TR)) {\n p.openElements.clearBackToTableRowContext();\n p.openElements.pop();\n p.insertionMode = IN_TABLE_BODY_MODE;\n }\n } else if (tn === $.TABLE) {\n if (p.openElements.hasInTableScope($.TR)) {\n p.openElements.clearBackToTableRowContext();\n p.openElements.pop();\n p.insertionMode = IN_TABLE_BODY_MODE;\n p._processToken(token);\n }\n } else if (tn === $.TBODY || tn === $.TFOOT || tn === $.THEAD) {\n if (p.openElements.hasInTableScope(tn) || p.openElements.hasInTableScope($.TR)) {\n p.openElements.clearBackToTableRowContext();\n p.openElements.pop();\n p.insertionMode = IN_TABLE_BODY_MODE;\n p._processToken(token);\n }\n } else if (\n (tn !== $.BODY && tn !== $.CAPTION && tn !== $.COL && tn !== $.COLGROUP) ||\n (tn !== $.HTML && tn !== $.TD && tn !== $.TH)\n ) {\n endTagInTable(p, token);\n }\n}\n\n// The \"in cell\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInCell(p, token) {\n const tn = token.tagName;\n\n if (\n tn === $.CAPTION ||\n tn === $.COL ||\n tn === $.COLGROUP ||\n tn === $.TBODY ||\n tn === $.TD ||\n tn === $.TFOOT ||\n tn === $.TH ||\n tn === $.THEAD ||\n tn === $.TR\n ) {\n if (p.openElements.hasInTableScope($.TD) || p.openElements.hasInTableScope($.TH)) {\n p._closeTableCell();\n p._processToken(token);\n }\n } else {\n startTagInBody(p, token);\n }\n}\n\nfunction endTagInCell(p, token) {\n const tn = token.tagName;\n\n if (tn === $.TD || tn === $.TH) {\n if (p.openElements.hasInTableScope(tn)) {\n p.openElements.generateImpliedEndTags();\n p.openElements.popUntilTagNamePopped(tn);\n p.activeFormattingElements.clearToLastMarker();\n p.insertionMode = IN_ROW_MODE;\n }\n } else if (tn === $.TABLE || tn === $.TBODY || tn === $.TFOOT || tn === $.THEAD || tn === $.TR) {\n if (p.openElements.hasInTableScope(tn)) {\n p._closeTableCell();\n p._processToken(token);\n }\n } else if (tn !== $.BODY && tn !== $.CAPTION && tn !== $.COL && tn !== $.COLGROUP && tn !== $.HTML) {\n endTagInBody(p, token);\n }\n}\n\n// The \"in select\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInSelect(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.OPTION) {\n if (p.openElements.currentTagName === $.OPTION) {\n p.openElements.pop();\n }\n\n p._insertElement(token, NS.HTML);\n } else if (tn === $.OPTGROUP) {\n if (p.openElements.currentTagName === $.OPTION) {\n p.openElements.pop();\n }\n\n if (p.openElements.currentTagName === $.OPTGROUP) {\n p.openElements.pop();\n }\n\n p._insertElement(token, NS.HTML);\n } else if (tn === $.INPUT || tn === $.KEYGEN || tn === $.TEXTAREA || tn === $.SELECT) {\n if (p.openElements.hasInSelectScope($.SELECT)) {\n p.openElements.popUntilTagNamePopped($.SELECT);\n p._resetInsertionMode();\n\n if (tn !== $.SELECT) {\n p._processToken(token);\n }\n }\n } else if (tn === $.SCRIPT || tn === $.TEMPLATE) {\n startTagInHead(p, token);\n }\n}\n\nfunction endTagInSelect(p, token) {\n const tn = token.tagName;\n\n if (tn === $.OPTGROUP) {\n const prevOpenElement = p.openElements.items[p.openElements.stackTop - 1];\n const prevOpenElementTn = prevOpenElement && p.treeAdapter.getTagName(prevOpenElement);\n\n if (p.openElements.currentTagName === $.OPTION && prevOpenElementTn === $.OPTGROUP) {\n p.openElements.pop();\n }\n\n if (p.openElements.currentTagName === $.OPTGROUP) {\n p.openElements.pop();\n }\n } else if (tn === $.OPTION) {\n if (p.openElements.currentTagName === $.OPTION) {\n p.openElements.pop();\n }\n } else if (tn === $.SELECT && p.openElements.hasInSelectScope($.SELECT)) {\n p.openElements.popUntilTagNamePopped($.SELECT);\n p._resetInsertionMode();\n } else if (tn === $.TEMPLATE) {\n endTagInHead(p, token);\n }\n}\n\n//12.2.5.4.17 The \"in select in table\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInSelectInTable(p, token) {\n const tn = token.tagName;\n\n if (\n tn === $.CAPTION ||\n tn === $.TABLE ||\n tn === $.TBODY ||\n tn === $.TFOOT ||\n tn === $.THEAD ||\n tn === $.TR ||\n tn === $.TD ||\n tn === $.TH\n ) {\n p.openElements.popUntilTagNamePopped($.SELECT);\n p._resetInsertionMode();\n p._processToken(token);\n } else {\n startTagInSelect(p, token);\n }\n}\n\nfunction endTagInSelectInTable(p, token) {\n const tn = token.tagName;\n\n if (\n tn === $.CAPTION ||\n tn === $.TABLE ||\n tn === $.TBODY ||\n tn === $.TFOOT ||\n tn === $.THEAD ||\n tn === $.TR ||\n tn === $.TD ||\n tn === $.TH\n ) {\n if (p.openElements.hasInTableScope(tn)) {\n p.openElements.popUntilTagNamePopped($.SELECT);\n p._resetInsertionMode();\n p._processToken(token);\n }\n } else {\n endTagInSelect(p, token);\n }\n}\n\n// The \"in template\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInTemplate(p, token) {\n const tn = token.tagName;\n\n if (\n tn === $.BASE ||\n tn === $.BASEFONT ||\n tn === $.BGSOUND ||\n tn === $.LINK ||\n tn === $.META ||\n tn === $.NOFRAMES ||\n tn === $.SCRIPT ||\n tn === $.STYLE ||\n tn === $.TEMPLATE ||\n tn === $.TITLE\n ) {\n startTagInHead(p, token);\n } else {\n const newInsertionMode = TEMPLATE_INSERTION_MODE_SWITCH_MAP[tn] || IN_BODY_MODE;\n\n p._popTmplInsertionMode();\n p._pushTmplInsertionMode(newInsertionMode);\n p.insertionMode = newInsertionMode;\n p._processToken(token);\n }\n}\n\nfunction endTagInTemplate(p, token) {\n if (token.tagName === $.TEMPLATE) {\n endTagInHead(p, token);\n }\n}\n\nfunction eofInTemplate(p, token) {\n if (p.openElements.tmplCount > 0) {\n p.openElements.popUntilTagNamePopped($.TEMPLATE);\n p.activeFormattingElements.clearToLastMarker();\n p._popTmplInsertionMode();\n p._resetInsertionMode();\n p._processToken(token);\n } else {\n p.stopped = true;\n }\n}\n\n// The \"after body\" insertion mode\n//------------------------------------------------------------------\nfunction startTagAfterBody(p, token) {\n if (token.tagName === $.HTML) {\n startTagInBody(p, token);\n } else {\n tokenAfterBody(p, token);\n }\n}\n\nfunction endTagAfterBody(p, token) {\n if (token.tagName === $.HTML) {\n if (!p.fragmentContext) {\n p.insertionMode = AFTER_AFTER_BODY_MODE;\n }\n } else {\n tokenAfterBody(p, token);\n }\n}\n\nfunction tokenAfterBody(p, token) {\n p.insertionMode = IN_BODY_MODE;\n p._processToken(token);\n}\n\n// The \"in frameset\" insertion mode\n//------------------------------------------------------------------\nfunction startTagInFrameset(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.FRAMESET) {\n p._insertElement(token, NS.HTML);\n } else if (tn === $.FRAME) {\n p._appendElement(token, NS.HTML);\n token.ackSelfClosing = true;\n } else if (tn === $.NOFRAMES) {\n startTagInHead(p, token);\n }\n}\n\nfunction endTagInFrameset(p, token) {\n if (token.tagName === $.FRAMESET && !p.openElements.isRootHtmlElementCurrent()) {\n p.openElements.pop();\n\n if (!p.fragmentContext && p.openElements.currentTagName !== $.FRAMESET) {\n p.insertionMode = AFTER_FRAMESET_MODE;\n }\n }\n}\n\n// The \"after frameset\" insertion mode\n//------------------------------------------------------------------\nfunction startTagAfterFrameset(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.NOFRAMES) {\n startTagInHead(p, token);\n }\n}\n\nfunction endTagAfterFrameset(p, token) {\n if (token.tagName === $.HTML) {\n p.insertionMode = AFTER_AFTER_FRAMESET_MODE;\n }\n}\n\n// The \"after after body\" insertion mode\n//------------------------------------------------------------------\nfunction startTagAfterAfterBody(p, token) {\n if (token.tagName === $.HTML) {\n startTagInBody(p, token);\n } else {\n tokenAfterAfterBody(p, token);\n }\n}\n\nfunction tokenAfterAfterBody(p, token) {\n p.insertionMode = IN_BODY_MODE;\n p._processToken(token);\n}\n\n// The \"after after frameset\" insertion mode\n//------------------------------------------------------------------\nfunction startTagAfterAfterFrameset(p, token) {\n const tn = token.tagName;\n\n if (tn === $.HTML) {\n startTagInBody(p, token);\n } else if (tn === $.NOFRAMES) {\n startTagInHead(p, token);\n }\n}\n\n// The rules for parsing tokens in foreign content\n//------------------------------------------------------------------\nfunction nullCharacterInForeignContent(p, token) {\n token.chars = unicode.REPLACEMENT_CHARACTER;\n p._insertCharacters(token);\n}\n\nfunction characterInForeignContent(p, token) {\n p._insertCharacters(token);\n p.framesetOk = false;\n}\n\nfunction startTagInForeignContent(p, token) {\n if (foreignContent.causesExit(token) && !p.fragmentContext) {\n while (\n p.treeAdapter.getNamespaceURI(p.openElements.current) !== NS.HTML &&\n !p._isIntegrationPoint(p.openElements.current)\n ) {\n p.openElements.pop();\n }\n\n p._processToken(token);\n } else {\n const current = p._getAdjustedCurrentElement();\n const currentNs = p.treeAdapter.getNamespaceURI(current);\n\n if (currentNs === NS.MATHML) {\n foreignContent.adjustTokenMathMLAttrs(token);\n } else if (currentNs === NS.SVG) {\n foreignContent.adjustTokenSVGTagName(token);\n foreignContent.adjustTokenSVGAttrs(token);\n }\n\n foreignContent.adjustTokenXMLAttrs(token);\n\n if (token.selfClosing) {\n p._appendElement(token, currentNs);\n } else {\n p._insertElement(token, currentNs);\n }\n\n token.ackSelfClosing = true;\n }\n}\n\nfunction endTagInForeignContent(p, token) {\n for (let i = p.openElements.stackTop; i > 0; i--) {\n const element = p.openElements.items[i];\n\n if (p.treeAdapter.getNamespaceURI(element) === NS.HTML) {\n p._processToken(token);\n break;\n }\n\n if (p.treeAdapter.getTagName(element).toLowerCase() === token.tagName) {\n p.openElements.popUntilElementPopped(element);\n break;\n }\n }\n}\n","'use strict';\n\nconst HTML = require('../common/html');\n\n//Aliases\nconst $ = HTML.TAG_NAMES;\nconst NS = HTML.NAMESPACES;\n\n//Element utils\n\n//OPTIMIZATION: Integer comparisons are low-cost, so we can use very fast tag name length filters here.\n//It's faster than using dictionary.\nfunction isImpliedEndTagRequired(tn) {\n switch (tn.length) {\n case 1:\n return tn === $.P;\n\n case 2:\n return tn === $.RB || tn === $.RP || tn === $.RT || tn === $.DD || tn === $.DT || tn === $.LI;\n\n case 3:\n return tn === $.RTC;\n\n case 6:\n return tn === $.OPTION;\n\n case 8:\n return tn === $.OPTGROUP;\n }\n\n return false;\n}\n\nfunction isImpliedEndTagRequiredThoroughly(tn) {\n switch (tn.length) {\n case 1:\n return tn === $.P;\n\n case 2:\n return (\n tn === $.RB ||\n tn === $.RP ||\n tn === $.RT ||\n tn === $.DD ||\n tn === $.DT ||\n tn === $.LI ||\n tn === $.TD ||\n tn === $.TH ||\n tn === $.TR\n );\n\n case 3:\n return tn === $.RTC;\n\n case 5:\n return tn === $.TBODY || tn === $.TFOOT || tn === $.THEAD;\n\n case 6:\n return tn === $.OPTION;\n\n case 7:\n return tn === $.CAPTION;\n\n case 8:\n return tn === $.OPTGROUP || tn === $.COLGROUP;\n }\n\n return false;\n}\n\nfunction isScopingElement(tn, ns) {\n switch (tn.length) {\n case 2:\n if (tn === $.TD || tn === $.TH) {\n return ns === NS.HTML;\n } else if (tn === $.MI || tn === $.MO || tn === $.MN || tn === $.MS) {\n return ns === NS.MATHML;\n }\n\n break;\n\n case 4:\n if (tn === $.HTML) {\n return ns === NS.HTML;\n } else if (tn === $.DESC) {\n return ns === NS.SVG;\n }\n\n break;\n\n case 5:\n if (tn === $.TABLE) {\n return ns === NS.HTML;\n } else if (tn === $.MTEXT) {\n return ns === NS.MATHML;\n } else if (tn === $.TITLE) {\n return ns === NS.SVG;\n }\n\n break;\n\n case 6:\n return (tn === $.APPLET || tn === $.OBJECT) && ns === NS.HTML;\n\n case 7:\n return (tn === $.CAPTION || tn === $.MARQUEE) && ns === NS.HTML;\n\n case 8:\n return tn === $.TEMPLATE && ns === NS.HTML;\n\n case 13:\n return tn === $.FOREIGN_OBJECT && ns === NS.SVG;\n\n case 14:\n return tn === $.ANNOTATION_XML && ns === NS.MATHML;\n }\n\n return false;\n}\n\n//Stack of open elements\nclass OpenElementStack {\n constructor(document, treeAdapter) {\n this.stackTop = -1;\n this.items = [];\n this.current = document;\n this.currentTagName = null;\n this.currentTmplContent = null;\n this.tmplCount = 0;\n this.treeAdapter = treeAdapter;\n }\n\n //Index of element\n _indexOf(element) {\n let idx = -1;\n\n for (let i = this.stackTop; i >= 0; i--) {\n if (this.items[i] === element) {\n idx = i;\n break;\n }\n }\n return idx;\n }\n\n //Update current element\n _isInTemplate() {\n return this.currentTagName === $.TEMPLATE && this.treeAdapter.getNamespaceURI(this.current) === NS.HTML;\n }\n\n _updateCurrentElement() {\n this.current = this.items[this.stackTop];\n this.currentTagName = this.current && this.treeAdapter.getTagName(this.current);\n\n this.currentTmplContent = this._isInTemplate() ? this.treeAdapter.getTemplateContent(this.current) : null;\n }\n\n //Mutations\n push(element) {\n this.items[++this.stackTop] = element;\n this._updateCurrentElement();\n\n if (this._isInTemplate()) {\n this.tmplCount++;\n }\n }\n\n pop() {\n this.stackTop--;\n\n if (this.tmplCount > 0 && this._isInTemplate()) {\n this.tmplCount--;\n }\n\n this._updateCurrentElement();\n }\n\n replace(oldElement, newElement) {\n const idx = this._indexOf(oldElement);\n\n this.items[idx] = newElement;\n\n if (idx === this.stackTop) {\n this._updateCurrentElement();\n }\n }\n\n insertAfter(referenceElement, newElement) {\n const insertionIdx = this._indexOf(referenceElement) + 1;\n\n this.items.splice(insertionIdx, 0, newElement);\n\n if (insertionIdx === ++this.stackTop) {\n this._updateCurrentElement();\n }\n }\n\n popUntilTagNamePopped(tagName) {\n while (this.stackTop > -1) {\n const tn = this.currentTagName;\n const ns = this.treeAdapter.getNamespaceURI(this.current);\n\n this.pop();\n\n if (tn === tagName && ns === NS.HTML) {\n break;\n }\n }\n }\n\n popUntilElementPopped(element) {\n while (this.stackTop > -1) {\n const poppedElement = this.current;\n\n this.pop();\n\n if (poppedElement === element) {\n break;\n }\n }\n }\n\n popUntilNumberedHeaderPopped() {\n while (this.stackTop > -1) {\n const tn = this.currentTagName;\n const ns = this.treeAdapter.getNamespaceURI(this.current);\n\n this.pop();\n\n if (\n tn === $.H1 ||\n tn === $.H2 ||\n tn === $.H3 ||\n tn === $.H4 ||\n tn === $.H5 ||\n (tn === $.H6 && ns === NS.HTML)\n ) {\n break;\n }\n }\n }\n\n popUntilTableCellPopped() {\n while (this.stackTop > -1) {\n const tn = this.currentTagName;\n const ns = this.treeAdapter.getNamespaceURI(this.current);\n\n this.pop();\n\n if (tn === $.TD || (tn === $.TH && ns === NS.HTML)) {\n break;\n }\n }\n }\n\n popAllUpToHtmlElement() {\n //NOTE: here we assume that root <html> element is always first in the open element stack, so\n //we perform this fast stack clean up.\n this.stackTop = 0;\n this._updateCurrentElement();\n }\n\n clearBackToTableContext() {\n while (\n (this.currentTagName !== $.TABLE && this.currentTagName !== $.TEMPLATE && this.currentTagName !== $.HTML) ||\n this.treeAdapter.getNamespaceURI(this.current) !== NS.HTML\n ) {\n this.pop();\n }\n }\n\n clearBackToTableBodyContext() {\n while (\n (this.currentTagName !== $.TBODY &&\n this.currentTagName !== $.TFOOT &&\n this.currentTagName !== $.THEAD &&\n this.currentTagName !== $.TEMPLATE &&\n this.currentTagName !== $.HTML) ||\n this.treeAdapter.getNamespaceURI(this.current) !== NS.HTML\n ) {\n this.pop();\n }\n }\n\n clearBackToTableRowContext() {\n while (\n (this.currentTagName !== $.TR && this.currentTagName !== $.TEMPLATE && this.currentTagName !== $.HTML) ||\n this.treeAdapter.getNamespaceURI(this.current) !== NS.HTML\n ) {\n this.pop();\n }\n }\n\n remove(element) {\n for (let i = this.stackTop; i >= 0; i--) {\n if (this.items[i] === element) {\n this.items.splice(i, 1);\n this.stackTop--;\n this._updateCurrentElement();\n break;\n }\n }\n }\n\n //Search\n tryPeekProperlyNestedBodyElement() {\n //Properly nested <body> element (should be second element in stack).\n const element = this.items[1];\n\n return element && this.treeAdapter.getTagName(element) === $.BODY ? element : null;\n }\n\n contains(element) {\n return this._indexOf(element) > -1;\n }\n\n getCommonAncestor(element) {\n let elementIdx = this._indexOf(element);\n\n return --elementIdx >= 0 ? this.items[elementIdx] : null;\n }\n\n isRootHtmlElementCurrent() {\n return this.stackTop === 0 && this.currentTagName === $.HTML;\n }\n\n //Element in scope\n hasInScope(tagName) {\n for (let i = this.stackTop; i >= 0; i--) {\n const tn = this.treeAdapter.getTagName(this.items[i]);\n const ns = this.treeAdapter.getNamespaceURI(this.items[i]);\n\n if (tn === tagName && ns === NS.HTML) {\n return true;\n }\n\n if (isScopingElement(tn, ns)) {\n return false;\n }\n }\n\n return true;\n }\n\n hasNumberedHeaderInScope() {\n for (let i = this.stackTop; i >= 0; i--) {\n const tn = this.treeAdapter.getTagName(this.items[i]);\n const ns = this.treeAdapter.getNamespaceURI(this.items[i]);\n\n if (\n (tn === $.H1 || tn === $.H2 || tn === $.H3 || tn === $.H4 || tn === $.H5 || tn === $.H6) &&\n ns === NS.HTML\n ) {\n return true;\n }\n\n if (isScopingElement(tn, ns)) {\n return false;\n }\n }\n\n return true;\n }\n\n hasInListItemScope(tagName) {\n for (let i = this.stackTop; i >= 0; i--) {\n const tn = this.treeAdapter.getTagName(this.items[i]);\n const ns = this.treeAdapter.getNamespaceURI(this.items[i]);\n\n if (tn === tagName && ns === NS.HTML) {\n return true;\n }\n\n if (((tn === $.UL || tn === $.OL) && ns === NS.HTML) || isScopingElement(tn, ns)) {\n return false;\n }\n }\n\n return true;\n }\n\n hasInButtonScope(tagName) {\n for (let i = this.stackTop; i >= 0; i--) {\n const tn = this.treeAdapter.getTagName(this.items[i]);\n const ns = this.treeAdapter.getNamespaceURI(this.items[i]);\n\n if (tn === tagName && ns === NS.HTML) {\n return true;\n }\n\n if ((tn === $.BUTTON && ns === NS.HTML) || isScopingElement(tn, ns)) {\n return false;\n }\n }\n\n return true;\n }\n\n hasInTableScope(tagName) {\n for (let i = this.stackTop; i >= 0; i--) {\n const tn = this.treeAdapter.getTagName(this.items[i]);\n const ns = this.treeAdapter.getNamespaceURI(this.items[i]);\n\n if (ns !== NS.HTML) {\n continue;\n }\n\n if (tn === tagName) {\n return true;\n }\n\n if (tn === $.TABLE || tn === $.TEMPLATE || tn === $.HTML) {\n return false;\n }\n }\n\n return true;\n }\n\n hasTableBodyContextInTableScope() {\n for (let i = this.stackTop; i >= 0; i--) {\n const tn = this.treeAdapter.getTagName(this.items[i]);\n const ns = this.treeAdapter.getNamespaceURI(this.items[i]);\n\n if (ns !== NS.HTML) {\n continue;\n }\n\n if (tn === $.TBODY || tn === $.THEAD || tn === $.TFOOT) {\n return true;\n }\n\n if (tn === $.TABLE || tn === $.HTML) {\n return false;\n }\n }\n\n return true;\n }\n\n hasInSelectScope(tagName) {\n for (let i = this.stackTop; i >= 0; i--) {\n const tn = this.treeAdapter.getTagName(this.items[i]);\n const ns = this.treeAdapter.getNamespaceURI(this.items[i]);\n\n if (ns !== NS.HTML) {\n continue;\n }\n\n if (tn === tagName) {\n return true;\n }\n\n if (tn !== $.OPTION && tn !== $.OPTGROUP) {\n return false;\n }\n }\n\n return true;\n }\n\n //Implied end tags\n generateImpliedEndTags() {\n while (isImpliedEndTagRequired(this.currentTagName)) {\n this.pop();\n }\n }\n\n generateImpliedEndTagsThoroughly() {\n while (isImpliedEndTagRequiredThoroughly(this.currentTagName)) {\n this.pop();\n }\n }\n\n generateImpliedEndTagsWithExclusion(exclusionTagName) {\n while (isImpliedEndTagRequired(this.currentTagName) && this.currentTagName !== exclusionTagName) {\n this.pop();\n }\n }\n}\n\nmodule.exports = OpenElementStack;\n","'use strict';\n\nconst Preprocessor = require('./preprocessor');\nconst unicode = require('../common/unicode');\nconst neTree = require('./named-entity-data');\nconst ERR = require('../common/error-codes');\n\n//Aliases\nconst $ = unicode.CODE_POINTS;\nconst $$ = unicode.CODE_POINT_SEQUENCES;\n\n//C1 Unicode control character reference replacements\nconst C1_CONTROLS_REFERENCE_REPLACEMENTS = {\n 0x80: 0x20ac,\n 0x82: 0x201a,\n 0x83: 0x0192,\n 0x84: 0x201e,\n 0x85: 0x2026,\n 0x86: 0x2020,\n 0x87: 0x2021,\n 0x88: 0x02c6,\n 0x89: 0x2030,\n 0x8a: 0x0160,\n 0x8b: 0x2039,\n 0x8c: 0x0152,\n 0x8e: 0x017d,\n 0x91: 0x2018,\n 0x92: 0x2019,\n 0x93: 0x201c,\n 0x94: 0x201d,\n 0x95: 0x2022,\n 0x96: 0x2013,\n 0x97: 0x2014,\n 0x98: 0x02dc,\n 0x99: 0x2122,\n 0x9a: 0x0161,\n 0x9b: 0x203a,\n 0x9c: 0x0153,\n 0x9e: 0x017e,\n 0x9f: 0x0178\n};\n\n// Named entity tree flags\nconst HAS_DATA_FLAG = 1 << 0;\nconst DATA_DUPLET_FLAG = 1 << 1;\nconst HAS_BRANCHES_FLAG = 1 << 2;\nconst MAX_BRANCH_MARKER_VALUE = HAS_DATA_FLAG | DATA_DUPLET_FLAG | HAS_BRANCHES_FLAG;\n\n//States\nconst DATA_STATE = 'DATA_STATE';\nconst RCDATA_STATE = 'RCDATA_STATE';\nconst RAWTEXT_STATE = 'RAWTEXT_STATE';\nconst SCRIPT_DATA_STATE = 'SCRIPT_DATA_STATE';\nconst PLAINTEXT_STATE = 'PLAINTEXT_STATE';\nconst TAG_OPEN_STATE = 'TAG_OPEN_STATE';\nconst END_TAG_OPEN_STATE = 'END_TAG_OPEN_STATE';\nconst TAG_NAME_STATE = 'TAG_NAME_STATE';\nconst RCDATA_LESS_THAN_SIGN_STATE = 'RCDATA_LESS_THAN_SIGN_STATE';\nconst RCDATA_END_TAG_OPEN_STATE = 'RCDATA_END_TAG_OPEN_STATE';\nconst RCDATA_END_TAG_NAME_STATE = 'RCDATA_END_TAG_NAME_STATE';\nconst RAWTEXT_LESS_THAN_SIGN_STATE = 'RAWTEXT_LESS_THAN_SIGN_STATE';\nconst RAWTEXT_END_TAG_OPEN_STATE = 'RAWTEXT_END_TAG_OPEN_STATE';\nconst RAWTEXT_END_TAG_NAME_STATE = 'RAWTEXT_END_TAG_NAME_STATE';\nconst SCRIPT_DATA_LESS_THAN_SIGN_STATE = 'SCRIPT_DATA_LESS_THAN_SIGN_STATE';\nconst SCRIPT_DATA_END_TAG_OPEN_STATE = 'SCRIPT_DATA_END_TAG_OPEN_STATE';\nconst SCRIPT_DATA_END_TAG_NAME_STATE = 'SCRIPT_DATA_END_TAG_NAME_STATE';\nconst SCRIPT_DATA_ESCAPE_START_STATE = 'SCRIPT_DATA_ESCAPE_START_STATE';\nconst SCRIPT_DATA_ESCAPE_START_DASH_STATE = 'SCRIPT_DATA_ESCAPE_START_DASH_STATE';\nconst SCRIPT_DATA_ESCAPED_STATE = 'SCRIPT_DATA_ESCAPED_STATE';\nconst SCRIPT_DATA_ESCAPED_DASH_STATE = 'SCRIPT_DATA_ESCAPED_DASH_STATE';\nconst SCRIPT_DATA_ESCAPED_DASH_DASH_STATE = 'SCRIPT_DATA_ESCAPED_DASH_DASH_STATE';\nconst SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE = 'SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE';\nconst SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE = 'SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE';\nconst SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE = 'SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE';\nconst SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE = 'SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE';\nconst SCRIPT_DATA_DOUBLE_ESCAPED_STATE = 'SCRIPT_DATA_DOUBLE_ESCAPED_STATE';\nconst SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE = 'SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE';\nconst SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE = 'SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE';\nconst SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE = 'SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE';\nconst SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE = 'SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE';\nconst BEFORE_ATTRIBUTE_NAME_STATE = 'BEFORE_ATTRIBUTE_NAME_STATE';\nconst ATTRIBUTE_NAME_STATE = 'ATTRIBUTE_NAME_STATE';\nconst AFTER_ATTRIBUTE_NAME_STATE = 'AFTER_ATTRIBUTE_NAME_STATE';\nconst BEFORE_ATTRIBUTE_VALUE_STATE = 'BEFORE_ATTRIBUTE_VALUE_STATE';\nconst ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE = 'ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE';\nconst ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE = 'ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE';\nconst ATTRIBUTE_VALUE_UNQUOTED_STATE = 'ATTRIBUTE_VALUE_UNQUOTED_STATE';\nconst AFTER_ATTRIBUTE_VALUE_QUOTED_STATE = 'AFTER_ATTRIBUTE_VALUE_QUOTED_STATE';\nconst SELF_CLOSING_START_TAG_STATE = 'SELF_CLOSING_START_TAG_STATE';\nconst BOGUS_COMMENT_STATE = 'BOGUS_COMMENT_STATE';\nconst MARKUP_DECLARATION_OPEN_STATE = 'MARKUP_DECLARATION_OPEN_STATE';\nconst COMMENT_START_STATE = 'COMMENT_START_STATE';\nconst COMMENT_START_DASH_STATE = 'COMMENT_START_DASH_STATE';\nconst COMMENT_STATE = 'COMMENT_STATE';\nconst COMMENT_LESS_THAN_SIGN_STATE = 'COMMENT_LESS_THAN_SIGN_STATE';\nconst COMMENT_LESS_THAN_SIGN_BANG_STATE = 'COMMENT_LESS_THAN_SIGN_BANG_STATE';\nconst COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE = 'COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE';\nconst COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE = 'COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE';\nconst COMMENT_END_DASH_STATE = 'COMMENT_END_DASH_STATE';\nconst COMMENT_END_STATE = 'COMMENT_END_STATE';\nconst COMMENT_END_BANG_STATE = 'COMMENT_END_BANG_STATE';\nconst DOCTYPE_STATE = 'DOCTYPE_STATE';\nconst BEFORE_DOCTYPE_NAME_STATE = 'BEFORE_DOCTYPE_NAME_STATE';\nconst DOCTYPE_NAME_STATE = 'DOCTYPE_NAME_STATE';\nconst AFTER_DOCTYPE_NAME_STATE = 'AFTER_DOCTYPE_NAME_STATE';\nconst AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE = 'AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE';\nconst BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE = 'BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE';\nconst DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE = 'DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE';\nconst DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE = 'DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE';\nconst AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE = 'AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE';\nconst BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE = 'BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE';\nconst AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE = 'AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE';\nconst BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE = 'BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE';\nconst DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE = 'DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE';\nconst DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE = 'DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE';\nconst AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE = 'AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE';\nconst BOGUS_DOCTYPE_STATE = 'BOGUS_DOCTYPE_STATE';\nconst CDATA_SECTION_STATE = 'CDATA_SECTION_STATE';\nconst CDATA_SECTION_BRACKET_STATE = 'CDATA_SECTION_BRACKET_STATE';\nconst CDATA_SECTION_END_STATE = 'CDATA_SECTION_END_STATE';\nconst CHARACTER_REFERENCE_STATE = 'CHARACTER_REFERENCE_STATE';\nconst NAMED_CHARACTER_REFERENCE_STATE = 'NAMED_CHARACTER_REFERENCE_STATE';\nconst AMBIGUOUS_AMPERSAND_STATE = 'AMBIGUOS_AMPERSAND_STATE';\nconst NUMERIC_CHARACTER_REFERENCE_STATE = 'NUMERIC_CHARACTER_REFERENCE_STATE';\nconst HEXADEMICAL_CHARACTER_REFERENCE_START_STATE = 'HEXADEMICAL_CHARACTER_REFERENCE_START_STATE';\nconst DECIMAL_CHARACTER_REFERENCE_START_STATE = 'DECIMAL_CHARACTER_REFERENCE_START_STATE';\nconst HEXADEMICAL_CHARACTER_REFERENCE_STATE = 'HEXADEMICAL_CHARACTER_REFERENCE_STATE';\nconst DECIMAL_CHARACTER_REFERENCE_STATE = 'DECIMAL_CHARACTER_REFERENCE_STATE';\nconst NUMERIC_CHARACTER_REFERENCE_END_STATE = 'NUMERIC_CHARACTER_REFERENCE_END_STATE';\n\n//Utils\n\n//OPTIMIZATION: these utility functions should not be moved out of this module. V8 Crankshaft will not inline\n//this functions if they will be situated in another module due to context switch.\n//Always perform inlining check before modifying this functions ('node --trace-inlining').\nfunction isWhitespace(cp) {\n return cp === $.SPACE || cp === $.LINE_FEED || cp === $.TABULATION || cp === $.FORM_FEED;\n}\n\nfunction isAsciiDigit(cp) {\n return cp >= $.DIGIT_0 && cp <= $.DIGIT_9;\n}\n\nfunction isAsciiUpper(cp) {\n return cp >= $.LATIN_CAPITAL_A && cp <= $.LATIN_CAPITAL_Z;\n}\n\nfunction isAsciiLower(cp) {\n return cp >= $.LATIN_SMALL_A && cp <= $.LATIN_SMALL_Z;\n}\n\nfunction isAsciiLetter(cp) {\n return isAsciiLower(cp) || isAsciiUpper(cp);\n}\n\nfunction isAsciiAlphaNumeric(cp) {\n return isAsciiLetter(cp) || isAsciiDigit(cp);\n}\n\nfunction isAsciiUpperHexDigit(cp) {\n return cp >= $.LATIN_CAPITAL_A && cp <= $.LATIN_CAPITAL_F;\n}\n\nfunction isAsciiLowerHexDigit(cp) {\n return cp >= $.LATIN_SMALL_A && cp <= $.LATIN_SMALL_F;\n}\n\nfunction isAsciiHexDigit(cp) {\n return isAsciiDigit(cp) || isAsciiUpperHexDigit(cp) || isAsciiLowerHexDigit(cp);\n}\n\nfunction toAsciiLowerCodePoint(cp) {\n return cp + 0x0020;\n}\n\n//NOTE: String.fromCharCode() function can handle only characters from BMP subset.\n//So, we need to workaround this manually.\n//(see: https://developer.mozilla.org/en-US/docs/JavaScript/Reference/Global_Objects/String/fromCharCode#Getting_it_to_work_with_higher_values)\nfunction toChar(cp) {\n if (cp <= 0xffff) {\n return String.fromCharCode(cp);\n }\n\n cp -= 0x10000;\n return String.fromCharCode(((cp >>> 10) & 0x3ff) | 0xd800) + String.fromCharCode(0xdc00 | (cp & 0x3ff));\n}\n\nfunction toAsciiLowerChar(cp) {\n return String.fromCharCode(toAsciiLowerCodePoint(cp));\n}\n\nfunction findNamedEntityTreeBranch(nodeIx, cp) {\n const branchCount = neTree[++nodeIx];\n let lo = ++nodeIx;\n let hi = lo + branchCount - 1;\n\n while (lo <= hi) {\n const mid = (lo + hi) >>> 1;\n const midCp = neTree[mid];\n\n if (midCp < cp) {\n lo = mid + 1;\n } else if (midCp > cp) {\n hi = mid - 1;\n } else {\n return neTree[mid + branchCount];\n }\n }\n\n return -1;\n}\n\n//Tokenizer\nclass Tokenizer {\n constructor() {\n this.preprocessor = new Preprocessor();\n\n this.tokenQueue = [];\n\n this.allowCDATA = false;\n\n this.state = DATA_STATE;\n this.returnState = '';\n\n this.charRefCode = -1;\n this.tempBuff = [];\n this.lastStartTagName = '';\n\n this.consumedAfterSnapshot = -1;\n this.active = false;\n\n this.currentCharacterToken = null;\n this.currentToken = null;\n this.currentAttr = null;\n }\n\n //Errors\n _err() {\n // NOTE: err reporting is noop by default. Enabled by mixin.\n }\n\n _errOnNextCodePoint(err) {\n this._consume();\n this._err(err);\n this._unconsume();\n }\n\n //API\n getNextToken() {\n while (!this.tokenQueue.length && this.active) {\n this.consumedAfterSnapshot = 0;\n\n const cp = this._consume();\n\n if (!this._ensureHibernation()) {\n this[this.state](cp);\n }\n }\n\n return this.tokenQueue.shift();\n }\n\n write(chunk, isLastChunk) {\n this.active = true;\n this.preprocessor.write(chunk, isLastChunk);\n }\n\n insertHtmlAtCurrentPos(chunk) {\n this.active = true;\n this.preprocessor.insertHtmlAtCurrentPos(chunk);\n }\n\n //Hibernation\n _ensureHibernation() {\n if (this.preprocessor.endOfChunkHit) {\n for (; this.consumedAfterSnapshot > 0; this.consumedAfterSnapshot--) {\n this.preprocessor.retreat();\n }\n\n this.active = false;\n this.tokenQueue.push({ type: Tokenizer.HIBERNATION_TOKEN });\n\n return true;\n }\n\n return false;\n }\n\n //Consumption\n _consume() {\n this.consumedAfterSnapshot++;\n return this.preprocessor.advance();\n }\n\n _unconsume() {\n this.consumedAfterSnapshot--;\n this.preprocessor.retreat();\n }\n\n _reconsumeInState(state) {\n this.state = state;\n this._unconsume();\n }\n\n _consumeSequenceIfMatch(pattern, startCp, caseSensitive) {\n let consumedCount = 0;\n let isMatch = true;\n const patternLength = pattern.length;\n let patternPos = 0;\n let cp = startCp;\n let patternCp = void 0;\n\n for (; patternPos < patternLength; patternPos++) {\n if (patternPos > 0) {\n cp = this._consume();\n consumedCount++;\n }\n\n if (cp === $.EOF) {\n isMatch = false;\n break;\n }\n\n patternCp = pattern[patternPos];\n\n if (cp !== patternCp && (caseSensitive || cp !== toAsciiLowerCodePoint(patternCp))) {\n isMatch = false;\n break;\n }\n }\n\n if (!isMatch) {\n while (consumedCount--) {\n this._unconsume();\n }\n }\n\n return isMatch;\n }\n\n //Temp buffer\n _isTempBufferEqualToScriptString() {\n if (this.tempBuff.length !== $$.SCRIPT_STRING.length) {\n return false;\n }\n\n for (let i = 0; i < this.tempBuff.length; i++) {\n if (this.tempBuff[i] !== $$.SCRIPT_STRING[i]) {\n return false;\n }\n }\n\n return true;\n }\n\n //Token creation\n _createStartTagToken() {\n this.currentToken = {\n type: Tokenizer.START_TAG_TOKEN,\n tagName: '',\n selfClosing: false,\n ackSelfClosing: false,\n attrs: []\n };\n }\n\n _createEndTagToken() {\n this.currentToken = {\n type: Tokenizer.END_TAG_TOKEN,\n tagName: '',\n selfClosing: false,\n attrs: []\n };\n }\n\n _createCommentToken() {\n this.currentToken = {\n type: Tokenizer.COMMENT_TOKEN,\n data: ''\n };\n }\n\n _createDoctypeToken(initialName) {\n this.currentToken = {\n type: Tokenizer.DOCTYPE_TOKEN,\n name: initialName,\n forceQuirks: false,\n publicId: null,\n systemId: null\n };\n }\n\n _createCharacterToken(type, ch) {\n this.currentCharacterToken = {\n type: type,\n chars: ch\n };\n }\n\n _createEOFToken() {\n this.currentToken = { type: Tokenizer.EOF_TOKEN };\n }\n\n //Tag attributes\n _createAttr(attrNameFirstCh) {\n this.currentAttr = {\n name: attrNameFirstCh,\n value: ''\n };\n }\n\n _leaveAttrName(toState) {\n if (Tokenizer.getTokenAttr(this.currentToken, this.currentAttr.name) === null) {\n this.currentToken.attrs.push(this.currentAttr);\n } else {\n this._err(ERR.duplicateAttribute);\n }\n\n this.state = toState;\n }\n\n _leaveAttrValue(toState) {\n this.state = toState;\n }\n\n //Token emission\n _emitCurrentToken() {\n this._emitCurrentCharacterToken();\n\n const ct = this.currentToken;\n\n this.currentToken = null;\n\n //NOTE: store emited start tag's tagName to determine is the following end tag token is appropriate.\n if (ct.type === Tokenizer.START_TAG_TOKEN) {\n this.lastStartTagName = ct.tagName;\n } else if (ct.type === Tokenizer.END_TAG_TOKEN) {\n if (ct.attrs.length > 0) {\n this._err(ERR.endTagWithAttributes);\n }\n\n if (ct.selfClosing) {\n this._err(ERR.endTagWithTrailingSolidus);\n }\n }\n\n this.tokenQueue.push(ct);\n }\n\n _emitCurrentCharacterToken() {\n if (this.currentCharacterToken) {\n this.tokenQueue.push(this.currentCharacterToken);\n this.currentCharacterToken = null;\n }\n }\n\n _emitEOFToken() {\n this._createEOFToken();\n this._emitCurrentToken();\n }\n\n //Characters emission\n\n //OPTIMIZATION: specification uses only one type of character tokens (one token per character).\n //This causes a huge memory overhead and a lot of unnecessary parser loops. parse5 uses 3 groups of characters.\n //If we have a sequence of characters that belong to the same group, parser can process it\n //as a single solid character token.\n //So, there are 3 types of character tokens in parse5:\n //1)NULL_CHARACTER_TOKEN - \\u0000-character sequences (e.g. '\\u0000\\u0000\\u0000')\n //2)WHITESPACE_CHARACTER_TOKEN - any whitespace/new-line character sequences (e.g. '\\n \\r\\t \\f')\n //3)CHARACTER_TOKEN - any character sequence which don't belong to groups 1 and 2 (e.g. 'abcdef1234@@#$%^')\n _appendCharToCurrentCharacterToken(type, ch) {\n if (this.currentCharacterToken && this.currentCharacterToken.type !== type) {\n this._emitCurrentCharacterToken();\n }\n\n if (this.currentCharacterToken) {\n this.currentCharacterToken.chars += ch;\n } else {\n this._createCharacterToken(type, ch);\n }\n }\n\n _emitCodePoint(cp) {\n let type = Tokenizer.CHARACTER_TOKEN;\n\n if (isWhitespace(cp)) {\n type = Tokenizer.WHITESPACE_CHARACTER_TOKEN;\n } else if (cp === $.NULL) {\n type = Tokenizer.NULL_CHARACTER_TOKEN;\n }\n\n this._appendCharToCurrentCharacterToken(type, toChar(cp));\n }\n\n _emitSeveralCodePoints(codePoints) {\n for (let i = 0; i < codePoints.length; i++) {\n this._emitCodePoint(codePoints[i]);\n }\n }\n\n //NOTE: used then we emit character explicitly. This is always a non-whitespace and a non-null character.\n //So we can avoid additional checks here.\n _emitChars(ch) {\n this._appendCharToCurrentCharacterToken(Tokenizer.CHARACTER_TOKEN, ch);\n }\n\n // Character reference helpers\n _matchNamedCharacterReference(startCp) {\n let result = null;\n let excess = 1;\n let i = findNamedEntityTreeBranch(0, startCp);\n\n this.tempBuff.push(startCp);\n\n while (i > -1) {\n const current = neTree[i];\n const inNode = current < MAX_BRANCH_MARKER_VALUE;\n const nodeWithData = inNode && current & HAS_DATA_FLAG;\n\n if (nodeWithData) {\n //NOTE: we use greedy search, so we continue lookup at this point\n result = current & DATA_DUPLET_FLAG ? [neTree[++i], neTree[++i]] : [neTree[++i]];\n excess = 0;\n }\n\n const cp = this._consume();\n\n this.tempBuff.push(cp);\n excess++;\n\n if (cp === $.EOF) {\n break;\n }\n\n if (inNode) {\n i = current & HAS_BRANCHES_FLAG ? findNamedEntityTreeBranch(i, cp) : -1;\n } else {\n i = cp === current ? ++i : -1;\n }\n }\n\n while (excess--) {\n this.tempBuff.pop();\n this._unconsume();\n }\n\n return result;\n }\n\n _isCharacterReferenceInAttribute() {\n return (\n this.returnState === ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE ||\n this.returnState === ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE ||\n this.returnState === ATTRIBUTE_VALUE_UNQUOTED_STATE\n );\n }\n\n _isCharacterReferenceAttributeQuirk(withSemicolon) {\n if (!withSemicolon && this._isCharacterReferenceInAttribute()) {\n const nextCp = this._consume();\n\n this._unconsume();\n\n return nextCp === $.EQUALS_SIGN || isAsciiAlphaNumeric(nextCp);\n }\n\n return false;\n }\n\n _flushCodePointsConsumedAsCharacterReference() {\n if (this._isCharacterReferenceInAttribute()) {\n for (let i = 0; i < this.tempBuff.length; i++) {\n this.currentAttr.value += toChar(this.tempBuff[i]);\n }\n } else {\n this._emitSeveralCodePoints(this.tempBuff);\n }\n\n this.tempBuff = [];\n }\n\n // State machine\n\n // Data state\n //------------------------------------------------------------------\n [DATA_STATE](cp) {\n this.preprocessor.dropParsedChunk();\n\n if (cp === $.LESS_THAN_SIGN) {\n this.state = TAG_OPEN_STATE;\n } else if (cp === $.AMPERSAND) {\n this.returnState = DATA_STATE;\n this.state = CHARACTER_REFERENCE_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._emitCodePoint(cp);\n } else if (cp === $.EOF) {\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // RCDATA state\n //------------------------------------------------------------------\n [RCDATA_STATE](cp) {\n this.preprocessor.dropParsedChunk();\n\n if (cp === $.AMPERSAND) {\n this.returnState = RCDATA_STATE;\n this.state = CHARACTER_REFERENCE_STATE;\n } else if (cp === $.LESS_THAN_SIGN) {\n this.state = RCDATA_LESS_THAN_SIGN_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // RAWTEXT state\n //------------------------------------------------------------------\n [RAWTEXT_STATE](cp) {\n this.preprocessor.dropParsedChunk();\n\n if (cp === $.LESS_THAN_SIGN) {\n this.state = RAWTEXT_LESS_THAN_SIGN_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // Script data state\n //------------------------------------------------------------------\n [SCRIPT_DATA_STATE](cp) {\n this.preprocessor.dropParsedChunk();\n\n if (cp === $.LESS_THAN_SIGN) {\n this.state = SCRIPT_DATA_LESS_THAN_SIGN_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // PLAINTEXT state\n //------------------------------------------------------------------\n [PLAINTEXT_STATE](cp) {\n this.preprocessor.dropParsedChunk();\n\n if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // Tag open state\n //------------------------------------------------------------------\n [TAG_OPEN_STATE](cp) {\n if (cp === $.EXCLAMATION_MARK) {\n this.state = MARKUP_DECLARATION_OPEN_STATE;\n } else if (cp === $.SOLIDUS) {\n this.state = END_TAG_OPEN_STATE;\n } else if (isAsciiLetter(cp)) {\n this._createStartTagToken();\n this._reconsumeInState(TAG_NAME_STATE);\n } else if (cp === $.QUESTION_MARK) {\n this._err(ERR.unexpectedQuestionMarkInsteadOfTagName);\n this._createCommentToken();\n this._reconsumeInState(BOGUS_COMMENT_STATE);\n } else if (cp === $.EOF) {\n this._err(ERR.eofBeforeTagName);\n this._emitChars('<');\n this._emitEOFToken();\n } else {\n this._err(ERR.invalidFirstCharacterOfTagName);\n this._emitChars('<');\n this._reconsumeInState(DATA_STATE);\n }\n }\n\n // End tag open state\n //------------------------------------------------------------------\n [END_TAG_OPEN_STATE](cp) {\n if (isAsciiLetter(cp)) {\n this._createEndTagToken();\n this._reconsumeInState(TAG_NAME_STATE);\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.missingEndTagName);\n this.state = DATA_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofBeforeTagName);\n this._emitChars('</');\n this._emitEOFToken();\n } else {\n this._err(ERR.invalidFirstCharacterOfTagName);\n this._createCommentToken();\n this._reconsumeInState(BOGUS_COMMENT_STATE);\n }\n }\n\n // Tag name state\n //------------------------------------------------------------------\n [TAG_NAME_STATE](cp) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_ATTRIBUTE_NAME_STATE;\n } else if (cp === $.SOLIDUS) {\n this.state = SELF_CLOSING_START_TAG_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (isAsciiUpper(cp)) {\n this.currentToken.tagName += toAsciiLowerChar(cp);\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.tagName += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInTag);\n this._emitEOFToken();\n } else {\n this.currentToken.tagName += toChar(cp);\n }\n }\n\n // RCDATA less-than sign state\n //------------------------------------------------------------------\n [RCDATA_LESS_THAN_SIGN_STATE](cp) {\n if (cp === $.SOLIDUS) {\n this.tempBuff = [];\n this.state = RCDATA_END_TAG_OPEN_STATE;\n } else {\n this._emitChars('<');\n this._reconsumeInState(RCDATA_STATE);\n }\n }\n\n // RCDATA end tag open state\n //------------------------------------------------------------------\n [RCDATA_END_TAG_OPEN_STATE](cp) {\n if (isAsciiLetter(cp)) {\n this._createEndTagToken();\n this._reconsumeInState(RCDATA_END_TAG_NAME_STATE);\n } else {\n this._emitChars('</');\n this._reconsumeInState(RCDATA_STATE);\n }\n }\n\n // RCDATA end tag name state\n //------------------------------------------------------------------\n [RCDATA_END_TAG_NAME_STATE](cp) {\n if (isAsciiUpper(cp)) {\n this.currentToken.tagName += toAsciiLowerChar(cp);\n this.tempBuff.push(cp);\n } else if (isAsciiLower(cp)) {\n this.currentToken.tagName += toChar(cp);\n this.tempBuff.push(cp);\n } else {\n if (this.lastStartTagName === this.currentToken.tagName) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_ATTRIBUTE_NAME_STATE;\n return;\n }\n\n if (cp === $.SOLIDUS) {\n this.state = SELF_CLOSING_START_TAG_STATE;\n return;\n }\n\n if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n return;\n }\n }\n\n this._emitChars('</');\n this._emitSeveralCodePoints(this.tempBuff);\n this._reconsumeInState(RCDATA_STATE);\n }\n }\n\n // RAWTEXT less-than sign state\n //------------------------------------------------------------------\n [RAWTEXT_LESS_THAN_SIGN_STATE](cp) {\n if (cp === $.SOLIDUS) {\n this.tempBuff = [];\n this.state = RAWTEXT_END_TAG_OPEN_STATE;\n } else {\n this._emitChars('<');\n this._reconsumeInState(RAWTEXT_STATE);\n }\n }\n\n // RAWTEXT end tag open state\n //------------------------------------------------------------------\n [RAWTEXT_END_TAG_OPEN_STATE](cp) {\n if (isAsciiLetter(cp)) {\n this._createEndTagToken();\n this._reconsumeInState(RAWTEXT_END_TAG_NAME_STATE);\n } else {\n this._emitChars('</');\n this._reconsumeInState(RAWTEXT_STATE);\n }\n }\n\n // RAWTEXT end tag name state\n //------------------------------------------------------------------\n [RAWTEXT_END_TAG_NAME_STATE](cp) {\n if (isAsciiUpper(cp)) {\n this.currentToken.tagName += toAsciiLowerChar(cp);\n this.tempBuff.push(cp);\n } else if (isAsciiLower(cp)) {\n this.currentToken.tagName += toChar(cp);\n this.tempBuff.push(cp);\n } else {\n if (this.lastStartTagName === this.currentToken.tagName) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_ATTRIBUTE_NAME_STATE;\n return;\n }\n\n if (cp === $.SOLIDUS) {\n this.state = SELF_CLOSING_START_TAG_STATE;\n return;\n }\n\n if (cp === $.GREATER_THAN_SIGN) {\n this._emitCurrentToken();\n this.state = DATA_STATE;\n return;\n }\n }\n\n this._emitChars('</');\n this._emitSeveralCodePoints(this.tempBuff);\n this._reconsumeInState(RAWTEXT_STATE);\n }\n }\n\n // Script data less-than sign state\n //------------------------------------------------------------------\n [SCRIPT_DATA_LESS_THAN_SIGN_STATE](cp) {\n if (cp === $.SOLIDUS) {\n this.tempBuff = [];\n this.state = SCRIPT_DATA_END_TAG_OPEN_STATE;\n } else if (cp === $.EXCLAMATION_MARK) {\n this.state = SCRIPT_DATA_ESCAPE_START_STATE;\n this._emitChars('<!');\n } else {\n this._emitChars('<');\n this._reconsumeInState(SCRIPT_DATA_STATE);\n }\n }\n\n // Script data end tag open state\n //------------------------------------------------------------------\n [SCRIPT_DATA_END_TAG_OPEN_STATE](cp) {\n if (isAsciiLetter(cp)) {\n this._createEndTagToken();\n this._reconsumeInState(SCRIPT_DATA_END_TAG_NAME_STATE);\n } else {\n this._emitChars('</');\n this._reconsumeInState(SCRIPT_DATA_STATE);\n }\n }\n\n // Script data end tag name state\n //------------------------------------------------------------------\n [SCRIPT_DATA_END_TAG_NAME_STATE](cp) {\n if (isAsciiUpper(cp)) {\n this.currentToken.tagName += toAsciiLowerChar(cp);\n this.tempBuff.push(cp);\n } else if (isAsciiLower(cp)) {\n this.currentToken.tagName += toChar(cp);\n this.tempBuff.push(cp);\n } else {\n if (this.lastStartTagName === this.currentToken.tagName) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_ATTRIBUTE_NAME_STATE;\n return;\n } else if (cp === $.SOLIDUS) {\n this.state = SELF_CLOSING_START_TAG_STATE;\n return;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._emitCurrentToken();\n this.state = DATA_STATE;\n return;\n }\n }\n\n this._emitChars('</');\n this._emitSeveralCodePoints(this.tempBuff);\n this._reconsumeInState(SCRIPT_DATA_STATE);\n }\n }\n\n // Script data escape start state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPE_START_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = SCRIPT_DATA_ESCAPE_START_DASH_STATE;\n this._emitChars('-');\n } else {\n this._reconsumeInState(SCRIPT_DATA_STATE);\n }\n }\n\n // Script data escape start dash state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPE_START_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = SCRIPT_DATA_ESCAPED_DASH_DASH_STATE;\n this._emitChars('-');\n } else {\n this._reconsumeInState(SCRIPT_DATA_STATE);\n }\n }\n\n // Script data escaped state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPED_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = SCRIPT_DATA_ESCAPED_DASH_STATE;\n this._emitChars('-');\n } else if (cp === $.LESS_THAN_SIGN) {\n this.state = SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInScriptHtmlCommentLikeText);\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // Script data escaped dash state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPED_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = SCRIPT_DATA_ESCAPED_DASH_DASH_STATE;\n this._emitChars('-');\n } else if (cp === $.LESS_THAN_SIGN) {\n this.state = SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.state = SCRIPT_DATA_ESCAPED_STATE;\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInScriptHtmlCommentLikeText);\n this._emitEOFToken();\n } else {\n this.state = SCRIPT_DATA_ESCAPED_STATE;\n this._emitCodePoint(cp);\n }\n }\n\n // Script data escaped dash dash state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPED_DASH_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this._emitChars('-');\n } else if (cp === $.LESS_THAN_SIGN) {\n this.state = SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this.state = SCRIPT_DATA_STATE;\n this._emitChars('>');\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.state = SCRIPT_DATA_ESCAPED_STATE;\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInScriptHtmlCommentLikeText);\n this._emitEOFToken();\n } else {\n this.state = SCRIPT_DATA_ESCAPED_STATE;\n this._emitCodePoint(cp);\n }\n }\n\n // Script data escaped less-than sign state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE](cp) {\n if (cp === $.SOLIDUS) {\n this.tempBuff = [];\n this.state = SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE;\n } else if (isAsciiLetter(cp)) {\n this.tempBuff = [];\n this._emitChars('<');\n this._reconsumeInState(SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE);\n } else {\n this._emitChars('<');\n this._reconsumeInState(SCRIPT_DATA_ESCAPED_STATE);\n }\n }\n\n // Script data escaped end tag open state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE](cp) {\n if (isAsciiLetter(cp)) {\n this._createEndTagToken();\n this._reconsumeInState(SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE);\n } else {\n this._emitChars('</');\n this._reconsumeInState(SCRIPT_DATA_ESCAPED_STATE);\n }\n }\n\n // Script data escaped end tag name state\n //------------------------------------------------------------------\n [SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE](cp) {\n if (isAsciiUpper(cp)) {\n this.currentToken.tagName += toAsciiLowerChar(cp);\n this.tempBuff.push(cp);\n } else if (isAsciiLower(cp)) {\n this.currentToken.tagName += toChar(cp);\n this.tempBuff.push(cp);\n } else {\n if (this.lastStartTagName === this.currentToken.tagName) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_ATTRIBUTE_NAME_STATE;\n return;\n }\n\n if (cp === $.SOLIDUS) {\n this.state = SELF_CLOSING_START_TAG_STATE;\n return;\n }\n\n if (cp === $.GREATER_THAN_SIGN) {\n this._emitCurrentToken();\n this.state = DATA_STATE;\n return;\n }\n }\n\n this._emitChars('</');\n this._emitSeveralCodePoints(this.tempBuff);\n this._reconsumeInState(SCRIPT_DATA_ESCAPED_STATE);\n }\n }\n\n // Script data double escape start state\n //------------------------------------------------------------------\n [SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE](cp) {\n if (isWhitespace(cp) || cp === $.SOLIDUS || cp === $.GREATER_THAN_SIGN) {\n this.state = this._isTempBufferEqualToScriptString()\n ? SCRIPT_DATA_DOUBLE_ESCAPED_STATE\n : SCRIPT_DATA_ESCAPED_STATE;\n this._emitCodePoint(cp);\n } else if (isAsciiUpper(cp)) {\n this.tempBuff.push(toAsciiLowerCodePoint(cp));\n this._emitCodePoint(cp);\n } else if (isAsciiLower(cp)) {\n this.tempBuff.push(cp);\n this._emitCodePoint(cp);\n } else {\n this._reconsumeInState(SCRIPT_DATA_ESCAPED_STATE);\n }\n }\n\n // Script data double escaped state\n //------------------------------------------------------------------\n [SCRIPT_DATA_DOUBLE_ESCAPED_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE;\n this._emitChars('-');\n } else if (cp === $.LESS_THAN_SIGN) {\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE;\n this._emitChars('<');\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInScriptHtmlCommentLikeText);\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // Script data double escaped dash state\n //------------------------------------------------------------------\n [SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE;\n this._emitChars('-');\n } else if (cp === $.LESS_THAN_SIGN) {\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE;\n this._emitChars('<');\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_STATE;\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInScriptHtmlCommentLikeText);\n this._emitEOFToken();\n } else {\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_STATE;\n this._emitCodePoint(cp);\n }\n }\n\n // Script data double escaped dash dash state\n //------------------------------------------------------------------\n [SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this._emitChars('-');\n } else if (cp === $.LESS_THAN_SIGN) {\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE;\n this._emitChars('<');\n } else if (cp === $.GREATER_THAN_SIGN) {\n this.state = SCRIPT_DATA_STATE;\n this._emitChars('>');\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_STATE;\n this._emitChars(unicode.REPLACEMENT_CHARACTER);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInScriptHtmlCommentLikeText);\n this._emitEOFToken();\n } else {\n this.state = SCRIPT_DATA_DOUBLE_ESCAPED_STATE;\n this._emitCodePoint(cp);\n }\n }\n\n // Script data double escaped less-than sign state\n //------------------------------------------------------------------\n [SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE](cp) {\n if (cp === $.SOLIDUS) {\n this.tempBuff = [];\n this.state = SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE;\n this._emitChars('/');\n } else {\n this._reconsumeInState(SCRIPT_DATA_DOUBLE_ESCAPED_STATE);\n }\n }\n\n // Script data double escape end state\n //------------------------------------------------------------------\n [SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE](cp) {\n if (isWhitespace(cp) || cp === $.SOLIDUS || cp === $.GREATER_THAN_SIGN) {\n this.state = this._isTempBufferEqualToScriptString()\n ? SCRIPT_DATA_ESCAPED_STATE\n : SCRIPT_DATA_DOUBLE_ESCAPED_STATE;\n\n this._emitCodePoint(cp);\n } else if (isAsciiUpper(cp)) {\n this.tempBuff.push(toAsciiLowerCodePoint(cp));\n this._emitCodePoint(cp);\n } else if (isAsciiLower(cp)) {\n this.tempBuff.push(cp);\n this._emitCodePoint(cp);\n } else {\n this._reconsumeInState(SCRIPT_DATA_DOUBLE_ESCAPED_STATE);\n }\n }\n\n // Before attribute name state\n //------------------------------------------------------------------\n [BEFORE_ATTRIBUTE_NAME_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.SOLIDUS || cp === $.GREATER_THAN_SIGN || cp === $.EOF) {\n this._reconsumeInState(AFTER_ATTRIBUTE_NAME_STATE);\n } else if (cp === $.EQUALS_SIGN) {\n this._err(ERR.unexpectedEqualsSignBeforeAttributeName);\n this._createAttr('=');\n this.state = ATTRIBUTE_NAME_STATE;\n } else {\n this._createAttr('');\n this._reconsumeInState(ATTRIBUTE_NAME_STATE);\n }\n }\n\n // Attribute name state\n //------------------------------------------------------------------\n [ATTRIBUTE_NAME_STATE](cp) {\n if (isWhitespace(cp) || cp === $.SOLIDUS || cp === $.GREATER_THAN_SIGN || cp === $.EOF) {\n this._leaveAttrName(AFTER_ATTRIBUTE_NAME_STATE);\n this._unconsume();\n } else if (cp === $.EQUALS_SIGN) {\n this._leaveAttrName(BEFORE_ATTRIBUTE_VALUE_STATE);\n } else if (isAsciiUpper(cp)) {\n this.currentAttr.name += toAsciiLowerChar(cp);\n } else if (cp === $.QUOTATION_MARK || cp === $.APOSTROPHE || cp === $.LESS_THAN_SIGN) {\n this._err(ERR.unexpectedCharacterInAttributeName);\n this.currentAttr.name += toChar(cp);\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentAttr.name += unicode.REPLACEMENT_CHARACTER;\n } else {\n this.currentAttr.name += toChar(cp);\n }\n }\n\n // After attribute name state\n //------------------------------------------------------------------\n [AFTER_ATTRIBUTE_NAME_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.SOLIDUS) {\n this.state = SELF_CLOSING_START_TAG_STATE;\n } else if (cp === $.EQUALS_SIGN) {\n this.state = BEFORE_ATTRIBUTE_VALUE_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInTag);\n this._emitEOFToken();\n } else {\n this._createAttr('');\n this._reconsumeInState(ATTRIBUTE_NAME_STATE);\n }\n }\n\n // Before attribute value state\n //------------------------------------------------------------------\n [BEFORE_ATTRIBUTE_VALUE_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.QUOTATION_MARK) {\n this.state = ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE;\n } else if (cp === $.APOSTROPHE) {\n this.state = ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.missingAttributeValue);\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else {\n this._reconsumeInState(ATTRIBUTE_VALUE_UNQUOTED_STATE);\n }\n }\n\n // Attribute value (double-quoted) state\n //------------------------------------------------------------------\n [ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE](cp) {\n if (cp === $.QUOTATION_MARK) {\n this.state = AFTER_ATTRIBUTE_VALUE_QUOTED_STATE;\n } else if (cp === $.AMPERSAND) {\n this.returnState = ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE;\n this.state = CHARACTER_REFERENCE_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentAttr.value += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInTag);\n this._emitEOFToken();\n } else {\n this.currentAttr.value += toChar(cp);\n }\n }\n\n // Attribute value (single-quoted) state\n //------------------------------------------------------------------\n [ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE](cp) {\n if (cp === $.APOSTROPHE) {\n this.state = AFTER_ATTRIBUTE_VALUE_QUOTED_STATE;\n } else if (cp === $.AMPERSAND) {\n this.returnState = ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE;\n this.state = CHARACTER_REFERENCE_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentAttr.value += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInTag);\n this._emitEOFToken();\n } else {\n this.currentAttr.value += toChar(cp);\n }\n }\n\n // Attribute value (unquoted) state\n //------------------------------------------------------------------\n [ATTRIBUTE_VALUE_UNQUOTED_STATE](cp) {\n if (isWhitespace(cp)) {\n this._leaveAttrValue(BEFORE_ATTRIBUTE_NAME_STATE);\n } else if (cp === $.AMPERSAND) {\n this.returnState = ATTRIBUTE_VALUE_UNQUOTED_STATE;\n this.state = CHARACTER_REFERENCE_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._leaveAttrValue(DATA_STATE);\n this._emitCurrentToken();\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentAttr.value += unicode.REPLACEMENT_CHARACTER;\n } else if (\n cp === $.QUOTATION_MARK ||\n cp === $.APOSTROPHE ||\n cp === $.LESS_THAN_SIGN ||\n cp === $.EQUALS_SIGN ||\n cp === $.GRAVE_ACCENT\n ) {\n this._err(ERR.unexpectedCharacterInUnquotedAttributeValue);\n this.currentAttr.value += toChar(cp);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInTag);\n this._emitEOFToken();\n } else {\n this.currentAttr.value += toChar(cp);\n }\n }\n\n // After attribute value (quoted) state\n //------------------------------------------------------------------\n [AFTER_ATTRIBUTE_VALUE_QUOTED_STATE](cp) {\n if (isWhitespace(cp)) {\n this._leaveAttrValue(BEFORE_ATTRIBUTE_NAME_STATE);\n } else if (cp === $.SOLIDUS) {\n this._leaveAttrValue(SELF_CLOSING_START_TAG_STATE);\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._leaveAttrValue(DATA_STATE);\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInTag);\n this._emitEOFToken();\n } else {\n this._err(ERR.missingWhitespaceBetweenAttributes);\n this._reconsumeInState(BEFORE_ATTRIBUTE_NAME_STATE);\n }\n }\n\n // Self-closing start tag state\n //------------------------------------------------------------------\n [SELF_CLOSING_START_TAG_STATE](cp) {\n if (cp === $.GREATER_THAN_SIGN) {\n this.currentToken.selfClosing = true;\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInTag);\n this._emitEOFToken();\n } else {\n this._err(ERR.unexpectedSolidusInTag);\n this._reconsumeInState(BEFORE_ATTRIBUTE_NAME_STATE);\n }\n }\n\n // Bogus comment state\n //------------------------------------------------------------------\n [BOGUS_COMMENT_STATE](cp) {\n if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._emitCurrentToken();\n this._emitEOFToken();\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.data += unicode.REPLACEMENT_CHARACTER;\n } else {\n this.currentToken.data += toChar(cp);\n }\n }\n\n // Markup declaration open state\n //------------------------------------------------------------------\n [MARKUP_DECLARATION_OPEN_STATE](cp) {\n if (this._consumeSequenceIfMatch($$.DASH_DASH_STRING, cp, true)) {\n this._createCommentToken();\n this.state = COMMENT_START_STATE;\n } else if (this._consumeSequenceIfMatch($$.DOCTYPE_STRING, cp, false)) {\n this.state = DOCTYPE_STATE;\n } else if (this._consumeSequenceIfMatch($$.CDATA_START_STRING, cp, true)) {\n if (this.allowCDATA) {\n this.state = CDATA_SECTION_STATE;\n } else {\n this._err(ERR.cdataInHtmlContent);\n this._createCommentToken();\n this.currentToken.data = '[CDATA[';\n this.state = BOGUS_COMMENT_STATE;\n }\n }\n\n //NOTE: sequence lookup can be abrupted by hibernation. In that case lookup\n //results are no longer valid and we will need to start over.\n else if (!this._ensureHibernation()) {\n this._err(ERR.incorrectlyOpenedComment);\n this._createCommentToken();\n this._reconsumeInState(BOGUS_COMMENT_STATE);\n }\n }\n\n // Comment start state\n //------------------------------------------------------------------\n [COMMENT_START_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = COMMENT_START_DASH_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.abruptClosingOfEmptyComment);\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else {\n this._reconsumeInState(COMMENT_STATE);\n }\n }\n\n // Comment start dash state\n //------------------------------------------------------------------\n [COMMENT_START_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = COMMENT_END_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.abruptClosingOfEmptyComment);\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInComment);\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.data += '-';\n this._reconsumeInState(COMMENT_STATE);\n }\n }\n\n // Comment state\n //------------------------------------------------------------------\n [COMMENT_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = COMMENT_END_DASH_STATE;\n } else if (cp === $.LESS_THAN_SIGN) {\n this.currentToken.data += '<';\n this.state = COMMENT_LESS_THAN_SIGN_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.data += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInComment);\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.data += toChar(cp);\n }\n }\n\n // Comment less-than sign state\n //------------------------------------------------------------------\n [COMMENT_LESS_THAN_SIGN_STATE](cp) {\n if (cp === $.EXCLAMATION_MARK) {\n this.currentToken.data += '!';\n this.state = COMMENT_LESS_THAN_SIGN_BANG_STATE;\n } else if (cp === $.LESS_THAN_SIGN) {\n this.currentToken.data += '!';\n } else {\n this._reconsumeInState(COMMENT_STATE);\n }\n }\n\n // Comment less-than sign bang state\n //------------------------------------------------------------------\n [COMMENT_LESS_THAN_SIGN_BANG_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE;\n } else {\n this._reconsumeInState(COMMENT_STATE);\n }\n }\n\n // Comment less-than sign bang dash state\n //------------------------------------------------------------------\n [COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE;\n } else {\n this._reconsumeInState(COMMENT_END_DASH_STATE);\n }\n }\n\n // Comment less-than sign bang dash dash state\n //------------------------------------------------------------------\n [COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE](cp) {\n if (cp !== $.GREATER_THAN_SIGN && cp !== $.EOF) {\n this._err(ERR.nestedComment);\n }\n\n this._reconsumeInState(COMMENT_END_STATE);\n }\n\n // Comment end dash state\n //------------------------------------------------------------------\n [COMMENT_END_DASH_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.state = COMMENT_END_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInComment);\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.data += '-';\n this._reconsumeInState(COMMENT_STATE);\n }\n }\n\n // Comment end state\n //------------------------------------------------------------------\n [COMMENT_END_STATE](cp) {\n if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EXCLAMATION_MARK) {\n this.state = COMMENT_END_BANG_STATE;\n } else if (cp === $.HYPHEN_MINUS) {\n this.currentToken.data += '-';\n } else if (cp === $.EOF) {\n this._err(ERR.eofInComment);\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.data += '--';\n this._reconsumeInState(COMMENT_STATE);\n }\n }\n\n // Comment end bang state\n //------------------------------------------------------------------\n [COMMENT_END_BANG_STATE](cp) {\n if (cp === $.HYPHEN_MINUS) {\n this.currentToken.data += '--!';\n this.state = COMMENT_END_DASH_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.incorrectlyClosedComment);\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInComment);\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.data += '--!';\n this._reconsumeInState(COMMENT_STATE);\n }\n }\n\n // DOCTYPE state\n //------------------------------------------------------------------\n [DOCTYPE_STATE](cp) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_DOCTYPE_NAME_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._reconsumeInState(BEFORE_DOCTYPE_NAME_STATE);\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this._createDoctypeToken(null);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.missingWhitespaceBeforeDoctypeName);\n this._reconsumeInState(BEFORE_DOCTYPE_NAME_STATE);\n }\n }\n\n // Before DOCTYPE name state\n //------------------------------------------------------------------\n [BEFORE_DOCTYPE_NAME_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (isAsciiUpper(cp)) {\n this._createDoctypeToken(toAsciiLowerChar(cp));\n this.state = DOCTYPE_NAME_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this._createDoctypeToken(unicode.REPLACEMENT_CHARACTER);\n this.state = DOCTYPE_NAME_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.missingDoctypeName);\n this._createDoctypeToken(null);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this._createDoctypeToken(null);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._createDoctypeToken(toChar(cp));\n this.state = DOCTYPE_NAME_STATE;\n }\n }\n\n // DOCTYPE name state\n //------------------------------------------------------------------\n [DOCTYPE_NAME_STATE](cp) {\n if (isWhitespace(cp)) {\n this.state = AFTER_DOCTYPE_NAME_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (isAsciiUpper(cp)) {\n this.currentToken.name += toAsciiLowerChar(cp);\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.name += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.name += toChar(cp);\n }\n }\n\n // After DOCTYPE name state\n //------------------------------------------------------------------\n [AFTER_DOCTYPE_NAME_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else if (this._consumeSequenceIfMatch($$.PUBLIC_STRING, cp, false)) {\n this.state = AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE;\n } else if (this._consumeSequenceIfMatch($$.SYSTEM_STRING, cp, false)) {\n this.state = AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE;\n }\n //NOTE: sequence lookup can be abrupted by hibernation. In that case lookup\n //results are no longer valid and we will need to start over.\n else if (!this._ensureHibernation()) {\n this._err(ERR.invalidCharacterSequenceAfterDoctypeName);\n this.currentToken.forceQuirks = true;\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // After DOCTYPE public keyword state\n //------------------------------------------------------------------\n [AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE](cp) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE;\n } else if (cp === $.QUOTATION_MARK) {\n this._err(ERR.missingWhitespaceAfterDoctypePublicKeyword);\n this.currentToken.publicId = '';\n this.state = DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE;\n } else if (cp === $.APOSTROPHE) {\n this._err(ERR.missingWhitespaceAfterDoctypePublicKeyword);\n this.currentToken.publicId = '';\n this.state = DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.missingDoctypePublicIdentifier);\n this.currentToken.forceQuirks = true;\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.missingQuoteBeforeDoctypePublicIdentifier);\n this.currentToken.forceQuirks = true;\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // Before DOCTYPE public identifier state\n //------------------------------------------------------------------\n [BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.QUOTATION_MARK) {\n this.currentToken.publicId = '';\n this.state = DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE;\n } else if (cp === $.APOSTROPHE) {\n this.currentToken.publicId = '';\n this.state = DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.missingDoctypePublicIdentifier);\n this.currentToken.forceQuirks = true;\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.missingQuoteBeforeDoctypePublicIdentifier);\n this.currentToken.forceQuirks = true;\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // DOCTYPE public identifier (double-quoted) state\n //------------------------------------------------------------------\n [DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE](cp) {\n if (cp === $.QUOTATION_MARK) {\n this.state = AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.publicId += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.abruptDoctypePublicIdentifier);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.publicId += toChar(cp);\n }\n }\n\n // DOCTYPE public identifier (single-quoted) state\n //------------------------------------------------------------------\n [DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE](cp) {\n if (cp === $.APOSTROPHE) {\n this.state = AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.publicId += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.abruptDoctypePublicIdentifier);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.publicId += toChar(cp);\n }\n }\n\n // After DOCTYPE public identifier state\n //------------------------------------------------------------------\n [AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE](cp) {\n if (isWhitespace(cp)) {\n this.state = BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.QUOTATION_MARK) {\n this._err(ERR.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers);\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE;\n } else if (cp === $.APOSTROPHE) {\n this._err(ERR.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers);\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.missingQuoteBeforeDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // Between DOCTYPE public and system identifiers state\n //------------------------------------------------------------------\n [BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.GREATER_THAN_SIGN) {\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.QUOTATION_MARK) {\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE;\n } else if (cp === $.APOSTROPHE) {\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.missingQuoteBeforeDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // After DOCTYPE system keyword state\n //------------------------------------------------------------------\n [AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE](cp) {\n if (isWhitespace(cp)) {\n this.state = BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE;\n } else if (cp === $.QUOTATION_MARK) {\n this._err(ERR.missingWhitespaceAfterDoctypeSystemKeyword);\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE;\n } else if (cp === $.APOSTROPHE) {\n this._err(ERR.missingWhitespaceAfterDoctypeSystemKeyword);\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.missingDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.missingQuoteBeforeDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // Before DOCTYPE system identifier state\n //------------------------------------------------------------------\n [BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.QUOTATION_MARK) {\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE;\n } else if (cp === $.APOSTROPHE) {\n this.currentToken.systemId = '';\n this.state = DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.missingDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this.state = DATA_STATE;\n this._emitCurrentToken();\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.missingQuoteBeforeDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // DOCTYPE system identifier (double-quoted) state\n //------------------------------------------------------------------\n [DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE](cp) {\n if (cp === $.QUOTATION_MARK) {\n this.state = AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.systemId += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.abruptDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.systemId += toChar(cp);\n }\n }\n\n // DOCTYPE system identifier (single-quoted) state\n //------------------------------------------------------------------\n [DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE](cp) {\n if (cp === $.APOSTROPHE) {\n this.state = AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n this.currentToken.systemId += unicode.REPLACEMENT_CHARACTER;\n } else if (cp === $.GREATER_THAN_SIGN) {\n this._err(ERR.abruptDoctypeSystemIdentifier);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this.currentToken.systemId += toChar(cp);\n }\n }\n\n // After DOCTYPE system identifier state\n //------------------------------------------------------------------\n [AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE](cp) {\n if (isWhitespace(cp)) {\n return;\n }\n\n if (cp === $.GREATER_THAN_SIGN) {\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInDoctype);\n this.currentToken.forceQuirks = true;\n this._emitCurrentToken();\n this._emitEOFToken();\n } else {\n this._err(ERR.unexpectedCharacterAfterDoctypeSystemIdentifier);\n this._reconsumeInState(BOGUS_DOCTYPE_STATE);\n }\n }\n\n // Bogus DOCTYPE state\n //------------------------------------------------------------------\n [BOGUS_DOCTYPE_STATE](cp) {\n if (cp === $.GREATER_THAN_SIGN) {\n this._emitCurrentToken();\n this.state = DATA_STATE;\n } else if (cp === $.NULL) {\n this._err(ERR.unexpectedNullCharacter);\n } else if (cp === $.EOF) {\n this._emitCurrentToken();\n this._emitEOFToken();\n }\n }\n\n // CDATA section state\n //------------------------------------------------------------------\n [CDATA_SECTION_STATE](cp) {\n if (cp === $.RIGHT_SQUARE_BRACKET) {\n this.state = CDATA_SECTION_BRACKET_STATE;\n } else if (cp === $.EOF) {\n this._err(ERR.eofInCdata);\n this._emitEOFToken();\n } else {\n this._emitCodePoint(cp);\n }\n }\n\n // CDATA section bracket state\n //------------------------------------------------------------------\n [CDATA_SECTION_BRACKET_STATE](cp) {\n if (cp === $.RIGHT_SQUARE_BRACKET) {\n this.state = CDATA_SECTION_END_STATE;\n } else {\n this._emitChars(']');\n this._reconsumeInState(CDATA_SECTION_STATE);\n }\n }\n\n // CDATA section end state\n //------------------------------------------------------------------\n [CDATA_SECTION_END_STATE](cp) {\n if (cp === $.GREATER_THAN_SIGN) {\n this.state = DATA_STATE;\n } else if (cp === $.RIGHT_SQUARE_BRACKET) {\n this._emitChars(']');\n } else {\n this._emitChars(']]');\n this._reconsumeInState(CDATA_SECTION_STATE);\n }\n }\n\n // Character reference state\n //------------------------------------------------------------------\n [CHARACTER_REFERENCE_STATE](cp) {\n this.tempBuff = [$.AMPERSAND];\n\n if (cp === $.NUMBER_SIGN) {\n this.tempBuff.push(cp);\n this.state = NUMERIC_CHARACTER_REFERENCE_STATE;\n } else if (isAsciiAlphaNumeric(cp)) {\n this._reconsumeInState(NAMED_CHARACTER_REFERENCE_STATE);\n } else {\n this._flushCodePointsConsumedAsCharacterReference();\n this._reconsumeInState(this.returnState);\n }\n }\n\n // Named character reference state\n //------------------------------------------------------------------\n [NAMED_CHARACTER_REFERENCE_STATE](cp) {\n const matchResult = this._matchNamedCharacterReference(cp);\n\n //NOTE: matching can be abrupted by hibernation. In that case match\n //results are no longer valid and we will need to start over.\n if (this._ensureHibernation()) {\n this.tempBuff = [$.AMPERSAND];\n } else if (matchResult) {\n const withSemicolon = this.tempBuff[this.tempBuff.length - 1] === $.SEMICOLON;\n\n if (!this._isCharacterReferenceAttributeQuirk(withSemicolon)) {\n if (!withSemicolon) {\n this._errOnNextCodePoint(ERR.missingSemicolonAfterCharacterReference);\n }\n\n this.tempBuff = matchResult;\n }\n\n this._flushCodePointsConsumedAsCharacterReference();\n this.state = this.returnState;\n } else {\n this._flushCodePointsConsumedAsCharacterReference();\n this.state = AMBIGUOUS_AMPERSAND_STATE;\n }\n }\n\n // Ambiguos ampersand state\n //------------------------------------------------------------------\n [AMBIGUOUS_AMPERSAND_STATE](cp) {\n if (isAsciiAlphaNumeric(cp)) {\n if (this._isCharacterReferenceInAttribute()) {\n this.currentAttr.value += toChar(cp);\n } else {\n this._emitCodePoint(cp);\n }\n } else {\n if (cp === $.SEMICOLON) {\n this._err(ERR.unknownNamedCharacterReference);\n }\n\n this._reconsumeInState(this.returnState);\n }\n }\n\n // Numeric character reference state\n //------------------------------------------------------------------\n [NUMERIC_CHARACTER_REFERENCE_STATE](cp) {\n this.charRefCode = 0;\n\n if (cp === $.LATIN_SMALL_X || cp === $.LATIN_CAPITAL_X) {\n this.tempBuff.push(cp);\n this.state = HEXADEMICAL_CHARACTER_REFERENCE_START_STATE;\n } else {\n this._reconsumeInState(DECIMAL_CHARACTER_REFERENCE_START_STATE);\n }\n }\n\n // Hexademical character reference start state\n //------------------------------------------------------------------\n [HEXADEMICAL_CHARACTER_REFERENCE_START_STATE](cp) {\n if (isAsciiHexDigit(cp)) {\n this._reconsumeInState(HEXADEMICAL_CHARACTER_REFERENCE_STATE);\n } else {\n this._err(ERR.absenceOfDigitsInNumericCharacterReference);\n this._flushCodePointsConsumedAsCharacterReference();\n this._reconsumeInState(this.returnState);\n }\n }\n\n // Decimal character reference start state\n //------------------------------------------------------------------\n [DECIMAL_CHARACTER_REFERENCE_START_STATE](cp) {\n if (isAsciiDigit(cp)) {\n this._reconsumeInState(DECIMAL_CHARACTER_REFERENCE_STATE);\n } else {\n this._err(ERR.absenceOfDigitsInNumericCharacterReference);\n this._flushCodePointsConsumedAsCharacterReference();\n this._reconsumeInState(this.returnState);\n }\n }\n\n // Hexademical character reference state\n //------------------------------------------------------------------\n [HEXADEMICAL_CHARACTER_REFERENCE_STATE](cp) {\n if (isAsciiUpperHexDigit(cp)) {\n this.charRefCode = this.charRefCode * 16 + cp - 0x37;\n } else if (isAsciiLowerHexDigit(cp)) {\n this.charRefCode = this.charRefCode * 16 + cp - 0x57;\n } else if (isAsciiDigit(cp)) {\n this.charRefCode = this.charRefCode * 16 + cp - 0x30;\n } else if (cp === $.SEMICOLON) {\n this.state = NUMERIC_CHARACTER_REFERENCE_END_STATE;\n } else {\n this._err(ERR.missingSemicolonAfterCharacterReference);\n this._reconsumeInState(NUMERIC_CHARACTER_REFERENCE_END_STATE);\n }\n }\n\n // Decimal character reference state\n //------------------------------------------------------------------\n [DECIMAL_CHARACTER_REFERENCE_STATE](cp) {\n if (isAsciiDigit(cp)) {\n this.charRefCode = this.charRefCode * 10 + cp - 0x30;\n } else if (cp === $.SEMICOLON) {\n this.state = NUMERIC_CHARACTER_REFERENCE_END_STATE;\n } else {\n this._err(ERR.missingSemicolonAfterCharacterReference);\n this._reconsumeInState(NUMERIC_CHARACTER_REFERENCE_END_STATE);\n }\n }\n\n // Numeric character reference end state\n //------------------------------------------------------------------\n [NUMERIC_CHARACTER_REFERENCE_END_STATE]() {\n if (this.charRefCode === $.NULL) {\n this._err(ERR.nullCharacterReference);\n this.charRefCode = $.REPLACEMENT_CHARACTER;\n } else if (this.charRefCode > 0x10ffff) {\n this._err(ERR.characterReferenceOutsideUnicodeRange);\n this.charRefCode = $.REPLACEMENT_CHARACTER;\n } else if (unicode.isSurrogate(this.charRefCode)) {\n this._err(ERR.surrogateCharacterReference);\n this.charRefCode = $.REPLACEMENT_CHARACTER;\n } else if (unicode.isUndefinedCodePoint(this.charRefCode)) {\n this._err(ERR.noncharacterCharacterReference);\n } else if (unicode.isControlCodePoint(this.charRefCode) || this.charRefCode === $.CARRIAGE_RETURN) {\n this._err(ERR.controlCharacterReference);\n\n const replacement = C1_CONTROLS_REFERENCE_REPLACEMENTS[this.charRefCode];\n\n if (replacement) {\n this.charRefCode = replacement;\n }\n }\n\n this.tempBuff = [this.charRefCode];\n\n this._flushCodePointsConsumedAsCharacterReference();\n this._reconsumeInState(this.returnState);\n }\n}\n\n//Token types\nTokenizer.CHARACTER_TOKEN = 'CHARACTER_TOKEN';\nTokenizer.NULL_CHARACTER_TOKEN = 'NULL_CHARACTER_TOKEN';\nTokenizer.WHITESPACE_CHARACTER_TOKEN = 'WHITESPACE_CHARACTER_TOKEN';\nTokenizer.START_TAG_TOKEN = 'START_TAG_TOKEN';\nTokenizer.END_TAG_TOKEN = 'END_TAG_TOKEN';\nTokenizer.COMMENT_TOKEN = 'COMMENT_TOKEN';\nTokenizer.DOCTYPE_TOKEN = 'DOCTYPE_TOKEN';\nTokenizer.EOF_TOKEN = 'EOF_TOKEN';\nTokenizer.HIBERNATION_TOKEN = 'HIBERNATION_TOKEN';\n\n//Tokenizer initial states for different modes\nTokenizer.MODE = {\n DATA: DATA_STATE,\n RCDATA: RCDATA_STATE,\n RAWTEXT: RAWTEXT_STATE,\n SCRIPT_DATA: SCRIPT_DATA_STATE,\n PLAINTEXT: PLAINTEXT_STATE\n};\n\n//Static\nTokenizer.getTokenAttr = function(token, attrName) {\n for (let i = token.attrs.length - 1; i >= 0; i--) {\n if (token.attrs[i].name === attrName) {\n return token.attrs[i].value;\n }\n }\n\n return null;\n};\n\nmodule.exports = Tokenizer;\n","'use strict';\n\n//NOTE: this file contains auto-generated array mapped radix tree that is used for the named entity references consumption\n//(details: https://github.com/inikulin/parse5/tree/master/scripts/generate-named-entity-data/README.md)\nmodule.exports = new Uint16Array([4,52,65,66,67,68,69,70,71,72,73,74,75,76,77,78,79,80,81,82,83,84,85,86,87,88,89,90,97,98,99,100,101,102,103,104,105,106,107,108,109,110,111,112,113,114,115,116,117,118,119,120,121,122,106,303,412,810,1432,1701,1796,1987,2114,2360,2420,2484,3170,3251,4140,4393,4575,4610,5106,5512,5728,6117,6274,6315,6345,6427,6516,7002,7910,8733,9323,9870,10170,10631,10893,11318,11386,11467,12773,13092,14474,14922,15448,15542,16419,17666,18166,18611,19004,19095,19298,19397,4,16,69,77,97,98,99,102,103,108,109,110,111,112,114,115,116,117,140,150,158,169,176,194,199,210,216,222,226,242,256,266,283,294,108,105,103,5,198,1,59,148,1,198,80,5,38,1,59,156,1,38,99,117,116,101,5,193,1,59,167,1,193,114,101,118,101,59,1,258,4,2,105,121,182,191,114,99,5,194,1,59,189,1,194,59,1,1040,114,59,3,55349,56580,114,97,118,101,5,192,1,59,208,1,192,112,104,97,59,1,913,97,99,114,59,1,256,100,59,1,10835,4,2,103,112,232,237,111,110,59,1,260,102,59,3,55349,56632,112,108,121,70,117,110,99,116,105,111,110,59,1,8289,105,110,103,5,197,1,59,264,1,197,4,2,99,115,272,277,114,59,3,55349,56476,105,103,110,59,1,8788,105,108,100,101,5,195,1,59,292,1,195,109,108,5,196,1,59,301,1,196,4,8,97,99,101,102,111,114,115,117,321,350,354,383,388,394,400,405,4,2,99,114,327,336,107,115,108,97,115,104,59,1,8726,4,2,118,119,342,345,59,1,10983,101,100,59,1,8966,121,59,1,1041,4,3,99,114,116,362,369,379,97,117,115,101,59,1,8757,110,111,117,108,108,105,115,59,1,8492,97,59,1,914,114,59,3,55349,56581,112,102,59,3,55349,56633,101,118,101,59,1,728,99,114,59,1,8492,109,112,101,113,59,1,8782,4,14,72,79,97,99,100,101,102,104,105,108,111,114,115,117,442,447,456,504,542,547,569,573,577,616,678,784,790,796,99,121,59,1,1063,80,89,5,169,1,59,454,1,169,4,3,99,112,121,464,470,497,117,116,101,59,1,262,4,2,59,105,476,478,1,8914,116,97,108,68,105,102,102,101,114,101,110,116,105,97,108,68,59,1,8517,108,101,121,115,59,1,8493,4,4,97,101,105,111,514,520,530,535,114,111,110,59,1,268,100,105,108,5,199,1,59,528,1,199,114,99,59,1,264,110,105,110,116,59,1,8752,111,116,59,1,266,4,2,100,110,553,560,105,108,108,97,59,1,184,116,101,114,68,111,116,59,1,183,114,59,1,8493,105,59,1,935,114,99,108,101,4,4,68,77,80,84,591,596,603,609,111,116,59,1,8857,105,110,117,115,59,1,8854,108,117,115,59,1,8853,105,109,101,115,59,1,8855,111,4,2,99,115,623,646,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8754,101,67,117,114,108,121,4,2,68,81,658,671,111,117,98,108,101,81,117,111,116,101,59,1,8221,117,111,116,101,59,1,8217,4,4,108,110,112,117,688,701,736,753,111,110,4,2,59,101,696,698,1,8759,59,1,10868,4,3,103,105,116,709,717,722,114,117,101,110,116,59,1,8801,110,116,59,1,8751,111,117,114,73,110,116,101,103,114,97,108,59,1,8750,4,2,102,114,742,745,59,1,8450,111,100,117,99,116,59,1,8720,110,116,101,114,67,108,111,99,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8755,111,115,115,59,1,10799,99,114,59,3,55349,56478,112,4,2,59,67,803,805,1,8915,97,112,59,1,8781,4,11,68,74,83,90,97,99,101,102,105,111,115,834,850,855,860,865,888,903,916,921,1011,1415,4,2,59,111,840,842,1,8517,116,114,97,104,100,59,1,10513,99,121,59,1,1026,99,121,59,1,1029,99,121,59,1,1039,4,3,103,114,115,873,879,883,103,101,114,59,1,8225,114,59,1,8609,104,118,59,1,10980,4,2,97,121,894,900,114,111,110,59,1,270,59,1,1044,108,4,2,59,116,910,912,1,8711,97,59,1,916,114,59,3,55349,56583,4,2,97,102,927,998,4,2,99,109,933,992,114,105,116,105,99,97,108,4,4,65,68,71,84,950,957,978,985,99,117,116,101,59,1,180,111,4,2,116,117,964,967,59,1,729,98,108,101,65,99,117,116,101,59,1,733,114,97,118,101,59,1,96,105,108,100,101,59,1,732,111,110,100,59,1,8900,102,101,114,101,110,116,105,97,108,68,59,1,8518,4,4,112,116,117,119,1021,1026,1048,1249,102,59,3,55349,56635,4,3,59,68,69,1034,1036,1041,1,168,111,116,59,1,8412,113,117,97,108,59,1,8784,98,108,101,4,6,67,68,76,82,85,86,1065,1082,1101,1189,1211,1236,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8751,111,4,2,116,119,1089,1092,59,1,168,110,65,114,114,111,119,59,1,8659,4,2,101,111,1107,1141,102,116,4,3,65,82,84,1117,1124,1136,114,114,111,119,59,1,8656,105,103,104,116,65,114,114,111,119,59,1,8660,101,101,59,1,10980,110,103,4,2,76,82,1149,1177,101,102,116,4,2,65,82,1158,1165,114,114,111,119,59,1,10232,105,103,104,116,65,114,114,111,119,59,1,10234,105,103,104,116,65,114,114,111,119,59,1,10233,105,103,104,116,4,2,65,84,1199,1206,114,114,111,119,59,1,8658,101,101,59,1,8872,112,4,2,65,68,1218,1225,114,114,111,119,59,1,8657,111,119,110,65,114,114,111,119,59,1,8661,101,114,116,105,99,97,108,66,97,114,59,1,8741,110,4,6,65,66,76,82,84,97,1264,1292,1299,1352,1391,1408,114,114,111,119,4,3,59,66,85,1276,1278,1283,1,8595,97,114,59,1,10515,112,65,114,114,111,119,59,1,8693,114,101,118,101,59,1,785,101,102,116,4,3,82,84,86,1310,1323,1334,105,103,104,116,86,101,99,116,111,114,59,1,10576,101,101,86,101,99,116,111,114,59,1,10590,101,99,116,111,114,4,2,59,66,1345,1347,1,8637,97,114,59,1,10582,105,103,104,116,4,2,84,86,1362,1373,101,101,86,101,99,116,111,114,59,1,10591,101,99,116,111,114,4,2,59,66,1384,1386,1,8641,97,114,59,1,10583,101,101,4,2,59,65,1399,1401,1,8868,114,114,111,119,59,1,8615,114,114,111,119,59,1,8659,4,2,99,116,1421,1426,114,59,3,55349,56479,114,111,107,59,1,272,4,16,78,84,97,99,100,102,103,108,109,111,112,113,115,116,117,120,1466,1470,1478,1489,1515,1520,1525,1536,1544,1593,1609,1617,1650,1664,1668,1677,71,59,1,330,72,5,208,1,59,1476,1,208,99,117,116,101,5,201,1,59,1487,1,201,4,3,97,105,121,1497,1503,1512,114,111,110,59,1,282,114,99,5,202,1,59,1510,1,202,59,1,1069,111,116,59,1,278,114,59,3,55349,56584,114,97,118,101,5,200,1,59,1534,1,200,101,109,101,110,116,59,1,8712,4,2,97,112,1550,1555,99,114,59,1,274,116,121,4,2,83,86,1563,1576,109,97,108,108,83,113,117,97,114,101,59,1,9723,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9643,4,2,103,112,1599,1604,111,110,59,1,280,102,59,3,55349,56636,115,105,108,111,110,59,1,917,117,4,2,97,105,1624,1640,108,4,2,59,84,1631,1633,1,10869,105,108,100,101,59,1,8770,108,105,98,114,105,117,109,59,1,8652,4,2,99,105,1656,1660,114,59,1,8496,109,59,1,10867,97,59,1,919,109,108,5,203,1,59,1675,1,203,4,2,105,112,1683,1689,115,116,115,59,1,8707,111,110,101,110,116,105,97,108,69,59,1,8519,4,5,99,102,105,111,115,1713,1717,1722,1762,1791,121,59,1,1060,114,59,3,55349,56585,108,108,101,100,4,2,83,86,1732,1745,109,97,108,108,83,113,117,97,114,101,59,1,9724,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9642,4,3,112,114,117,1770,1775,1781,102,59,3,55349,56637,65,108,108,59,1,8704,114,105,101,114,116,114,102,59,1,8497,99,114,59,1,8497,4,12,74,84,97,98,99,100,102,103,111,114,115,116,1822,1827,1834,1848,1855,1877,1882,1887,1890,1896,1978,1984,99,121,59,1,1027,5,62,1,59,1832,1,62,109,109,97,4,2,59,100,1843,1845,1,915,59,1,988,114,101,118,101,59,1,286,4,3,101,105,121,1863,1869,1874,100,105,108,59,1,290,114,99,59,1,284,59,1,1043,111,116,59,1,288,114,59,3,55349,56586,59,1,8921,112,102,59,3,55349,56638,101,97,116,101,114,4,6,69,70,71,76,83,84,1915,1933,1944,1953,1959,1971,113,117,97,108,4,2,59,76,1925,1927,1,8805,101,115,115,59,1,8923,117,108,108,69,113,117,97,108,59,1,8807,114,101,97,116,101,114,59,1,10914,101,115,115,59,1,8823,108,97,110,116,69,113,117,97,108,59,1,10878,105,108,100,101,59,1,8819,99,114,59,3,55349,56482,59,1,8811,4,8,65,97,99,102,105,111,115,117,2005,2012,2026,2032,2036,2049,2073,2089,82,68,99,121,59,1,1066,4,2,99,116,2018,2023,101,107,59,1,711,59,1,94,105,114,99,59,1,292,114,59,1,8460,108,98,101,114,116,83,112,97,99,101,59,1,8459,4,2,112,114,2055,2059,102,59,1,8461,105,122,111,110,116,97,108,76,105,110,101,59,1,9472,4,2,99,116,2079,2083,114,59,1,8459,114,111,107,59,1,294,109,112,4,2,68,69,2097,2107,111,119,110,72,117,109,112,59,1,8782,113,117,97,108,59,1,8783,4,14,69,74,79,97,99,100,102,103,109,110,111,115,116,117,2144,2149,2155,2160,2171,2189,2194,2198,2209,2245,2307,2329,2334,2341,99,121,59,1,1045,108,105,103,59,1,306,99,121,59,1,1025,99,117,116,101,5,205,1,59,2169,1,205,4,2,105,121,2177,2186,114,99,5,206,1,59,2184,1,206,59,1,1048,111,116,59,1,304,114,59,1,8465,114,97,118,101,5,204,1,59,2207,1,204,4,3,59,97,112,2217,2219,2238,1,8465,4,2,99,103,2225,2229,114,59,1,298,105,110,97,114,121,73,59,1,8520,108,105,101,115,59,1,8658,4,2,116,118,2251,2281,4,2,59,101,2257,2259,1,8748,4,2,103,114,2265,2271,114,97,108,59,1,8747,115,101,99,116,105,111,110,59,1,8898,105,115,105,98,108,101,4,2,67,84,2293,2300,111,109,109,97,59,1,8291,105,109,101,115,59,1,8290,4,3,103,112,116,2315,2320,2325,111,110,59,1,302,102,59,3,55349,56640,97,59,1,921,99,114,59,1,8464,105,108,100,101,59,1,296,4,2,107,109,2347,2352,99,121,59,1,1030,108,5,207,1,59,2358,1,207,4,5,99,102,111,115,117,2372,2386,2391,2397,2414,4,2,105,121,2378,2383,114,99,59,1,308,59,1,1049,114,59,3,55349,56589,112,102,59,3,55349,56641,4,2,99,101,2403,2408,114,59,3,55349,56485,114,99,121,59,1,1032,107,99,121,59,1,1028,4,7,72,74,97,99,102,111,115,2436,2441,2446,2452,2467,2472,2478,99,121,59,1,1061,99,121,59,1,1036,112,112,97,59,1,922,4,2,101,121,2458,2464,100,105,108,59,1,310,59,1,1050,114,59,3,55349,56590,112,102,59,3,55349,56642,99,114,59,3,55349,56486,4,11,74,84,97,99,101,102,108,109,111,115,116,2508,2513,2520,2562,2585,2981,2986,3004,3011,3146,3167,99,121,59,1,1033,5,60,1,59,2518,1,60,4,5,99,109,110,112,114,2532,2538,2544,2548,2558,117,116,101,59,1,313,98,100,97,59,1,923,103,59,1,10218,108,97,99,101,116,114,102,59,1,8466,114,59,1,8606,4,3,97,101,121,2570,2576,2582,114,111,110,59,1,317,100,105,108,59,1,315,59,1,1051,4,2,102,115,2591,2907,116,4,10,65,67,68,70,82,84,85,86,97,114,2614,2663,2672,2728,2735,2760,2820,2870,2888,2895,4,2,110,114,2620,2633,103,108,101,66,114,97,99,107,101,116,59,1,10216,114,111,119,4,3,59,66,82,2644,2646,2651,1,8592,97,114,59,1,8676,105,103,104,116,65,114,114,111,119,59,1,8646,101,105,108,105,110,103,59,1,8968,111,4,2,117,119,2679,2692,98,108,101,66,114,97,99,107,101,116,59,1,10214,110,4,2,84,86,2699,2710,101,101,86,101,99,116,111,114,59,1,10593,101,99,116,111,114,4,2,59,66,2721,2723,1,8643,97,114,59,1,10585,108,111,111,114,59,1,8970,105,103,104,116,4,2,65,86,2745,2752,114,114,111,119,59,1,8596,101,99,116,111,114,59,1,10574,4,2,101,114,2766,2792,101,4,3,59,65,86,2775,2777,2784,1,8867,114,114,111,119,59,1,8612,101,99,116,111,114,59,1,10586,105,97,110,103,108,101,4,3,59,66,69,2806,2808,2813,1,8882,97,114,59,1,10703,113,117,97,108,59,1,8884,112,4,3,68,84,86,2829,2841,2852,111,119,110,86,101,99,116,111,114,59,1,10577,101,101,86,101,99,116,111,114,59,1,10592,101,99,116,111,114,4,2,59,66,2863,2865,1,8639,97,114,59,1,10584,101,99,116,111,114,4,2,59,66,2881,2883,1,8636,97,114,59,1,10578,114,114,111,119,59,1,8656,105,103,104,116,97,114,114,111,119,59,1,8660,115,4,6,69,70,71,76,83,84,2922,2936,2947,2956,2962,2974,113,117,97,108,71,114,101,97,116,101,114,59,1,8922,117,108,108,69,113,117,97,108,59,1,8806,114,101,97,116,101,114,59,1,8822,101,115,115,59,1,10913,108,97,110,116,69,113,117,97,108,59,1,10877,105,108,100,101,59,1,8818,114,59,3,55349,56591,4,2,59,101,2992,2994,1,8920,102,116,97,114,114,111,119,59,1,8666,105,100,111,116,59,1,319,4,3,110,112,119,3019,3110,3115,103,4,4,76,82,108,114,3030,3058,3070,3098,101,102,116,4,2,65,82,3039,3046,114,114,111,119,59,1,10229,105,103,104,116,65,114,114,111,119,59,1,10231,105,103,104,116,65,114,114,111,119,59,1,10230,101,102,116,4,2,97,114,3079,3086,114,114,111,119,59,1,10232,105,103,104,116,97,114,114,111,119,59,1,10234,105,103,104,116,97,114,114,111,119,59,1,10233,102,59,3,55349,56643,101,114,4,2,76,82,3123,3134,101,102,116,65,114,114,111,119,59,1,8601,105,103,104,116,65,114,114,111,119,59,1,8600,4,3,99,104,116,3154,3158,3161,114,59,1,8466,59,1,8624,114,111,107,59,1,321,59,1,8810,4,8,97,99,101,102,105,111,115,117,3188,3192,3196,3222,3227,3237,3243,3248,112,59,1,10501,121,59,1,1052,4,2,100,108,3202,3213,105,117,109,83,112,97,99,101,59,1,8287,108,105,110,116,114,102,59,1,8499,114,59,3,55349,56592,110,117,115,80,108,117,115,59,1,8723,112,102,59,3,55349,56644,99,114,59,1,8499,59,1,924,4,9,74,97,99,101,102,111,115,116,117,3271,3276,3283,3306,3422,3427,4120,4126,4137,99,121,59,1,1034,99,117,116,101,59,1,323,4,3,97,101,121,3291,3297,3303,114,111,110,59,1,327,100,105,108,59,1,325,59,1,1053,4,3,103,115,119,3314,3380,3415,97,116,105,118,101,4,3,77,84,86,3327,3340,3365,101,100,105,117,109,83,112,97,99,101,59,1,8203,104,105,4,2,99,110,3348,3357,107,83,112,97,99,101,59,1,8203,83,112,97,99,101,59,1,8203,101,114,121,84,104,105,110,83,112,97,99,101,59,1,8203,116,101,100,4,2,71,76,3389,3405,114,101,97,116,101,114,71,114,101,97,116,101,114,59,1,8811,101,115,115,76,101,115,115,59,1,8810,76,105,110,101,59,1,10,114,59,3,55349,56593,4,4,66,110,112,116,3437,3444,3460,3464,114,101,97,107,59,1,8288,66,114,101,97,107,105,110,103,83,112,97,99,101,59,1,160,102,59,1,8469,4,13,59,67,68,69,71,72,76,78,80,82,83,84,86,3492,3494,3517,3536,3578,3657,3685,3784,3823,3860,3915,4066,4107,1,10988,4,2,111,117,3500,3510,110,103,114,117,101,110,116,59,1,8802,112,67,97,112,59,1,8813,111,117,98,108,101,86,101,114,116,105,99,97,108,66,97,114,59,1,8742,4,3,108,113,120,3544,3552,3571,101,109,101,110,116,59,1,8713,117,97,108,4,2,59,84,3561,3563,1,8800,105,108,100,101,59,3,8770,824,105,115,116,115,59,1,8708,114,101,97,116,101,114,4,7,59,69,70,71,76,83,84,3600,3602,3609,3621,3631,3637,3650,1,8815,113,117,97,108,59,1,8817,117,108,108,69,113,117,97,108,59,3,8807,824,114,101,97,116,101,114,59,3,8811,824,101,115,115,59,1,8825,108,97,110,116,69,113,117,97,108,59,3,10878,824,105,108,100,101,59,1,8821,117,109,112,4,2,68,69,3666,3677,111,119,110,72,117,109,112,59,3,8782,824,113,117,97,108,59,3,8783,824,101,4,2,102,115,3692,3724,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3709,3711,3717,1,8938,97,114,59,3,10703,824,113,117,97,108,59,1,8940,115,4,6,59,69,71,76,83,84,3739,3741,3748,3757,3764,3777,1,8814,113,117,97,108,59,1,8816,114,101,97,116,101,114,59,1,8824,101,115,115,59,3,8810,824,108,97,110,116,69,113,117,97,108,59,3,10877,824,105,108,100,101,59,1,8820,101,115,116,101,100,4,2,71,76,3795,3812,114,101,97,116,101,114,71,114,101,97,116,101,114,59,3,10914,824,101,115,115,76,101,115,115,59,3,10913,824,114,101,99,101,100,101,115,4,3,59,69,83,3838,3840,3848,1,8832,113,117,97,108,59,3,10927,824,108,97,110,116,69,113,117,97,108,59,1,8928,4,2,101,105,3866,3881,118,101,114,115,101,69,108,101,109,101,110,116,59,1,8716,103,104,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3900,3902,3908,1,8939,97,114,59,3,10704,824,113,117,97,108,59,1,8941,4,2,113,117,3921,3973,117,97,114,101,83,117,4,2,98,112,3933,3952,115,101,116,4,2,59,69,3942,3945,3,8847,824,113,117,97,108,59,1,8930,101,114,115,101,116,4,2,59,69,3963,3966,3,8848,824,113,117,97,108,59,1,8931,4,3,98,99,112,3981,4000,4045,115,101,116,4,2,59,69,3990,3993,3,8834,8402,113,117,97,108,59,1,8840,99,101,101,100,115,4,4,59,69,83,84,4015,4017,4025,4037,1,8833,113,117,97,108,59,3,10928,824,108,97,110,116,69,113,117,97,108,59,1,8929,105,108,100,101,59,3,8831,824,101,114,115,101,116,4,2,59,69,4056,4059,3,8835,8402,113,117,97,108,59,1,8841,105,108,100,101,4,4,59,69,70,84,4080,4082,4089,4100,1,8769,113,117,97,108,59,1,8772,117,108,108,69,113,117,97,108,59,1,8775,105,108,100,101,59,1,8777,101,114,116,105,99,97,108,66,97,114,59,1,8740,99,114,59,3,55349,56489,105,108,100,101,5,209,1,59,4135,1,209,59,1,925,4,14,69,97,99,100,102,103,109,111,112,114,115,116,117,118,4170,4176,4187,4205,4212,4217,4228,4253,4259,4292,4295,4316,4337,4346,108,105,103,59,1,338,99,117,116,101,5,211,1,59,4185,1,211,4,2,105,121,4193,4202,114,99,5,212,1,59,4200,1,212,59,1,1054,98,108,97,99,59,1,336,114,59,3,55349,56594,114,97,118,101,5,210,1,59,4226,1,210,4,3,97,101,105,4236,4241,4246,99,114,59,1,332,103,97,59,1,937,99,114,111,110,59,1,927,112,102,59,3,55349,56646,101,110,67,117,114,108,121,4,2,68,81,4272,4285,111,117,98,108,101,81,117,111,116,101,59,1,8220,117,111,116,101,59,1,8216,59,1,10836,4,2,99,108,4301,4306,114,59,3,55349,56490,97,115,104,5,216,1,59,4314,1,216,105,4,2,108,109,4323,4332,100,101,5,213,1,59,4330,1,213,101,115,59,1,10807,109,108,5,214,1,59,4344,1,214,101,114,4,2,66,80,4354,4380,4,2,97,114,4360,4364,114,59,1,8254,97,99,4,2,101,107,4372,4375,59,1,9182,101,116,59,1,9140,97,114,101,110,116,104,101,115,105,115,59,1,9180,4,9,97,99,102,104,105,108,111,114,115,4413,4422,4426,4431,4435,4438,4448,4471,4561,114,116,105,97,108,68,59,1,8706,121,59,1,1055,114,59,3,55349,56595,105,59,1,934,59,1,928,117,115,77,105,110,117,115,59,1,177,4,2,105,112,4454,4467,110,99,97,114,101,112,108,97,110,101,59,1,8460,102,59,1,8473,4,4,59,101,105,111,4481,4483,4526,4531,1,10939,99,101,100,101,115,4,4,59,69,83,84,4498,4500,4507,4519,1,8826,113,117,97,108,59,1,10927,108,97,110,116,69,113,117,97,108,59,1,8828,105,108,100,101,59,1,8830,109,101,59,1,8243,4,2,100,112,4537,4543,117,99,116,59,1,8719,111,114,116,105,111,110,4,2,59,97,4555,4557,1,8759,108,59,1,8733,4,2,99,105,4567,4572,114,59,3,55349,56491,59,1,936,4,4,85,102,111,115,4585,4594,4599,4604,79,84,5,34,1,59,4592,1,34,114,59,3,55349,56596,112,102,59,1,8474,99,114,59,3,55349,56492,4,12,66,69,97,99,101,102,104,105,111,114,115,117,4636,4642,4650,4681,4704,4763,4767,4771,5047,5069,5081,5094,97,114,114,59,1,10512,71,5,174,1,59,4648,1,174,4,3,99,110,114,4658,4664,4668,117,116,101,59,1,340,103,59,1,10219,114,4,2,59,116,4675,4677,1,8608,108,59,1,10518,4,3,97,101,121,4689,4695,4701,114,111,110,59,1,344,100,105,108,59,1,342,59,1,1056,4,2,59,118,4710,4712,1,8476,101,114,115,101,4,2,69,85,4722,4748,4,2,108,113,4728,4736,101,109,101,110,116,59,1,8715,117,105,108,105,98,114,105,117,109,59,1,8651,112,69,113,117,105,108,105,98,114,105,117,109,59,1,10607,114,59,1,8476,111,59,1,929,103,104,116,4,8,65,67,68,70,84,85,86,97,4792,4840,4849,4905,4912,4972,5022,5040,4,2,110,114,4798,4811,103,108,101,66,114,97,99,107,101,116,59,1,10217,114,111,119,4,3,59,66,76,4822,4824,4829,1,8594,97,114,59,1,8677,101,102,116,65,114,114,111,119,59,1,8644,101,105,108,105,110,103,59,1,8969,111,4,2,117,119,4856,4869,98,108,101,66,114,97,99,107,101,116,59,1,10215,110,4,2,84,86,4876,4887,101,101,86,101,99,116,111,114,59,1,10589,101,99,116,111,114,4,2,59,66,4898,4900,1,8642,97,114,59,1,10581,108,111,111,114,59,1,8971,4,2,101,114,4918,4944,101,4,3,59,65,86,4927,4929,4936,1,8866,114,114,111,119,59,1,8614,101,99,116,111,114,59,1,10587,105,97,110,103,108,101,4,3,59,66,69,4958,4960,4965,1,8883,97,114,59,1,10704,113,117,97,108,59,1,8885,112,4,3,68,84,86,4981,4993,5004,111,119,110,86,101,99,116,111,114,59,1,10575,101,101,86,101,99,116,111,114,59,1,10588,101,99,116,111,114,4,2,59,66,5015,5017,1,8638,97,114,59,1,10580,101,99,116,111,114,4,2,59,66,5033,5035,1,8640,97,114,59,1,10579,114,114,111,119,59,1,8658,4,2,112,117,5053,5057,102,59,1,8477,110,100,73,109,112,108,105,101,115,59,1,10608,105,103,104,116,97,114,114,111,119,59,1,8667,4,2,99,104,5087,5091,114,59,1,8475,59,1,8625,108,101,68,101,108,97,121,101,100,59,1,10740,4,13,72,79,97,99,102,104,105,109,111,113,115,116,117,5134,5150,5157,5164,5198,5203,5259,5265,5277,5283,5374,5380,5385,4,2,67,99,5140,5146,72,99,121,59,1,1065,121,59,1,1064,70,84,99,121,59,1,1068,99,117,116,101,59,1,346,4,5,59,97,101,105,121,5176,5178,5184,5190,5195,1,10940,114,111,110,59,1,352,100,105,108,59,1,350,114,99,59,1,348,59,1,1057,114,59,3,55349,56598,111,114,116,4,4,68,76,82,85,5216,5227,5238,5250,111,119,110,65,114,114,111,119,59,1,8595,101,102,116,65,114,114,111,119,59,1,8592,105,103,104,116,65,114,114,111,119,59,1,8594,112,65,114,114,111,119,59,1,8593,103,109,97,59,1,931,97,108,108,67,105,114,99,108,101,59,1,8728,112,102,59,3,55349,56650,4,2,114,117,5289,5293,116,59,1,8730,97,114,101,4,4,59,73,83,85,5306,5308,5322,5367,1,9633,110,116,101,114,115,101,99,116,105,111,110,59,1,8851,117,4,2,98,112,5329,5347,115,101,116,4,2,59,69,5338,5340,1,8847,113,117,97,108,59,1,8849,101,114,115,101,116,4,2,59,69,5358,5360,1,8848,113,117,97,108,59,1,8850,110,105,111,110,59,1,8852,99,114,59,3,55349,56494,97,114,59,1,8902,4,4,98,99,109,112,5395,5420,5475,5478,4,2,59,115,5401,5403,1,8912,101,116,4,2,59,69,5411,5413,1,8912,113,117,97,108,59,1,8838,4,2,99,104,5426,5468,101,101,100,115,4,4,59,69,83,84,5440,5442,5449,5461,1,8827,113,117,97,108,59,1,10928,108,97,110,116,69,113,117,97,108,59,1,8829,105,108,100,101,59,1,8831,84,104,97,116,59,1,8715,59,1,8721,4,3,59,101,115,5486,5488,5507,1,8913,114,115,101,116,4,2,59,69,5498,5500,1,8835,113,117,97,108,59,1,8839,101,116,59,1,8913,4,11,72,82,83,97,99,102,104,105,111,114,115,5536,5546,5552,5567,5579,5602,5607,5655,5695,5701,5711,79,82,78,5,222,1,59,5544,1,222,65,68,69,59,1,8482,4,2,72,99,5558,5563,99,121,59,1,1035,121,59,1,1062,4,2,98,117,5573,5576,59,1,9,59,1,932,4,3,97,101,121,5587,5593,5599,114,111,110,59,1,356,100,105,108,59,1,354,59,1,1058,114,59,3,55349,56599,4,2,101,105,5613,5631,4,2,114,116,5619,5627,101,102,111,114,101,59,1,8756,97,59,1,920,4,2,99,110,5637,5647,107,83,112,97,99,101,59,3,8287,8202,83,112,97,99,101,59,1,8201,108,100,101,4,4,59,69,70,84,5668,5670,5677,5688,1,8764,113,117,97,108,59,1,8771,117,108,108,69,113,117,97,108,59,1,8773,105,108,100,101,59,1,8776,112,102,59,3,55349,56651,105,112,108,101,68,111,116,59,1,8411,4,2,99,116,5717,5722,114,59,3,55349,56495,114,111,107,59,1,358,4,14,97,98,99,100,102,103,109,110,111,112,114,115,116,117,5758,5789,5805,5823,5830,5835,5846,5852,5921,5937,6089,6095,6101,6108,4,2,99,114,5764,5774,117,116,101,5,218,1,59,5772,1,218,114,4,2,59,111,5781,5783,1,8607,99,105,114,59,1,10569,114,4,2,99,101,5796,5800,121,59,1,1038,118,101,59,1,364,4,2,105,121,5811,5820,114,99,5,219,1,59,5818,1,219,59,1,1059,98,108,97,99,59,1,368,114,59,3,55349,56600,114,97,118,101,5,217,1,59,5844,1,217,97,99,114,59,1,362,4,2,100,105,5858,5905,101,114,4,2,66,80,5866,5892,4,2,97,114,5872,5876,114,59,1,95,97,99,4,2,101,107,5884,5887,59,1,9183,101,116,59,1,9141,97,114,101,110,116,104,101,115,105,115,59,1,9181,111,110,4,2,59,80,5913,5915,1,8899,108,117,115,59,1,8846,4,2,103,112,5927,5932,111,110,59,1,370,102,59,3,55349,56652,4,8,65,68,69,84,97,100,112,115,5955,5985,5996,6009,6026,6033,6044,6075,114,114,111,119,4,3,59,66,68,5967,5969,5974,1,8593,97,114,59,1,10514,111,119,110,65,114,114,111,119,59,1,8645,111,119,110,65,114,114,111,119,59,1,8597,113,117,105,108,105,98,114,105,117,109,59,1,10606,101,101,4,2,59,65,6017,6019,1,8869,114,114,111,119,59,1,8613,114,114,111,119,59,1,8657,111,119,110,97,114,114,111,119,59,1,8661,101,114,4,2,76,82,6052,6063,101,102,116,65,114,114,111,119,59,1,8598,105,103,104,116,65,114,114,111,119,59,1,8599,105,4,2,59,108,6082,6084,1,978,111,110,59,1,933,105,110,103,59,1,366,99,114,59,3,55349,56496,105,108,100,101,59,1,360,109,108,5,220,1,59,6115,1,220,4,9,68,98,99,100,101,102,111,115,118,6137,6143,6148,6152,6166,6250,6255,6261,6267,97,115,104,59,1,8875,97,114,59,1,10987,121,59,1,1042,97,115,104,4,2,59,108,6161,6163,1,8873,59,1,10982,4,2,101,114,6172,6175,59,1,8897,4,3,98,116,121,6183,6188,6238,97,114,59,1,8214,4,2,59,105,6194,6196,1,8214,99,97,108,4,4,66,76,83,84,6209,6214,6220,6231,97,114,59,1,8739,105,110,101,59,1,124,101,112,97,114,97,116,111,114,59,1,10072,105,108,100,101,59,1,8768,84,104,105,110,83,112,97,99,101,59,1,8202,114,59,3,55349,56601,112,102,59,3,55349,56653,99,114,59,3,55349,56497,100,97,115,104,59,1,8874,4,5,99,101,102,111,115,6286,6292,6298,6303,6309,105,114,99,59,1,372,100,103,101,59,1,8896,114,59,3,55349,56602,112,102,59,3,55349,56654,99,114,59,3,55349,56498,4,4,102,105,111,115,6325,6330,6333,6339,114,59,3,55349,56603,59,1,926,112,102,59,3,55349,56655,99,114,59,3,55349,56499,4,9,65,73,85,97,99,102,111,115,117,6365,6370,6375,6380,6391,6405,6410,6416,6422,99,121,59,1,1071,99,121,59,1,1031,99,121,59,1,1070,99,117,116,101,5,221,1,59,6389,1,221,4,2,105,121,6397,6402,114,99,59,1,374,59,1,1067,114,59,3,55349,56604,112,102,59,3,55349,56656,99,114,59,3,55349,56500,109,108,59,1,376,4,8,72,97,99,100,101,102,111,115,6445,6450,6457,6472,6477,6501,6505,6510,99,121,59,1,1046,99,117,116,101,59,1,377,4,2,97,121,6463,6469,114,111,110,59,1,381,59,1,1047,111,116,59,1,379,4,2,114,116,6483,6497,111,87,105,100,116,104,83,112,97,99,101,59,1,8203,97,59,1,918,114,59,1,8488,112,102,59,1,8484,99,114,59,3,55349,56501,4,16,97,98,99,101,102,103,108,109,110,111,112,114,115,116,117,119,6550,6561,6568,6612,6622,6634,6645,6672,6699,6854,6870,6923,6933,6963,6974,6983,99,117,116,101,5,225,1,59,6559,1,225,114,101,118,101,59,1,259,4,6,59,69,100,105,117,121,6582,6584,6588,6591,6600,6609,1,8766,59,3,8766,819,59,1,8767,114,99,5,226,1,59,6598,1,226,116,101,5,180,1,59,6607,1,180,59,1,1072,108,105,103,5,230,1,59,6620,1,230,4,2,59,114,6628,6630,1,8289,59,3,55349,56606,114,97,118,101,5,224,1,59,6643,1,224,4,2,101,112,6651,6667,4,2,102,112,6657,6663,115,121,109,59,1,8501,104,59,1,8501,104,97,59,1,945,4,2,97,112,6678,6692,4,2,99,108,6684,6688,114,59,1,257,103,59,1,10815,5,38,1,59,6697,1,38,4,2,100,103,6705,6737,4,5,59,97,100,115,118,6717,6719,6724,6727,6734,1,8743,110,100,59,1,10837,59,1,10844,108,111,112,101,59,1,10840,59,1,10842,4,7,59,101,108,109,114,115,122,6753,6755,6758,6762,6814,6835,6848,1,8736,59,1,10660,101,59,1,8736,115,100,4,2,59,97,6770,6772,1,8737,4,8,97,98,99,100,101,102,103,104,6790,6793,6796,6799,6802,6805,6808,6811,59,1,10664,59,1,10665,59,1,10666,59,1,10667,59,1,10668,59,1,10669,59,1,10670,59,1,10671,116,4,2,59,118,6821,6823,1,8735,98,4,2,59,100,6830,6832,1,8894,59,1,10653,4,2,112,116,6841,6845,104,59,1,8738,59,1,197,97,114,114,59,1,9084,4,2,103,112,6860,6865,111,110,59,1,261,102,59,3,55349,56658,4,7,59,69,97,101,105,111,112,6886,6888,6891,6897,6900,6904,6908,1,8776,59,1,10864,99,105,114,59,1,10863,59,1,8778,100,59,1,8779,115,59,1,39,114,111,120,4,2,59,101,6917,6919,1,8776,113,59,1,8778,105,110,103,5,229,1,59,6931,1,229,4,3,99,116,121,6941,6946,6949,114,59,3,55349,56502,59,1,42,109,112,4,2,59,101,6957,6959,1,8776,113,59,1,8781,105,108,100,101,5,227,1,59,6972,1,227,109,108,5,228,1,59,6981,1,228,4,2,99,105,6989,6997,111,110,105,110,116,59,1,8755,110,116,59,1,10769,4,16,78,97,98,99,100,101,102,105,107,108,110,111,112,114,115,117,7036,7041,7119,7135,7149,7155,7219,7224,7347,7354,7463,7489,7786,7793,7814,7866,111,116,59,1,10989,4,2,99,114,7047,7094,107,4,4,99,101,112,115,7058,7064,7073,7080,111,110,103,59,1,8780,112,115,105,108,111,110,59,1,1014,114,105,109,101,59,1,8245,105,109,4,2,59,101,7088,7090,1,8765,113,59,1,8909,4,2,118,119,7100,7105,101,101,59,1,8893,101,100,4,2,59,103,7113,7115,1,8965,101,59,1,8965,114,107,4,2,59,116,7127,7129,1,9141,98,114,107,59,1,9142,4,2,111,121,7141,7146,110,103,59,1,8780,59,1,1073,113,117,111,59,1,8222,4,5,99,109,112,114,116,7167,7181,7188,7193,7199,97,117,115,4,2,59,101,7176,7178,1,8757,59,1,8757,112,116,121,118,59,1,10672,115,105,59,1,1014,110,111,117,59,1,8492,4,3,97,104,119,7207,7210,7213,59,1,946,59,1,8502,101,101,110,59,1,8812,114,59,3,55349,56607,103,4,7,99,111,115,116,117,118,119,7241,7262,7288,7305,7328,7335,7340,4,3,97,105,117,7249,7253,7258,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,4,3,100,112,116,7270,7275,7281,111,116,59,1,10752,108,117,115,59,1,10753,105,109,101,115,59,1,10754,4,2,113,116,7294,7300,99,117,112,59,1,10758,97,114,59,1,9733,114,105,97,110,103,108,101,4,2,100,117,7318,7324,111,119,110,59,1,9661,112,59,1,9651,112,108,117,115,59,1,10756,101,101,59,1,8897,101,100,103,101,59,1,8896,97,114,111,119,59,1,10509,4,3,97,107,111,7362,7436,7458,4,2,99,110,7368,7432,107,4,3,108,115,116,7377,7386,7394,111,122,101,110,103,101,59,1,10731,113,117,97,114,101,59,1,9642,114,105,97,110,103,108,101,4,4,59,100,108,114,7411,7413,7419,7425,1,9652,111,119,110,59,1,9662,101,102,116,59,1,9666,105,103,104,116,59,1,9656,107,59,1,9251,4,2,49,51,7442,7454,4,2,50,52,7448,7451,59,1,9618,59,1,9617,52,59,1,9619,99,107,59,1,9608,4,2,101,111,7469,7485,4,2,59,113,7475,7478,3,61,8421,117,105,118,59,3,8801,8421,116,59,1,8976,4,4,112,116,119,120,7499,7504,7517,7523,102,59,3,55349,56659,4,2,59,116,7510,7512,1,8869,111,109,59,1,8869,116,105,101,59,1,8904,4,12,68,72,85,86,98,100,104,109,112,116,117,118,7549,7571,7597,7619,7655,7660,7682,7708,7715,7721,7728,7750,4,4,76,82,108,114,7559,7562,7565,7568,59,1,9559,59,1,9556,59,1,9558,59,1,9555,4,5,59,68,85,100,117,7583,7585,7588,7591,7594,1,9552,59,1,9574,59,1,9577,59,1,9572,59,1,9575,4,4,76,82,108,114,7607,7610,7613,7616,59,1,9565,59,1,9562,59,1,9564,59,1,9561,4,7,59,72,76,82,104,108,114,7635,7637,7640,7643,7646,7649,7652,1,9553,59,1,9580,59,1,9571,59,1,9568,59,1,9579,59,1,9570,59,1,9567,111,120,59,1,10697,4,4,76,82,108,114,7670,7673,7676,7679,59,1,9557,59,1,9554,59,1,9488,59,1,9484,4,5,59,68,85,100,117,7694,7696,7699,7702,7705,1,9472,59,1,9573,59,1,9576,59,1,9516,59,1,9524,105,110,117,115,59,1,8863,108,117,115,59,1,8862,105,109,101,115,59,1,8864,4,4,76,82,108,114,7738,7741,7744,7747,59,1,9563,59,1,9560,59,1,9496,59,1,9492,4,7,59,72,76,82,104,108,114,7766,7768,7771,7774,7777,7780,7783,1,9474,59,1,9578,59,1,9569,59,1,9566,59,1,9532,59,1,9508,59,1,9500,114,105,109,101,59,1,8245,4,2,101,118,7799,7804,118,101,59,1,728,98,97,114,5,166,1,59,7812,1,166,4,4,99,101,105,111,7824,7829,7834,7846,114,59,3,55349,56503,109,105,59,1,8271,109,4,2,59,101,7841,7843,1,8765,59,1,8909,108,4,3,59,98,104,7855,7857,7860,1,92,59,1,10693,115,117,98,59,1,10184,4,2,108,109,7872,7885,108,4,2,59,101,7879,7881,1,8226,116,59,1,8226,112,4,3,59,69,101,7894,7896,7899,1,8782,59,1,10926,4,2,59,113,7905,7907,1,8783,59,1,8783,4,15,97,99,100,101,102,104,105,108,111,114,115,116,117,119,121,7942,8021,8075,8080,8121,8126,8157,8279,8295,8430,8446,8485,8491,8707,8726,4,3,99,112,114,7950,7956,8007,117,116,101,59,1,263,4,6,59,97,98,99,100,115,7970,7972,7977,7984,7998,8003,1,8745,110,100,59,1,10820,114,99,117,112,59,1,10825,4,2,97,117,7990,7994,112,59,1,10827,112,59,1,10823,111,116,59,1,10816,59,3,8745,65024,4,2,101,111,8013,8017,116,59,1,8257,110,59,1,711,4,4,97,101,105,117,8031,8046,8056,8061,4,2,112,114,8037,8041,115,59,1,10829,111,110,59,1,269,100,105,108,5,231,1,59,8054,1,231,114,99,59,1,265,112,115,4,2,59,115,8069,8071,1,10828,109,59,1,10832,111,116,59,1,267,4,3,100,109,110,8088,8097,8104,105,108,5,184,1,59,8095,1,184,112,116,121,118,59,1,10674,116,5,162,2,59,101,8112,8114,1,162,114,100,111,116,59,1,183,114,59,3,55349,56608,4,3,99,101,105,8134,8138,8154,121,59,1,1095,99,107,4,2,59,109,8146,8148,1,10003,97,114,107,59,1,10003,59,1,967,114,4,7,59,69,99,101,102,109,115,8174,8176,8179,8258,8261,8268,8273,1,9675,59,1,10691,4,3,59,101,108,8187,8189,8193,1,710,113,59,1,8791,101,4,2,97,100,8200,8223,114,114,111,119,4,2,108,114,8210,8216,101,102,116,59,1,8634,105,103,104,116,59,1,8635,4,5,82,83,97,99,100,8235,8238,8241,8246,8252,59,1,174,59,1,9416,115,116,59,1,8859,105,114,99,59,1,8858,97,115,104,59,1,8861,59,1,8791,110,105,110,116,59,1,10768,105,100,59,1,10991,99,105,114,59,1,10690,117,98,115,4,2,59,117,8288,8290,1,9827,105,116,59,1,9827,4,4,108,109,110,112,8305,8326,8376,8400,111,110,4,2,59,101,8313,8315,1,58,4,2,59,113,8321,8323,1,8788,59,1,8788,4,2,109,112,8332,8344,97,4,2,59,116,8339,8341,1,44,59,1,64,4,3,59,102,108,8352,8354,8358,1,8705,110,59,1,8728,101,4,2,109,120,8365,8371,101,110,116,59,1,8705,101,115,59,1,8450,4,2,103,105,8382,8395,4,2,59,100,8388,8390,1,8773,111,116,59,1,10861,110,116,59,1,8750,4,3,102,114,121,8408,8412,8417,59,3,55349,56660,111,100,59,1,8720,5,169,2,59,115,8424,8426,1,169,114,59,1,8471,4,2,97,111,8436,8441,114,114,59,1,8629,115,115,59,1,10007,4,2,99,117,8452,8457,114,59,3,55349,56504,4,2,98,112,8463,8474,4,2,59,101,8469,8471,1,10959,59,1,10961,4,2,59,101,8480,8482,1,10960,59,1,10962,100,111,116,59,1,8943,4,7,100,101,108,112,114,118,119,8507,8522,8536,8550,8600,8697,8702,97,114,114,4,2,108,114,8516,8519,59,1,10552,59,1,10549,4,2,112,115,8528,8532,114,59,1,8926,99,59,1,8927,97,114,114,4,2,59,112,8545,8547,1,8630,59,1,10557,4,6,59,98,99,100,111,115,8564,8566,8573,8587,8592,8596,1,8746,114,99,97,112,59,1,10824,4,2,97,117,8579,8583,112,59,1,10822,112,59,1,10826,111,116,59,1,8845,114,59,1,10821,59,3,8746,65024,4,4,97,108,114,118,8610,8623,8663,8672,114,114,4,2,59,109,8618,8620,1,8631,59,1,10556,121,4,3,101,118,119,8632,8651,8656,113,4,2,112,115,8639,8645,114,101,99,59,1,8926,117,99,99,59,1,8927,101,101,59,1,8910,101,100,103,101,59,1,8911,101,110,5,164,1,59,8670,1,164,101,97,114,114,111,119,4,2,108,114,8684,8690,101,102,116,59,1,8630,105,103,104,116,59,1,8631,101,101,59,1,8910,101,100,59,1,8911,4,2,99,105,8713,8721,111,110,105,110,116,59,1,8754,110,116,59,1,8753,108,99,116,121,59,1,9005,4,19,65,72,97,98,99,100,101,102,104,105,106,108,111,114,115,116,117,119,122,8773,8778,8783,8821,8839,8854,8887,8914,8930,8944,9036,9041,9058,9197,9227,9258,9281,9297,9305,114,114,59,1,8659,97,114,59,1,10597,4,4,103,108,114,115,8793,8799,8805,8809,103,101,114,59,1,8224,101,116,104,59,1,8504,114,59,1,8595,104,4,2,59,118,8816,8818,1,8208,59,1,8867,4,2,107,108,8827,8834,97,114,111,119,59,1,10511,97,99,59,1,733,4,2,97,121,8845,8851,114,111,110,59,1,271,59,1,1076,4,3,59,97,111,8862,8864,8880,1,8518,4,2,103,114,8870,8876,103,101,114,59,1,8225,114,59,1,8650,116,115,101,113,59,1,10871,4,3,103,108,109,8895,8902,8907,5,176,1,59,8900,1,176,116,97,59,1,948,112,116,121,118,59,1,10673,4,2,105,114,8920,8926,115,104,116,59,1,10623,59,3,55349,56609,97,114,4,2,108,114,8938,8941,59,1,8643,59,1,8642,4,5,97,101,103,115,118,8956,8986,8989,8996,9001,109,4,3,59,111,115,8965,8967,8983,1,8900,110,100,4,2,59,115,8975,8977,1,8900,117,105,116,59,1,9830,59,1,9830,59,1,168,97,109,109,97,59,1,989,105,110,59,1,8946,4,3,59,105,111,9009,9011,9031,1,247,100,101,5,247,2,59,111,9020,9022,1,247,110,116,105,109,101,115,59,1,8903,110,120,59,1,8903,99,121,59,1,1106,99,4,2,111,114,9048,9053,114,110,59,1,8990,111,112,59,1,8973,4,5,108,112,116,117,119,9070,9076,9081,9130,9144,108,97,114,59,1,36,102,59,3,55349,56661,4,5,59,101,109,112,115,9093,9095,9109,9116,9122,1,729,113,4,2,59,100,9102,9104,1,8784,111,116,59,1,8785,105,110,117,115,59,1,8760,108,117,115,59,1,8724,113,117,97,114,101,59,1,8865,98,108,101,98,97,114,119,101,100,103,101,59,1,8966,110,4,3,97,100,104,9153,9160,9172,114,114,111,119,59,1,8595,111,119,110,97,114,114,111,119,115,59,1,8650,97,114,112,111,111,110,4,2,108,114,9184,9190,101,102,116,59,1,8643,105,103,104,116,59,1,8642,4,2,98,99,9203,9211,107,97,114,111,119,59,1,10512,4,2,111,114,9217,9222,114,110,59,1,8991,111,112,59,1,8972,4,3,99,111,116,9235,9248,9252,4,2,114,121,9241,9245,59,3,55349,56505,59,1,1109,108,59,1,10742,114,111,107,59,1,273,4,2,100,114,9264,9269,111,116,59,1,8945,105,4,2,59,102,9276,9278,1,9663,59,1,9662,4,2,97,104,9287,9292,114,114,59,1,8693,97,114,59,1,10607,97,110,103,108,101,59,1,10662,4,2,99,105,9311,9315,121,59,1,1119,103,114,97,114,114,59,1,10239,4,18,68,97,99,100,101,102,103,108,109,110,111,112,113,114,115,116,117,120,9361,9376,9398,9439,9444,9447,9462,9495,9531,9585,9598,9614,9659,9755,9771,9792,9808,9826,4,2,68,111,9367,9372,111,116,59,1,10871,116,59,1,8785,4,2,99,115,9382,9392,117,116,101,5,233,1,59,9390,1,233,116,101,114,59,1,10862,4,4,97,105,111,121,9408,9414,9430,9436,114,111,110,59,1,283,114,4,2,59,99,9421,9423,1,8790,5,234,1,59,9428,1,234,108,111,110,59,1,8789,59,1,1101,111,116,59,1,279,59,1,8519,4,2,68,114,9453,9458,111,116,59,1,8786,59,3,55349,56610,4,3,59,114,115,9470,9472,9482,1,10906,97,118,101,5,232,1,59,9480,1,232,4,2,59,100,9488,9490,1,10902,111,116,59,1,10904,4,4,59,105,108,115,9505,9507,9515,9518,1,10905,110,116,101,114,115,59,1,9191,59,1,8467,4,2,59,100,9524,9526,1,10901,111,116,59,1,10903,4,3,97,112,115,9539,9544,9564,99,114,59,1,275,116,121,4,3,59,115,118,9554,9556,9561,1,8709,101,116,59,1,8709,59,1,8709,112,4,2,49,59,9571,9583,4,2,51,52,9577,9580,59,1,8196,59,1,8197,1,8195,4,2,103,115,9591,9594,59,1,331,112,59,1,8194,4,2,103,112,9604,9609,111,110,59,1,281,102,59,3,55349,56662,4,3,97,108,115,9622,9635,9640,114,4,2,59,115,9629,9631,1,8917,108,59,1,10723,117,115,59,1,10865,105,4,3,59,108,118,9649,9651,9656,1,949,111,110,59,1,949,59,1,1013,4,4,99,115,117,118,9669,9686,9716,9747,4,2,105,111,9675,9680,114,99,59,1,8790,108,111,110,59,1,8789,4,2,105,108,9692,9696,109,59,1,8770,97,110,116,4,2,103,108,9705,9710,116,114,59,1,10902,101,115,115,59,1,10901,4,3,97,101,105,9724,9729,9734,108,115,59,1,61,115,116,59,1,8799,118,4,2,59,68,9741,9743,1,8801,68,59,1,10872,112,97,114,115,108,59,1,10725,4,2,68,97,9761,9766,111,116,59,1,8787,114,114,59,1,10609,4,3,99,100,105,9779,9783,9788,114,59,1,8495,111,116,59,1,8784,109,59,1,8770,4,2,97,104,9798,9801,59,1,951,5,240,1,59,9806,1,240,4,2,109,114,9814,9822,108,5,235,1,59,9820,1,235,111,59,1,8364,4,3,99,105,112,9834,9838,9843,108,59,1,33,115,116,59,1,8707,4,2,101,111,9849,9859,99,116,97,116,105,111,110,59,1,8496,110,101,110,116,105,97,108,101,59,1,8519,4,12,97,99,101,102,105,106,108,110,111,112,114,115,9896,9910,9914,9921,9954,9960,9967,9989,9994,10027,10036,10164,108,108,105,110,103,100,111,116,115,101,113,59,1,8786,121,59,1,1092,109,97,108,101,59,1,9792,4,3,105,108,114,9929,9935,9950,108,105,103,59,1,64259,4,2,105,108,9941,9945,103,59,1,64256,105,103,59,1,64260,59,3,55349,56611,108,105,103,59,1,64257,108,105,103,59,3,102,106,4,3,97,108,116,9975,9979,9984,116,59,1,9837,105,103,59,1,64258,110,115,59,1,9649,111,102,59,1,402,4,2,112,114,10000,10005,102,59,3,55349,56663,4,2,97,107,10011,10016,108,108,59,1,8704,4,2,59,118,10022,10024,1,8916,59,1,10969,97,114,116,105,110,116,59,1,10765,4,2,97,111,10042,10159,4,2,99,115,10048,10155,4,6,49,50,51,52,53,55,10062,10102,10114,10135,10139,10151,4,6,50,51,52,53,54,56,10076,10083,10086,10093,10096,10099,5,189,1,59,10081,1,189,59,1,8531,5,188,1,59,10091,1,188,59,1,8533,59,1,8537,59,1,8539,4,2,51,53,10108,10111,59,1,8532,59,1,8534,4,3,52,53,56,10122,10129,10132,5,190,1,59,10127,1,190,59,1,8535,59,1,8540,53,59,1,8536,4,2,54,56,10145,10148,59,1,8538,59,1,8541,56,59,1,8542,108,59,1,8260,119,110,59,1,8994,99,114,59,3,55349,56507,4,17,69,97,98,99,100,101,102,103,105,106,108,110,111,114,115,116,118,10206,10217,10247,10254,10268,10273,10358,10363,10374,10380,10385,10406,10458,10464,10470,10497,10610,4,2,59,108,10212,10214,1,8807,59,1,10892,4,3,99,109,112,10225,10231,10244,117,116,101,59,1,501,109,97,4,2,59,100,10239,10241,1,947,59,1,989,59,1,10886,114,101,118,101,59,1,287,4,2,105,121,10260,10265,114,99,59,1,285,59,1,1075,111,116,59,1,289,4,4,59,108,113,115,10283,10285,10288,10308,1,8805,59,1,8923,4,3,59,113,115,10296,10298,10301,1,8805,59,1,8807,108,97,110,116,59,1,10878,4,4,59,99,100,108,10318,10320,10324,10345,1,10878,99,59,1,10921,111,116,4,2,59,111,10332,10334,1,10880,4,2,59,108,10340,10342,1,10882,59,1,10884,4,2,59,101,10351,10354,3,8923,65024,115,59,1,10900,114,59,3,55349,56612,4,2,59,103,10369,10371,1,8811,59,1,8921,109,101,108,59,1,8503,99,121,59,1,1107,4,4,59,69,97,106,10395,10397,10400,10403,1,8823,59,1,10898,59,1,10917,59,1,10916,4,4,69,97,101,115,10416,10419,10434,10453,59,1,8809,112,4,2,59,112,10426,10428,1,10890,114,111,120,59,1,10890,4,2,59,113,10440,10442,1,10888,4,2,59,113,10448,10450,1,10888,59,1,8809,105,109,59,1,8935,112,102,59,3,55349,56664,97,118,101,59,1,96,4,2,99,105,10476,10480,114,59,1,8458,109,4,3,59,101,108,10489,10491,10494,1,8819,59,1,10894,59,1,10896,5,62,6,59,99,100,108,113,114,10512,10514,10527,10532,10538,10545,1,62,4,2,99,105,10520,10523,59,1,10919,114,59,1,10874,111,116,59,1,8919,80,97,114,59,1,10645,117,101,115,116,59,1,10876,4,5,97,100,101,108,115,10557,10574,10579,10599,10605,4,2,112,114,10563,10570,112,114,111,120,59,1,10886,114,59,1,10616,111,116,59,1,8919,113,4,2,108,113,10586,10592,101,115,115,59,1,8923,108,101,115,115,59,1,10892,101,115,115,59,1,8823,105,109,59,1,8819,4,2,101,110,10616,10626,114,116,110,101,113,113,59,3,8809,65024,69,59,3,8809,65024,4,10,65,97,98,99,101,102,107,111,115,121,10653,10658,10713,10718,10724,10760,10765,10786,10850,10875,114,114,59,1,8660,4,4,105,108,109,114,10668,10674,10678,10684,114,115,112,59,1,8202,102,59,1,189,105,108,116,59,1,8459,4,2,100,114,10690,10695,99,121,59,1,1098,4,3,59,99,119,10703,10705,10710,1,8596,105,114,59,1,10568,59,1,8621,97,114,59,1,8463,105,114,99,59,1,293,4,3,97,108,114,10732,10748,10754,114,116,115,4,2,59,117,10741,10743,1,9829,105,116,59,1,9829,108,105,112,59,1,8230,99,111,110,59,1,8889,114,59,3,55349,56613,115,4,2,101,119,10772,10779,97,114,111,119,59,1,10533,97,114,111,119,59,1,10534,4,5,97,109,111,112,114,10798,10803,10809,10839,10844,114,114,59,1,8703,116,104,116,59,1,8763,107,4,2,108,114,10816,10827,101,102,116,97,114,114,111,119,59,1,8617,105,103,104,116,97,114,114,111,119,59,1,8618,102,59,3,55349,56665,98,97,114,59,1,8213,4,3,99,108,116,10858,10863,10869,114,59,3,55349,56509,97,115,104,59,1,8463,114,111,107,59,1,295,4,2,98,112,10881,10887,117,108,108,59,1,8259,104,101,110,59,1,8208,4,15,97,99,101,102,103,105,106,109,110,111,112,113,115,116,117,10925,10936,10958,10977,10990,11001,11039,11045,11101,11192,11220,11226,11237,11285,11299,99,117,116,101,5,237,1,59,10934,1,237,4,3,59,105,121,10944,10946,10955,1,8291,114,99,5,238,1,59,10953,1,238,59,1,1080,4,2,99,120,10964,10968,121,59,1,1077,99,108,5,161,1,59,10975,1,161,4,2,102,114,10983,10986,59,1,8660,59,3,55349,56614,114,97,118,101,5,236,1,59,10999,1,236,4,4,59,105,110,111,11011,11013,11028,11034,1,8520,4,2,105,110,11019,11024,110,116,59,1,10764,116,59,1,8749,102,105,110,59,1,10716,116,97,59,1,8489,108,105,103,59,1,307,4,3,97,111,112,11053,11092,11096,4,3,99,103,116,11061,11065,11088,114,59,1,299,4,3,101,108,112,11073,11076,11082,59,1,8465,105,110,101,59,1,8464,97,114,116,59,1,8465,104,59,1,305,102,59,1,8887,101,100,59,1,437,4,5,59,99,102,111,116,11113,11115,11121,11136,11142,1,8712,97,114,101,59,1,8453,105,110,4,2,59,116,11129,11131,1,8734,105,101,59,1,10717,100,111,116,59,1,305,4,5,59,99,101,108,112,11154,11156,11161,11179,11186,1,8747,97,108,59,1,8890,4,2,103,114,11167,11173,101,114,115,59,1,8484,99,97,108,59,1,8890,97,114,104,107,59,1,10775,114,111,100,59,1,10812,4,4,99,103,112,116,11202,11206,11211,11216,121,59,1,1105,111,110,59,1,303,102,59,3,55349,56666,97,59,1,953,114,111,100,59,1,10812,117,101,115,116,5,191,1,59,11235,1,191,4,2,99,105,11243,11248,114,59,3,55349,56510,110,4,5,59,69,100,115,118,11261,11263,11266,11271,11282,1,8712,59,1,8953,111,116,59,1,8949,4,2,59,118,11277,11279,1,8948,59,1,8947,59,1,8712,4,2,59,105,11291,11293,1,8290,108,100,101,59,1,297,4,2,107,109,11305,11310,99,121,59,1,1110,108,5,239,1,59,11316,1,239,4,6,99,102,109,111,115,117,11332,11346,11351,11357,11363,11380,4,2,105,121,11338,11343,114,99,59,1,309,59,1,1081,114,59,3,55349,56615,97,116,104,59,1,567,112,102,59,3,55349,56667,4,2,99,101,11369,11374,114,59,3,55349,56511,114,99,121,59,1,1112,107,99,121,59,1,1108,4,8,97,99,102,103,104,106,111,115,11404,11418,11433,11438,11445,11450,11455,11461,112,112,97,4,2,59,118,11413,11415,1,954,59,1,1008,4,2,101,121,11424,11430,100,105,108,59,1,311,59,1,1082,114,59,3,55349,56616,114,101,101,110,59,1,312,99,121,59,1,1093,99,121,59,1,1116,112,102,59,3,55349,56668,99,114,59,3,55349,56512,4,23,65,66,69,72,97,98,99,100,101,102,103,104,106,108,109,110,111,112,114,115,116,117,118,11515,11538,11544,11555,11560,11721,11780,11818,11868,12136,12160,12171,12203,12208,12246,12275,12327,12509,12523,12569,12641,12732,12752,4,3,97,114,116,11523,11528,11532,114,114,59,1,8666,114,59,1,8656,97,105,108,59,1,10523,97,114,114,59,1,10510,4,2,59,103,11550,11552,1,8806,59,1,10891,97,114,59,1,10594,4,9,99,101,103,109,110,112,113,114,116,11580,11586,11594,11600,11606,11624,11627,11636,11694,117,116,101,59,1,314,109,112,116,121,118,59,1,10676,114,97,110,59,1,8466,98,100,97,59,1,955,103,4,3,59,100,108,11615,11617,11620,1,10216,59,1,10641,101,59,1,10216,59,1,10885,117,111,5,171,1,59,11634,1,171,114,4,8,59,98,102,104,108,112,115,116,11655,11657,11669,11673,11677,11681,11685,11690,1,8592,4,2,59,102,11663,11665,1,8676,115,59,1,10527,115,59,1,10525,107,59,1,8617,112,59,1,8619,108,59,1,10553,105,109,59,1,10611,108,59,1,8610,4,3,59,97,101,11702,11704,11709,1,10923,105,108,59,1,10521,4,2,59,115,11715,11717,1,10925,59,3,10925,65024,4,3,97,98,114,11729,11734,11739,114,114,59,1,10508,114,107,59,1,10098,4,2,97,107,11745,11758,99,4,2,101,107,11752,11755,59,1,123,59,1,91,4,2,101,115,11764,11767,59,1,10635,108,4,2,100,117,11774,11777,59,1,10639,59,1,10637,4,4,97,101,117,121,11790,11796,11811,11815,114,111,110,59,1,318,4,2,100,105,11802,11807,105,108,59,1,316,108,59,1,8968,98,59,1,123,59,1,1083,4,4,99,113,114,115,11828,11832,11845,11864,97,59,1,10550,117,111,4,2,59,114,11840,11842,1,8220,59,1,8222,4,2,100,117,11851,11857,104,97,114,59,1,10599,115,104,97,114,59,1,10571,104,59,1,8626,4,5,59,102,103,113,115,11880,11882,12008,12011,12031,1,8804,116,4,5,97,104,108,114,116,11895,11913,11935,11947,11996,114,114,111,119,4,2,59,116,11905,11907,1,8592,97,105,108,59,1,8610,97,114,112,111,111,110,4,2,100,117,11925,11931,111,119,110,59,1,8637,112,59,1,8636,101,102,116,97,114,114,111,119,115,59,1,8647,105,103,104,116,4,3,97,104,115,11959,11974,11984,114,114,111,119,4,2,59,115,11969,11971,1,8596,59,1,8646,97,114,112,111,111,110,115,59,1,8651,113,117,105,103,97,114,114,111,119,59,1,8621,104,114,101,101,116,105,109,101,115,59,1,8907,59,1,8922,4,3,59,113,115,12019,12021,12024,1,8804,59,1,8806,108,97,110,116,59,1,10877,4,5,59,99,100,103,115,12043,12045,12049,12070,12083,1,10877,99,59,1,10920,111,116,4,2,59,111,12057,12059,1,10879,4,2,59,114,12065,12067,1,10881,59,1,10883,4,2,59,101,12076,12079,3,8922,65024,115,59,1,10899,4,5,97,100,101,103,115,12095,12103,12108,12126,12131,112,112,114,111,120,59,1,10885,111,116,59,1,8918,113,4,2,103,113,12115,12120,116,114,59,1,8922,103,116,114,59,1,10891,116,114,59,1,8822,105,109,59,1,8818,4,3,105,108,114,12144,12150,12156,115,104,116,59,1,10620,111,111,114,59,1,8970,59,3,55349,56617,4,2,59,69,12166,12168,1,8822,59,1,10897,4,2,97,98,12177,12198,114,4,2,100,117,12184,12187,59,1,8637,4,2,59,108,12193,12195,1,8636,59,1,10602,108,107,59,1,9604,99,121,59,1,1113,4,5,59,97,99,104,116,12220,12222,12227,12235,12241,1,8810,114,114,59,1,8647,111,114,110,101,114,59,1,8990,97,114,100,59,1,10603,114,105,59,1,9722,4,2,105,111,12252,12258,100,111,116,59,1,320,117,115,116,4,2,59,97,12267,12269,1,9136,99,104,101,59,1,9136,4,4,69,97,101,115,12285,12288,12303,12322,59,1,8808,112,4,2,59,112,12295,12297,1,10889,114,111,120,59,1,10889,4,2,59,113,12309,12311,1,10887,4,2,59,113,12317,12319,1,10887,59,1,8808,105,109,59,1,8934,4,8,97,98,110,111,112,116,119,122,12345,12359,12364,12421,12446,12467,12474,12490,4,2,110,114,12351,12355,103,59,1,10220,114,59,1,8701,114,107,59,1,10214,103,4,3,108,109,114,12373,12401,12409,101,102,116,4,2,97,114,12382,12389,114,114,111,119,59,1,10229,105,103,104,116,97,114,114,111,119,59,1,10231,97,112,115,116,111,59,1,10236,105,103,104,116,97,114,114,111,119,59,1,10230,112,97,114,114,111,119,4,2,108,114,12433,12439,101,102,116,59,1,8619,105,103,104,116,59,1,8620,4,3,97,102,108,12454,12458,12462,114,59,1,10629,59,3,55349,56669,117,115,59,1,10797,105,109,101,115,59,1,10804,4,2,97,98,12480,12485,115,116,59,1,8727,97,114,59,1,95,4,3,59,101,102,12498,12500,12506,1,9674,110,103,101,59,1,9674,59,1,10731,97,114,4,2,59,108,12517,12519,1,40,116,59,1,10643,4,5,97,99,104,109,116,12535,12540,12548,12561,12564,114,114,59,1,8646,111,114,110,101,114,59,1,8991,97,114,4,2,59,100,12556,12558,1,8651,59,1,10605,59,1,8206,114,105,59,1,8895,4,6,97,99,104,105,113,116,12583,12589,12594,12597,12614,12635,113,117,111,59,1,8249,114,59,3,55349,56513,59,1,8624,109,4,3,59,101,103,12606,12608,12611,1,8818,59,1,10893,59,1,10895,4,2,98,117,12620,12623,59,1,91,111,4,2,59,114,12630,12632,1,8216,59,1,8218,114,111,107,59,1,322,5,60,8,59,99,100,104,105,108,113,114,12660,12662,12675,12680,12686,12692,12698,12705,1,60,4,2,99,105,12668,12671,59,1,10918,114,59,1,10873,111,116,59,1,8918,114,101,101,59,1,8907,109,101,115,59,1,8905,97,114,114,59,1,10614,117,101,115,116,59,1,10875,4,2,80,105,12711,12716,97,114,59,1,10646,4,3,59,101,102,12724,12726,12729,1,9667,59,1,8884,59,1,9666,114,4,2,100,117,12739,12746,115,104,97,114,59,1,10570,104,97,114,59,1,10598,4,2,101,110,12758,12768,114,116,110,101,113,113,59,3,8808,65024,69,59,3,8808,65024,4,14,68,97,99,100,101,102,104,105,108,110,111,112,115,117,12803,12809,12893,12908,12914,12928,12933,12937,13011,13025,13032,13049,13052,13069,68,111,116,59,1,8762,4,4,99,108,112,114,12819,12827,12849,12887,114,5,175,1,59,12825,1,175,4,2,101,116,12833,12836,59,1,9794,4,2,59,101,12842,12844,1,10016,115,101,59,1,10016,4,2,59,115,12855,12857,1,8614,116,111,4,4,59,100,108,117,12869,12871,12877,12883,1,8614,111,119,110,59,1,8615,101,102,116,59,1,8612,112,59,1,8613,107,101,114,59,1,9646,4,2,111,121,12899,12905,109,109,97,59,1,10793,59,1,1084,97,115,104,59,1,8212,97,115,117,114,101,100,97,110,103,108,101,59,1,8737,114,59,3,55349,56618,111,59,1,8487,4,3,99,100,110,12945,12954,12985,114,111,5,181,1,59,12952,1,181,4,4,59,97,99,100,12964,12966,12971,12976,1,8739,115,116,59,1,42,105,114,59,1,10992,111,116,5,183,1,59,12983,1,183,117,115,4,3,59,98,100,12995,12997,13000,1,8722,59,1,8863,4,2,59,117,13006,13008,1,8760,59,1,10794,4,2,99,100,13017,13021,112,59,1,10971,114,59,1,8230,112,108,117,115,59,1,8723,4,2,100,112,13038,13044,101,108,115,59,1,8871,102,59,3,55349,56670,59,1,8723,4,2,99,116,13058,13063,114,59,3,55349,56514,112,111,115,59,1,8766,4,3,59,108,109,13077,13079,13087,1,956,116,105,109,97,112,59,1,8888,97,112,59,1,8888,4,24,71,76,82,86,97,98,99,100,101,102,103,104,105,106,108,109,111,112,114,115,116,117,118,119,13142,13165,13217,13229,13247,13330,13359,13414,13420,13508,13513,13579,13602,13626,13631,13762,13767,13855,13936,13995,14214,14285,14312,14432,4,2,103,116,13148,13152,59,3,8921,824,4,2,59,118,13158,13161,3,8811,8402,59,3,8811,824,4,3,101,108,116,13173,13200,13204,102,116,4,2,97,114,13181,13188,114,114,111,119,59,1,8653,105,103,104,116,97,114,114,111,119,59,1,8654,59,3,8920,824,4,2,59,118,13210,13213,3,8810,8402,59,3,8810,824,105,103,104,116,97,114,114,111,119,59,1,8655,4,2,68,100,13235,13241,97,115,104,59,1,8879,97,115,104,59,1,8878,4,5,98,99,110,112,116,13259,13264,13270,13275,13308,108,97,59,1,8711,117,116,101,59,1,324,103,59,3,8736,8402,4,5,59,69,105,111,112,13287,13289,13293,13298,13302,1,8777,59,3,10864,824,100,59,3,8779,824,115,59,1,329,114,111,120,59,1,8777,117,114,4,2,59,97,13316,13318,1,9838,108,4,2,59,115,13325,13327,1,9838,59,1,8469,4,2,115,117,13336,13344,112,5,160,1,59,13342,1,160,109,112,4,2,59,101,13352,13355,3,8782,824,59,3,8783,824,4,5,97,101,111,117,121,13371,13385,13391,13407,13411,4,2,112,114,13377,13380,59,1,10819,111,110,59,1,328,100,105,108,59,1,326,110,103,4,2,59,100,13399,13401,1,8775,111,116,59,3,10861,824,112,59,1,10818,59,1,1085,97,115,104,59,1,8211,4,7,59,65,97,100,113,115,120,13436,13438,13443,13466,13472,13478,13494,1,8800,114,114,59,1,8663,114,4,2,104,114,13450,13454,107,59,1,10532,4,2,59,111,13460,13462,1,8599,119,59,1,8599,111,116,59,3,8784,824,117,105,118,59,1,8802,4,2,101,105,13484,13489,97,114,59,1,10536,109,59,3,8770,824,105,115,116,4,2,59,115,13503,13505,1,8708,59,1,8708,114,59,3,55349,56619,4,4,69,101,115,116,13523,13527,13563,13568,59,3,8807,824,4,3,59,113,115,13535,13537,13559,1,8817,4,3,59,113,115,13545,13547,13551,1,8817,59,3,8807,824,108,97,110,116,59,3,10878,824,59,3,10878,824,105,109,59,1,8821,4,2,59,114,13574,13576,1,8815,59,1,8815,4,3,65,97,112,13587,13592,13597,114,114,59,1,8654,114,114,59,1,8622,97,114,59,1,10994,4,3,59,115,118,13610,13612,13623,1,8715,4,2,59,100,13618,13620,1,8956,59,1,8954,59,1,8715,99,121,59,1,1114,4,7,65,69,97,100,101,115,116,13647,13652,13656,13661,13665,13737,13742,114,114,59,1,8653,59,3,8806,824,114,114,59,1,8602,114,59,1,8229,4,4,59,102,113,115,13675,13677,13703,13725,1,8816,116,4,2,97,114,13684,13691,114,114,111,119,59,1,8602,105,103,104,116,97,114,114,111,119,59,1,8622,4,3,59,113,115,13711,13713,13717,1,8816,59,3,8806,824,108,97,110,116,59,3,10877,824,4,2,59,115,13731,13734,3,10877,824,59,1,8814,105,109,59,1,8820,4,2,59,114,13748,13750,1,8814,105,4,2,59,101,13757,13759,1,8938,59,1,8940,105,100,59,1,8740,4,2,112,116,13773,13778,102,59,3,55349,56671,5,172,3,59,105,110,13787,13789,13829,1,172,110,4,4,59,69,100,118,13800,13802,13806,13812,1,8713,59,3,8953,824,111,116,59,3,8949,824,4,3,97,98,99,13820,13823,13826,59,1,8713,59,1,8951,59,1,8950,105,4,2,59,118,13836,13838,1,8716,4,3,97,98,99,13846,13849,13852,59,1,8716,59,1,8958,59,1,8957,4,3,97,111,114,13863,13892,13899,114,4,4,59,97,115,116,13874,13876,13883,13888,1,8742,108,108,101,108,59,1,8742,108,59,3,11005,8421,59,3,8706,824,108,105,110,116,59,1,10772,4,3,59,99,101,13907,13909,13914,1,8832,117,101,59,1,8928,4,2,59,99,13920,13923,3,10927,824,4,2,59,101,13929,13931,1,8832,113,59,3,10927,824,4,4,65,97,105,116,13946,13951,13971,13982,114,114,59,1,8655,114,114,4,3,59,99,119,13961,13963,13967,1,8603,59,3,10547,824,59,3,8605,824,103,104,116,97,114,114,111,119,59,1,8603,114,105,4,2,59,101,13990,13992,1,8939,59,1,8941,4,7,99,104,105,109,112,113,117,14011,14036,14060,14080,14085,14090,14106,4,4,59,99,101,114,14021,14023,14028,14032,1,8833,117,101,59,1,8929,59,3,10928,824,59,3,55349,56515,111,114,116,4,2,109,112,14045,14050,105,100,59,1,8740,97,114,97,108,108,101,108,59,1,8742,109,4,2,59,101,14067,14069,1,8769,4,2,59,113,14075,14077,1,8772,59,1,8772,105,100,59,1,8740,97,114,59,1,8742,115,117,4,2,98,112,14098,14102,101,59,1,8930,101,59,1,8931,4,3,98,99,112,14114,14157,14171,4,4,59,69,101,115,14124,14126,14130,14133,1,8836,59,3,10949,824,59,1,8840,101,116,4,2,59,101,14141,14144,3,8834,8402,113,4,2,59,113,14151,14153,1,8840,59,3,10949,824,99,4,2,59,101,14164,14166,1,8833,113,59,3,10928,824,4,4,59,69,101,115,14181,14183,14187,14190,1,8837,59,3,10950,824,59,1,8841,101,116,4,2,59,101,14198,14201,3,8835,8402,113,4,2,59,113,14208,14210,1,8841,59,3,10950,824,4,4,103,105,108,114,14224,14228,14238,14242,108,59,1,8825,108,100,101,5,241,1,59,14236,1,241,103,59,1,8824,105,97,110,103,108,101,4,2,108,114,14254,14269,101,102,116,4,2,59,101,14263,14265,1,8938,113,59,1,8940,105,103,104,116,4,2,59,101,14279,14281,1,8939,113,59,1,8941,4,2,59,109,14291,14293,1,957,4,3,59,101,115,14301,14303,14308,1,35,114,111,59,1,8470,112,59,1,8199,4,9,68,72,97,100,103,105,108,114,115,14332,14338,14344,14349,14355,14369,14376,14408,14426,97,115,104,59,1,8877,97,114,114,59,1,10500,112,59,3,8781,8402,97,115,104,59,1,8876,4,2,101,116,14361,14365,59,3,8805,8402,59,3,62,8402,110,102,105,110,59,1,10718,4,3,65,101,116,14384,14389,14393,114,114,59,1,10498,59,3,8804,8402,4,2,59,114,14399,14402,3,60,8402,105,101,59,3,8884,8402,4,2,65,116,14414,14419,114,114,59,1,10499,114,105,101,59,3,8885,8402,105,109,59,3,8764,8402,4,3,65,97,110,14440,14445,14468,114,114,59,1,8662,114,4,2,104,114,14452,14456,107,59,1,10531,4,2,59,111,14462,14464,1,8598,119,59,1,8598,101,97,114,59,1,10535,4,18,83,97,99,100,101,102,103,104,105,108,109,111,112,114,115,116,117,118,14512,14515,14535,14560,14597,14603,14618,14643,14657,14662,14701,14741,14747,14769,14851,14877,14907,14916,59,1,9416,4,2,99,115,14521,14531,117,116,101,5,243,1,59,14529,1,243,116,59,1,8859,4,2,105,121,14541,14557,114,4,2,59,99,14548,14550,1,8858,5,244,1,59,14555,1,244,59,1,1086,4,5,97,98,105,111,115,14572,14577,14583,14587,14591,115,104,59,1,8861,108,97,99,59,1,337,118,59,1,10808,116,59,1,8857,111,108,100,59,1,10684,108,105,103,59,1,339,4,2,99,114,14609,14614,105,114,59,1,10687,59,3,55349,56620,4,3,111,114,116,14626,14630,14640,110,59,1,731,97,118,101,5,242,1,59,14638,1,242,59,1,10689,4,2,98,109,14649,14654,97,114,59,1,10677,59,1,937,110,116,59,1,8750,4,4,97,99,105,116,14672,14677,14693,14698,114,114,59,1,8634,4,2,105,114,14683,14687,114,59,1,10686,111,115,115,59,1,10683,110,101,59,1,8254,59,1,10688,4,3,97,101,105,14709,14714,14719,99,114,59,1,333,103,97,59,1,969,4,3,99,100,110,14727,14733,14736,114,111,110,59,1,959,59,1,10678,117,115,59,1,8854,112,102,59,3,55349,56672,4,3,97,101,108,14755,14759,14764,114,59,1,10679,114,112,59,1,10681,117,115,59,1,8853,4,7,59,97,100,105,111,115,118,14785,14787,14792,14831,14837,14841,14848,1,8744,114,114,59,1,8635,4,4,59,101,102,109,14802,14804,14817,14824,1,10845,114,4,2,59,111,14811,14813,1,8500,102,59,1,8500,5,170,1,59,14822,1,170,5,186,1,59,14829,1,186,103,111,102,59,1,8886,114,59,1,10838,108,111,112,101,59,1,10839,59,1,10843,4,3,99,108,111,14859,14863,14873,114,59,1,8500,97,115,104,5,248,1,59,14871,1,248,108,59,1,8856,105,4,2,108,109,14884,14893,100,101,5,245,1,59,14891,1,245,101,115,4,2,59,97,14901,14903,1,8855,115,59,1,10806,109,108,5,246,1,59,14914,1,246,98,97,114,59,1,9021,4,12,97,99,101,102,104,105,108,109,111,114,115,117,14948,14992,14996,15033,15038,15068,15090,15189,15192,15222,15427,15441,114,4,4,59,97,115,116,14959,14961,14976,14989,1,8741,5,182,2,59,108,14968,14970,1,182,108,101,108,59,1,8741,4,2,105,108,14982,14986,109,59,1,10995,59,1,11005,59,1,8706,121,59,1,1087,114,4,5,99,105,109,112,116,15009,15014,15019,15024,15027,110,116,59,1,37,111,100,59,1,46,105,108,59,1,8240,59,1,8869,101,110,107,59,1,8241,114,59,3,55349,56621,4,3,105,109,111,15046,15057,15063,4,2,59,118,15052,15054,1,966,59,1,981,109,97,116,59,1,8499,110,101,59,1,9742,4,3,59,116,118,15076,15078,15087,1,960,99,104,102,111,114,107,59,1,8916,59,1,982,4,2,97,117,15096,15119,110,4,2,99,107,15103,15115,107,4,2,59,104,15110,15112,1,8463,59,1,8462,118,59,1,8463,115,4,9,59,97,98,99,100,101,109,115,116,15140,15142,15148,15151,15156,15168,15171,15179,15184,1,43,99,105,114,59,1,10787,59,1,8862,105,114,59,1,10786,4,2,111,117,15162,15165,59,1,8724,59,1,10789,59,1,10866,110,5,177,1,59,15177,1,177,105,109,59,1,10790,119,111,59,1,10791,59,1,177,4,3,105,112,117,15200,15208,15213,110,116,105,110,116,59,1,10773,102,59,3,55349,56673,110,100,5,163,1,59,15220,1,163,4,10,59,69,97,99,101,105,110,111,115,117,15244,15246,15249,15253,15258,15334,15347,15367,15416,15421,1,8826,59,1,10931,112,59,1,10935,117,101,59,1,8828,4,2,59,99,15264,15266,1,10927,4,6,59,97,99,101,110,115,15280,15282,15290,15299,15303,15329,1,8826,112,112,114,111,120,59,1,10935,117,114,108,121,101,113,59,1,8828,113,59,1,10927,4,3,97,101,115,15311,15319,15324,112,112,114,111,120,59,1,10937,113,113,59,1,10933,105,109,59,1,8936,105,109,59,1,8830,109,101,4,2,59,115,15342,15344,1,8242,59,1,8473,4,3,69,97,115,15355,15358,15362,59,1,10933,112,59,1,10937,105,109,59,1,8936,4,3,100,102,112,15375,15378,15404,59,1,8719,4,3,97,108,115,15386,15392,15398,108,97,114,59,1,9006,105,110,101,59,1,8978,117,114,102,59,1,8979,4,2,59,116,15410,15412,1,8733,111,59,1,8733,105,109,59,1,8830,114,101,108,59,1,8880,4,2,99,105,15433,15438,114,59,3,55349,56517,59,1,968,110,99,115,112,59,1,8200,4,6,102,105,111,112,115,117,15462,15467,15472,15478,15485,15491,114,59,3,55349,56622,110,116,59,1,10764,112,102,59,3,55349,56674,114,105,109,101,59,1,8279,99,114,59,3,55349,56518,4,3,97,101,111,15499,15520,15534,116,4,2,101,105,15506,15515,114,110,105,111,110,115,59,1,8461,110,116,59,1,10774,115,116,4,2,59,101,15528,15530,1,63,113,59,1,8799,116,5,34,1,59,15540,1,34,4,21,65,66,72,97,98,99,100,101,102,104,105,108,109,110,111,112,114,115,116,117,120,15586,15609,15615,15620,15796,15855,15893,15931,15977,16001,16039,16183,16204,16222,16228,16285,16312,16318,16363,16408,16416,4,3,97,114,116,15594,15599,15603,114,114,59,1,8667,114,59,1,8658,97,105,108,59,1,10524,97,114,114,59,1,10511,97,114,59,1,10596,4,7,99,100,101,110,113,114,116,15636,15651,15656,15664,15687,15696,15770,4,2,101,117,15642,15646,59,3,8765,817,116,101,59,1,341,105,99,59,1,8730,109,112,116,121,118,59,1,10675,103,4,4,59,100,101,108,15675,15677,15680,15683,1,10217,59,1,10642,59,1,10661,101,59,1,10217,117,111,5,187,1,59,15694,1,187,114,4,11,59,97,98,99,102,104,108,112,115,116,119,15721,15723,15727,15739,15742,15746,15750,15754,15758,15763,15767,1,8594,112,59,1,10613,4,2,59,102,15733,15735,1,8677,115,59,1,10528,59,1,10547,115,59,1,10526,107,59,1,8618,112,59,1,8620,108,59,1,10565,105,109,59,1,10612,108,59,1,8611,59,1,8605,4,2,97,105,15776,15781,105,108,59,1,10522,111,4,2,59,110,15788,15790,1,8758,97,108,115,59,1,8474,4,3,97,98,114,15804,15809,15814,114,114,59,1,10509,114,107,59,1,10099,4,2,97,107,15820,15833,99,4,2,101,107,15827,15830,59,1,125,59,1,93,4,2,101,115,15839,15842,59,1,10636,108,4,2,100,117,15849,15852,59,1,10638,59,1,10640,4,4,97,101,117,121,15865,15871,15886,15890,114,111,110,59,1,345,4,2,100,105,15877,15882,105,108,59,1,343,108,59,1,8969,98,59,1,125,59,1,1088,4,4,99,108,113,115,15903,15907,15914,15927,97,59,1,10551,100,104,97,114,59,1,10601,117,111,4,2,59,114,15922,15924,1,8221,59,1,8221,104,59,1,8627,4,3,97,99,103,15939,15966,15970,108,4,4,59,105,112,115,15950,15952,15957,15963,1,8476,110,101,59,1,8475,97,114,116,59,1,8476,59,1,8477,116,59,1,9645,5,174,1,59,15975,1,174,4,3,105,108,114,15985,15991,15997,115,104,116,59,1,10621,111,111,114,59,1,8971,59,3,55349,56623,4,2,97,111,16007,16028,114,4,2,100,117,16014,16017,59,1,8641,4,2,59,108,16023,16025,1,8640,59,1,10604,4,2,59,118,16034,16036,1,961,59,1,1009,4,3,103,110,115,16047,16167,16171,104,116,4,6,97,104,108,114,115,116,16063,16081,16103,16130,16143,16155,114,114,111,119,4,2,59,116,16073,16075,1,8594,97,105,108,59,1,8611,97,114,112,111,111,110,4,2,100,117,16093,16099,111,119,110,59,1,8641,112,59,1,8640,101,102,116,4,2,97,104,16112,16120,114,114,111,119,115,59,1,8644,97,114,112,111,111,110,115,59,1,8652,105,103,104,116,97,114,114,111,119,115,59,1,8649,113,117,105,103,97,114,114,111,119,59,1,8605,104,114,101,101,116,105,109,101,115,59,1,8908,103,59,1,730,105,110,103,100,111,116,115,101,113,59,1,8787,4,3,97,104,109,16191,16196,16201,114,114,59,1,8644,97,114,59,1,8652,59,1,8207,111,117,115,116,4,2,59,97,16214,16216,1,9137,99,104,101,59,1,9137,109,105,100,59,1,10990,4,4,97,98,112,116,16238,16252,16257,16278,4,2,110,114,16244,16248,103,59,1,10221,114,59,1,8702,114,107,59,1,10215,4,3,97,102,108,16265,16269,16273,114,59,1,10630,59,3,55349,56675,117,115,59,1,10798,105,109,101,115,59,1,10805,4,2,97,112,16291,16304,114,4,2,59,103,16298,16300,1,41,116,59,1,10644,111,108,105,110,116,59,1,10770,97,114,114,59,1,8649,4,4,97,99,104,113,16328,16334,16339,16342,113,117,111,59,1,8250,114,59,3,55349,56519,59,1,8625,4,2,98,117,16348,16351,59,1,93,111,4,2,59,114,16358,16360,1,8217,59,1,8217,4,3,104,105,114,16371,16377,16383,114,101,101,59,1,8908,109,101,115,59,1,8906,105,4,4,59,101,102,108,16394,16396,16399,16402,1,9657,59,1,8885,59,1,9656,116,114,105,59,1,10702,108,117,104,97,114,59,1,10600,59,1,8478,4,19,97,98,99,100,101,102,104,105,108,109,111,112,113,114,115,116,117,119,122,16459,16466,16472,16572,16590,16672,16687,16746,16844,16850,16924,16963,16988,17115,17121,17154,17206,17614,17656,99,117,116,101,59,1,347,113,117,111,59,1,8218,4,10,59,69,97,99,101,105,110,112,115,121,16494,16496,16499,16513,16518,16531,16536,16556,16564,16569,1,8827,59,1,10932,4,2,112,114,16505,16508,59,1,10936,111,110,59,1,353,117,101,59,1,8829,4,2,59,100,16524,16526,1,10928,105,108,59,1,351,114,99,59,1,349,4,3,69,97,115,16544,16547,16551,59,1,10934,112,59,1,10938,105,109,59,1,8937,111,108,105,110,116,59,1,10771,105,109,59,1,8831,59,1,1089,111,116,4,3,59,98,101,16582,16584,16587,1,8901,59,1,8865,59,1,10854,4,7,65,97,99,109,115,116,120,16606,16611,16634,16642,16646,16652,16668,114,114,59,1,8664,114,4,2,104,114,16618,16622,107,59,1,10533,4,2,59,111,16628,16630,1,8600,119,59,1,8600,116,5,167,1,59,16640,1,167,105,59,1,59,119,97,114,59,1,10537,109,4,2,105,110,16659,16665,110,117,115,59,1,8726,59,1,8726,116,59,1,10038,114,4,2,59,111,16679,16682,3,55349,56624,119,110,59,1,8994,4,4,97,99,111,121,16697,16702,16716,16739,114,112,59,1,9839,4,2,104,121,16708,16713,99,121,59,1,1097,59,1,1096,114,116,4,2,109,112,16724,16729,105,100,59,1,8739,97,114,97,108,108,101,108,59,1,8741,5,173,1,59,16744,1,173,4,2,103,109,16752,16770,109,97,4,3,59,102,118,16762,16764,16767,1,963,59,1,962,59,1,962,4,8,59,100,101,103,108,110,112,114,16788,16790,16795,16806,16817,16828,16832,16838,1,8764,111,116,59,1,10858,4,2,59,113,16801,16803,1,8771,59,1,8771,4,2,59,69,16812,16814,1,10910,59,1,10912,4,2,59,69,16823,16825,1,10909,59,1,10911,101,59,1,8774,108,117,115,59,1,10788,97,114,114,59,1,10610,97,114,114,59,1,8592,4,4,97,101,105,116,16860,16883,16891,16904,4,2,108,115,16866,16878,108,115,101,116,109,105,110,117,115,59,1,8726,104,112,59,1,10803,112,97,114,115,108,59,1,10724,4,2,100,108,16897,16900,59,1,8739,101,59,1,8995,4,2,59,101,16910,16912,1,10922,4,2,59,115,16918,16920,1,10924,59,3,10924,65024,4,3,102,108,112,16932,16938,16958,116,99,121,59,1,1100,4,2,59,98,16944,16946,1,47,4,2,59,97,16952,16954,1,10692,114,59,1,9023,102,59,3,55349,56676,97,4,2,100,114,16970,16985,101,115,4,2,59,117,16978,16980,1,9824,105,116,59,1,9824,59,1,8741,4,3,99,115,117,16996,17028,17089,4,2,97,117,17002,17015,112,4,2,59,115,17009,17011,1,8851,59,3,8851,65024,112,4,2,59,115,17022,17024,1,8852,59,3,8852,65024,117,4,2,98,112,17035,17062,4,3,59,101,115,17043,17045,17048,1,8847,59,1,8849,101,116,4,2,59,101,17056,17058,1,8847,113,59,1,8849,4,3,59,101,115,17070,17072,17075,1,8848,59,1,8850,101,116,4,2,59,101,17083,17085,1,8848,113,59,1,8850,4,3,59,97,102,17097,17099,17112,1,9633,114,4,2,101,102,17106,17109,59,1,9633,59,1,9642,59,1,9642,97,114,114,59,1,8594,4,4,99,101,109,116,17131,17136,17142,17148,114,59,3,55349,56520,116,109,110,59,1,8726,105,108,101,59,1,8995,97,114,102,59,1,8902,4,2,97,114,17160,17172,114,4,2,59,102,17167,17169,1,9734,59,1,9733,4,2,97,110,17178,17202,105,103,104,116,4,2,101,112,17188,17197,112,115,105,108,111,110,59,1,1013,104,105,59,1,981,115,59,1,175,4,5,98,99,109,110,112,17218,17351,17420,17423,17427,4,9,59,69,100,101,109,110,112,114,115,17238,17240,17243,17248,17261,17267,17279,17285,17291,1,8834,59,1,10949,111,116,59,1,10941,4,2,59,100,17254,17256,1,8838,111,116,59,1,10947,117,108,116,59,1,10945,4,2,69,101,17273,17276,59,1,10955,59,1,8842,108,117,115,59,1,10943,97,114,114,59,1,10617,4,3,101,105,117,17299,17335,17339,116,4,3,59,101,110,17308,17310,17322,1,8834,113,4,2,59,113,17317,17319,1,8838,59,1,10949,101,113,4,2,59,113,17330,17332,1,8842,59,1,10955,109,59,1,10951,4,2,98,112,17345,17348,59,1,10965,59,1,10963,99,4,6,59,97,99,101,110,115,17366,17368,17376,17385,17389,17415,1,8827,112,112,114,111,120,59,1,10936,117,114,108,121,101,113,59,1,8829,113,59,1,10928,4,3,97,101,115,17397,17405,17410,112,112,114,111,120,59,1,10938,113,113,59,1,10934,105,109,59,1,8937,105,109,59,1,8831,59,1,8721,103,59,1,9834,4,13,49,50,51,59,69,100,101,104,108,109,110,112,115,17455,17462,17469,17476,17478,17481,17496,17509,17524,17530,17536,17548,17554,5,185,1,59,17460,1,185,5,178,1,59,17467,1,178,5,179,1,59,17474,1,179,1,8835,59,1,10950,4,2,111,115,17487,17491,116,59,1,10942,117,98,59,1,10968,4,2,59,100,17502,17504,1,8839,111,116,59,1,10948,115,4,2,111,117,17516,17520,108,59,1,10185,98,59,1,10967,97,114,114,59,1,10619,117,108,116,59,1,10946,4,2,69,101,17542,17545,59,1,10956,59,1,8843,108,117,115,59,1,10944,4,3,101,105,117,17562,17598,17602,116,4,3,59,101,110,17571,17573,17585,1,8835,113,4,2,59,113,17580,17582,1,8839,59,1,10950,101,113,4,2,59,113,17593,17595,1,8843,59,1,10956,109,59,1,10952,4,2,98,112,17608,17611,59,1,10964,59,1,10966,4,3,65,97,110,17622,17627,17650,114,114,59,1,8665,114,4,2,104,114,17634,17638,107,59,1,10534,4,2,59,111,17644,17646,1,8601,119,59,1,8601,119,97,114,59,1,10538,108,105,103,5,223,1,59,17664,1,223,4,13,97,98,99,100,101,102,104,105,111,112,114,115,119,17694,17709,17714,17737,17742,17749,17754,17860,17905,17957,17964,18090,18122,4,2,114,117,17700,17706,103,101,116,59,1,8982,59,1,964,114,107,59,1,9140,4,3,97,101,121,17722,17728,17734,114,111,110,59,1,357,100,105,108,59,1,355,59,1,1090,111,116,59,1,8411,108,114,101,99,59,1,8981,114,59,3,55349,56625,4,4,101,105,107,111,17764,17805,17836,17851,4,2,114,116,17770,17786,101,4,2,52,102,17777,17780,59,1,8756,111,114,101,59,1,8756,97,4,3,59,115,118,17795,17797,17802,1,952,121,109,59,1,977,59,1,977,4,2,99,110,17811,17831,107,4,2,97,115,17818,17826,112,112,114,111,120,59,1,8776,105,109,59,1,8764,115,112,59,1,8201,4,2,97,115,17842,17846,112,59,1,8776,105,109,59,1,8764,114,110,5,254,1,59,17858,1,254,4,3,108,109,110,17868,17873,17901,100,101,59,1,732,101,115,5,215,3,59,98,100,17884,17886,17898,1,215,4,2,59,97,17892,17894,1,8864,114,59,1,10801,59,1,10800,116,59,1,8749,4,3,101,112,115,17913,17917,17953,97,59,1,10536,4,4,59,98,99,102,17927,17929,17934,17939,1,8868,111,116,59,1,9014,105,114,59,1,10993,4,2,59,111,17945,17948,3,55349,56677,114,107,59,1,10970,97,59,1,10537,114,105,109,101,59,1,8244,4,3,97,105,112,17972,17977,18082,100,101,59,1,8482,4,7,97,100,101,109,112,115,116,17993,18051,18056,18059,18066,18072,18076,110,103,108,101,4,5,59,100,108,113,114,18009,18011,18017,18032,18035,1,9653,111,119,110,59,1,9663,101,102,116,4,2,59,101,18026,18028,1,9667,113,59,1,8884,59,1,8796,105,103,104,116,4,2,59,101,18045,18047,1,9657,113,59,1,8885,111,116,59,1,9708,59,1,8796,105,110,117,115,59,1,10810,108,117,115,59,1,10809,98,59,1,10701,105,109,101,59,1,10811,101,122,105,117,109,59,1,9186,4,3,99,104,116,18098,18111,18116,4,2,114,121,18104,18108,59,3,55349,56521,59,1,1094,99,121,59,1,1115,114,111,107,59,1,359,4,2,105,111,18128,18133,120,116,59,1,8812,104,101,97,100,4,2,108,114,18143,18154,101,102,116,97,114,114,111,119,59,1,8606,105,103,104,116,97,114,114,111,119,59,1,8608,4,18,65,72,97,98,99,100,102,103,104,108,109,111,112,114,115,116,117,119,18204,18209,18214,18234,18250,18268,18292,18308,18319,18343,18379,18397,18413,18504,18547,18553,18584,18603,114,114,59,1,8657,97,114,59,1,10595,4,2,99,114,18220,18230,117,116,101,5,250,1,59,18228,1,250,114,59,1,8593,114,4,2,99,101,18241,18245,121,59,1,1118,118,101,59,1,365,4,2,105,121,18256,18265,114,99,5,251,1,59,18263,1,251,59,1,1091,4,3,97,98,104,18276,18281,18287,114,114,59,1,8645,108,97,99,59,1,369,97,114,59,1,10606,4,2,105,114,18298,18304,115,104,116,59,1,10622,59,3,55349,56626,114,97,118,101,5,249,1,59,18317,1,249,4,2,97,98,18325,18338,114,4,2,108,114,18332,18335,59,1,8639,59,1,8638,108,107,59,1,9600,4,2,99,116,18349,18374,4,2,111,114,18355,18369,114,110,4,2,59,101,18363,18365,1,8988,114,59,1,8988,111,112,59,1,8975,114,105,59,1,9720,4,2,97,108,18385,18390,99,114,59,1,363,5,168,1,59,18395,1,168,4,2,103,112,18403,18408,111,110,59,1,371,102,59,3,55349,56678,4,6,97,100,104,108,115,117,18427,18434,18445,18470,18475,18494,114,114,111,119,59,1,8593,111,119,110,97,114,114,111,119,59,1,8597,97,114,112,111,111,110,4,2,108,114,18457,18463,101,102,116,59,1,8639,105,103,104,116,59,1,8638,117,115,59,1,8846,105,4,3,59,104,108,18484,18486,18489,1,965,59,1,978,111,110,59,1,965,112,97,114,114,111,119,115,59,1,8648,4,3,99,105,116,18512,18537,18542,4,2,111,114,18518,18532,114,110,4,2,59,101,18526,18528,1,8989,114,59,1,8989,111,112,59,1,8974,110,103,59,1,367,114,105,59,1,9721,99,114,59,3,55349,56522,4,3,100,105,114,18561,18566,18572,111,116,59,1,8944,108,100,101,59,1,361,105,4,2,59,102,18579,18581,1,9653,59,1,9652,4,2,97,109,18590,18595,114,114,59,1,8648,108,5,252,1,59,18601,1,252,97,110,103,108,101,59,1,10663,4,15,65,66,68,97,99,100,101,102,108,110,111,112,114,115,122,18643,18648,18661,18667,18847,18851,18857,18904,18909,18915,18931,18937,18943,18949,18996,114,114,59,1,8661,97,114,4,2,59,118,18656,18658,1,10984,59,1,10985,97,115,104,59,1,8872,4,2,110,114,18673,18679,103,114,116,59,1,10652,4,7,101,107,110,112,114,115,116,18695,18704,18711,18720,18742,18754,18810,112,115,105,108,111,110,59,1,1013,97,112,112,97,59,1,1008,111,116,104,105,110,103,59,1,8709,4,3,104,105,114,18728,18732,18735,105,59,1,981,59,1,982,111,112,116,111,59,1,8733,4,2,59,104,18748,18750,1,8597,111,59,1,1009,4,2,105,117,18760,18766,103,109,97,59,1,962,4,2,98,112,18772,18791,115,101,116,110,101,113,4,2,59,113,18784,18787,3,8842,65024,59,3,10955,65024,115,101,116,110,101,113,4,2,59,113,18803,18806,3,8843,65024,59,3,10956,65024,4,2,104,114,18816,18822,101,116,97,59,1,977,105,97,110,103,108,101,4,2,108,114,18834,18840,101,102,116,59,1,8882,105,103,104,116,59,1,8883,121,59,1,1074,97,115,104,59,1,8866,4,3,101,108,114,18865,18884,18890,4,3,59,98,101,18873,18875,18880,1,8744,97,114,59,1,8891,113,59,1,8794,108,105,112,59,1,8942,4,2,98,116,18896,18901,97,114,59,1,124,59,1,124,114,59,3,55349,56627,116,114,105,59,1,8882,115,117,4,2,98,112,18923,18927,59,3,8834,8402,59,3,8835,8402,112,102,59,3,55349,56679,114,111,112,59,1,8733,116,114,105,59,1,8883,4,2,99,117,18955,18960,114,59,3,55349,56523,4,2,98,112,18966,18981,110,4,2,69,101,18973,18977,59,3,10955,65024,59,3,8842,65024,110,4,2,69,101,18988,18992,59,3,10956,65024,59,3,8843,65024,105,103,122,97,103,59,1,10650,4,7,99,101,102,111,112,114,115,19020,19026,19061,19066,19072,19075,19089,105,114,99,59,1,373,4,2,100,105,19032,19055,4,2,98,103,19038,19043,97,114,59,1,10847,101,4,2,59,113,19050,19052,1,8743,59,1,8793,101,114,112,59,1,8472,114,59,3,55349,56628,112,102,59,3,55349,56680,59,1,8472,4,2,59,101,19081,19083,1,8768,97,116,104,59,1,8768,99,114,59,3,55349,56524,4,14,99,100,102,104,105,108,109,110,111,114,115,117,118,119,19125,19146,19152,19157,19173,19176,19192,19197,19202,19236,19252,19269,19286,19291,4,3,97,105,117,19133,19137,19142,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,116,114,105,59,1,9661,114,59,3,55349,56629,4,2,65,97,19163,19168,114,114,59,1,10234,114,114,59,1,10231,59,1,958,4,2,65,97,19182,19187,114,114,59,1,10232,114,114,59,1,10229,97,112,59,1,10236,105,115,59,1,8955,4,3,100,112,116,19210,19215,19230,111,116,59,1,10752,4,2,102,108,19221,19225,59,3,55349,56681,117,115,59,1,10753,105,109,101,59,1,10754,4,2,65,97,19242,19247,114,114,59,1,10233,114,114,59,1,10230,4,2,99,113,19258,19263,114,59,3,55349,56525,99,117,112,59,1,10758,4,2,112,116,19275,19281,108,117,115,59,1,10756,114,105,59,1,9651,101,101,59,1,8897,101,100,103,101,59,1,8896,4,8,97,99,101,102,105,111,115,117,19316,19335,19349,19357,19362,19367,19373,19379,99,4,2,117,121,19323,19332,116,101,5,253,1,59,19330,1,253,59,1,1103,4,2,105,121,19341,19346,114,99,59,1,375,59,1,1099,110,5,165,1,59,19355,1,165,114,59,3,55349,56630,99,121,59,1,1111,112,102,59,3,55349,56682,99,114,59,3,55349,56526,4,2,99,109,19385,19389,121,59,1,1102,108,5,255,1,59,19395,1,255,4,10,97,99,100,101,102,104,105,111,115,119,19419,19426,19441,19446,19462,19467,19472,19480,19486,19492,99,117,116,101,59,1,378,4,2,97,121,19432,19438,114,111,110,59,1,382,59,1,1079,111,116,59,1,380,4,2,101,116,19452,19458,116,114,102,59,1,8488,97,59,1,950,114,59,3,55349,56631,99,121,59,1,1078,103,114,97,114,114,59,1,8669,112,102,59,3,55349,56683,99,114,59,3,55349,56527,4,2,106,110,19498,19501,59,1,8205,106,59,1,8204]);","'use strict';\n\nconst unicode = require('../common/unicode');\nconst ERR = require('../common/error-codes');\n\n//Aliases\nconst $ = unicode.CODE_POINTS;\n\n//Const\nconst DEFAULT_BUFFER_WATERLINE = 1 << 16;\n\n//Preprocessor\n//NOTE: HTML input preprocessing\n//(see: http://www.whatwg.org/specs/web-apps/current-work/multipage/parsing.html#preprocessing-the-input-stream)\nclass Preprocessor {\n constructor() {\n this.html = null;\n\n this.pos = -1;\n this.lastGapPos = -1;\n this.lastCharPos = -1;\n\n this.gapStack = [];\n\n this.skipNextNewLine = false;\n\n this.lastChunkWritten = false;\n this.endOfChunkHit = false;\n this.bufferWaterline = DEFAULT_BUFFER_WATERLINE;\n }\n\n _err() {\n // NOTE: err reporting is noop by default. Enabled by mixin.\n }\n\n _addGap() {\n this.gapStack.push(this.lastGapPos);\n this.lastGapPos = this.pos;\n }\n\n _processSurrogate(cp) {\n //NOTE: try to peek a surrogate pair\n if (this.pos !== this.lastCharPos) {\n const nextCp = this.html.charCodeAt(this.pos + 1);\n\n if (unicode.isSurrogatePair(nextCp)) {\n //NOTE: we have a surrogate pair. Peek pair character and recalculate code point.\n this.pos++;\n\n //NOTE: add gap that should be avoided during retreat\n this._addGap();\n\n return unicode.getSurrogatePairCodePoint(cp, nextCp);\n }\n }\n\n //NOTE: we are at the end of a chunk, therefore we can't infer surrogate pair yet.\n else if (!this.lastChunkWritten) {\n this.endOfChunkHit = true;\n return $.EOF;\n }\n\n //NOTE: isolated surrogate\n this._err(ERR.surrogateInInputStream);\n\n return cp;\n }\n\n dropParsedChunk() {\n if (this.pos > this.bufferWaterline) {\n this.lastCharPos -= this.pos;\n this.html = this.html.substring(this.pos);\n this.pos = 0;\n this.lastGapPos = -1;\n this.gapStack = [];\n }\n }\n\n write(chunk, isLastChunk) {\n if (this.html) {\n this.html += chunk;\n } else {\n this.html = chunk;\n }\n\n this.lastCharPos = this.html.length - 1;\n this.endOfChunkHit = false;\n this.lastChunkWritten = isLastChunk;\n }\n\n insertHtmlAtCurrentPos(chunk) {\n this.html = this.html.substring(0, this.pos + 1) + chunk + this.html.substring(this.pos + 1, this.html.length);\n\n this.lastCharPos = this.html.length - 1;\n this.endOfChunkHit = false;\n }\n\n advance() {\n this.pos++;\n\n if (this.pos > this.lastCharPos) {\n this.endOfChunkHit = !this.lastChunkWritten;\n return $.EOF;\n }\n\n let cp = this.html.charCodeAt(this.pos);\n\n //NOTE: any U+000A LINE FEED (LF) characters that immediately follow a U+000D CARRIAGE RETURN (CR) character\n //must be ignored.\n if (this.skipNextNewLine && cp === $.LINE_FEED) {\n this.skipNextNewLine = false;\n this._addGap();\n return this.advance();\n }\n\n //NOTE: all U+000D CARRIAGE RETURN (CR) characters must be converted to U+000A LINE FEED (LF) characters\n if (cp === $.CARRIAGE_RETURN) {\n this.skipNextNewLine = true;\n return $.LINE_FEED;\n }\n\n this.skipNextNewLine = false;\n\n if (unicode.isSurrogate(cp)) {\n cp = this._processSurrogate(cp);\n }\n\n //OPTIMIZATION: first check if code point is in the common allowed\n //range (ASCII alphanumeric, whitespaces, big chunk of BMP)\n //before going into detailed performance cost validation.\n const isCommonValidRange =\n (cp > 0x1f && cp < 0x7f) || cp === $.LINE_FEED || cp === $.CARRIAGE_RETURN || (cp > 0x9f && cp < 0xfdd0);\n\n if (!isCommonValidRange) {\n this._checkForProblematicCharacters(cp);\n }\n\n return cp;\n }\n\n _checkForProblematicCharacters(cp) {\n if (unicode.isControlCodePoint(cp)) {\n this._err(ERR.controlCharacterInInputStream);\n } else if (unicode.isUndefinedCodePoint(cp)) {\n this._err(ERR.noncharacterInInputStream);\n }\n }\n\n retreat() {\n if (this.pos === this.lastGapPos) {\n this.lastGapPos = this.gapStack.pop();\n this.pos--;\n }\n\n this.pos--;\n }\n}\n\nmodule.exports = Preprocessor;\n","'use strict';\n\nconst { DOCUMENT_MODE } = require('../common/html');\n\n//Node construction\nexports.createDocument = function() {\n return {\n nodeName: '#document',\n mode: DOCUMENT_MODE.NO_QUIRKS,\n childNodes: []\n };\n};\n\nexports.createDocumentFragment = function() {\n return {\n nodeName: '#document-fragment',\n childNodes: []\n };\n};\n\nexports.createElement = function(tagName, namespaceURI, attrs) {\n return {\n nodeName: tagName,\n tagName: tagName,\n attrs: attrs,\n namespaceURI: namespaceURI,\n childNodes: [],\n parentNode: null\n };\n};\n\nexports.createCommentNode = function(data) {\n return {\n nodeName: '#comment',\n data: data,\n parentNode: null\n };\n};\n\nconst createTextNode = function(value) {\n return {\n nodeName: '#text',\n value: value,\n parentNode: null\n };\n};\n\n//Tree mutation\nconst appendChild = (exports.appendChild = function(parentNode, newNode) {\n parentNode.childNodes.push(newNode);\n newNode.parentNode = parentNode;\n});\n\nconst insertBefore = (exports.insertBefore = function(parentNode, newNode, referenceNode) {\n const insertionIdx = parentNode.childNodes.indexOf(referenceNode);\n\n parentNode.childNodes.splice(insertionIdx, 0, newNode);\n newNode.parentNode = parentNode;\n});\n\nexports.setTemplateContent = function(templateElement, contentElement) {\n templateElement.content = contentElement;\n};\n\nexports.getTemplateContent = function(templateElement) {\n return templateElement.content;\n};\n\nexports.setDocumentType = function(document, name, publicId, systemId) {\n let doctypeNode = null;\n\n for (let i = 0; i < document.childNodes.length; i++) {\n if (document.childNodes[i].nodeName === '#documentType') {\n doctypeNode = document.childNodes[i];\n break;\n }\n }\n\n if (doctypeNode) {\n doctypeNode.name = name;\n doctypeNode.publicId = publicId;\n doctypeNode.systemId = systemId;\n } else {\n appendChild(document, {\n nodeName: '#documentType',\n name: name,\n publicId: publicId,\n systemId: systemId\n });\n }\n};\n\nexports.setDocumentMode = function(document, mode) {\n document.mode = mode;\n};\n\nexports.getDocumentMode = function(document) {\n return document.mode;\n};\n\nexports.detachNode = function(node) {\n if (node.parentNode) {\n const idx = node.parentNode.childNodes.indexOf(node);\n\n node.parentNode.childNodes.splice(idx, 1);\n node.parentNode = null;\n }\n};\n\nexports.insertText = function(parentNode, text) {\n if (parentNode.childNodes.length) {\n const prevNode = parentNode.childNodes[parentNode.childNodes.length - 1];\n\n if (prevNode.nodeName === '#text') {\n prevNode.value += text;\n return;\n }\n }\n\n appendChild(parentNode, createTextNode(text));\n};\n\nexports.insertTextBefore = function(parentNode, text, referenceNode) {\n const prevNode = parentNode.childNodes[parentNode.childNodes.indexOf(referenceNode) - 1];\n\n if (prevNode && prevNode.nodeName === '#text') {\n prevNode.value += text;\n } else {\n insertBefore(parentNode, createTextNode(text), referenceNode);\n }\n};\n\nexports.adoptAttributes = function(recipient, attrs) {\n const recipientAttrsMap = [];\n\n for (let i = 0; i < recipient.attrs.length; i++) {\n recipientAttrsMap.push(recipient.attrs[i].name);\n }\n\n for (let j = 0; j < attrs.length; j++) {\n if (recipientAttrsMap.indexOf(attrs[j].name) === -1) {\n recipient.attrs.push(attrs[j]);\n }\n }\n};\n\n//Tree traversing\nexports.getFirstChild = function(node) {\n return node.childNodes[0];\n};\n\nexports.getChildNodes = function(node) {\n return node.childNodes;\n};\n\nexports.getParentNode = function(node) {\n return node.parentNode;\n};\n\nexports.getAttrList = function(element) {\n return element.attrs;\n};\n\n//Node data\nexports.getTagName = function(element) {\n return element.tagName;\n};\n\nexports.getNamespaceURI = function(element) {\n return element.namespaceURI;\n};\n\nexports.getTextNodeContent = function(textNode) {\n return textNode.value;\n};\n\nexports.getCommentNodeContent = function(commentNode) {\n return commentNode.data;\n};\n\nexports.getDocumentTypeNodeName = function(doctypeNode) {\n return doctypeNode.name;\n};\n\nexports.getDocumentTypeNodePublicId = function(doctypeNode) {\n return doctypeNode.publicId;\n};\n\nexports.getDocumentTypeNodeSystemId = function(doctypeNode) {\n return doctypeNode.systemId;\n};\n\n//Node types\nexports.isTextNode = function(node) {\n return node.nodeName === '#text';\n};\n\nexports.isCommentNode = function(node) {\n return node.nodeName === '#comment';\n};\n\nexports.isDocumentTypeNode = function(node) {\n return node.nodeName === '#documentType';\n};\n\nexports.isElementNode = function(node) {\n return !!node.tagName;\n};\n\n// Source code location\nexports.setNodeSourceCodeLocation = function(node, location) {\n node.sourceCodeLocation = location;\n};\n\nexports.getNodeSourceCodeLocation = function(node) {\n return node.sourceCodeLocation;\n};\n\nexports.updateNodeSourceCodeLocation = function(node, endLocation) {\n node.sourceCodeLocation = Object.assign(node.sourceCodeLocation, endLocation);\n};\n","'use strict';\n\nmodule.exports = function mergeOptions(defaults, options) {\n options = options || Object.create(null);\n\n return [defaults, options].reduce((merged, optObj) => {\n Object.keys(optObj).forEach(key => {\n merged[key] = optObj[key];\n });\n\n return merged;\n }, Object.create(null));\n};\n","'use strict';\n\nclass Mixin {\n constructor(host) {\n const originalMethods = {};\n const overriddenMethods = this._getOverriddenMethods(this, originalMethods);\n\n for (const key of Object.keys(overriddenMethods)) {\n if (typeof overriddenMethods[key] === 'function') {\n originalMethods[key] = host[key];\n host[key] = overriddenMethods[key];\n }\n }\n }\n\n _getOverriddenMethods() {\n throw new Error('Not implemented');\n }\n}\n\nMixin.install = function(host, Ctor, opts) {\n if (!host.__mixins) {\n host.__mixins = [];\n }\n\n for (let i = 0; i < host.__mixins.length; i++) {\n if (host.__mixins[i].constructor === Ctor) {\n return host.__mixins[i];\n }\n }\n\n const mixin = new Ctor(host, opts);\n\n host.__mixins.push(mixin);\n\n return mixin;\n};\n\nmodule.exports = Mixin;\n","/**\n * Copyright (c) 2013-present, Facebook, Inc.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n\n'use strict';\n\nvar ReactPropTypesSecret = require('./lib/ReactPropTypesSecret');\n\nfunction emptyFunction() {}\nfunction emptyFunctionWithReset() {}\nemptyFunctionWithReset.resetWarningCache = emptyFunction;\n\nmodule.exports = function() {\n function shim(props, propName, componentName, location, propFullName, secret) {\n if (secret === ReactPropTypesSecret) {\n // It is still safe when called from React.\n return;\n }\n var err = new Error(\n 'Calling PropTypes validators directly is not supported by the `prop-types` package. ' +\n 'Use PropTypes.checkPropTypes() to call them. ' +\n 'Read more at http://fb.me/use-check-prop-types'\n );\n err.name = 'Invariant Violation';\n throw err;\n };\n shim.isRequired = shim;\n function getShim() {\n return shim;\n };\n // Important!\n // Keep this list in sync with production version in `./factoryWithTypeCheckers.js`.\n var ReactPropTypes = {\n array: shim,\n bigint: shim,\n bool: shim,\n func: shim,\n number: shim,\n object: shim,\n string: shim,\n symbol: shim,\n\n any: shim,\n arrayOf: getShim,\n element: shim,\n elementType: shim,\n instanceOf: getShim,\n node: shim,\n objectOf: getShim,\n oneOf: getShim,\n oneOfType: getShim,\n shape: getShim,\n exact: getShim,\n\n checkPropTypes: emptyFunctionWithReset,\n resetWarningCache: emptyFunction\n };\n\n ReactPropTypes.PropTypes = ReactPropTypes;\n\n return ReactPropTypes;\n};\n","/**\n * Copyright (c) 2013-present, Facebook, Inc.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n\nif (process.env.NODE_ENV !== 'production') {\n var ReactIs = require('react-is');\n\n // By explicitly using `prop-types` you are opting into new development behavior.\n // http://fb.me/prop-types-in-prod\n var throwOnDirectAccess = true;\n module.exports = require('./factoryWithTypeCheckers')(ReactIs.isElement, throwOnDirectAccess);\n} else {\n // By explicitly using `prop-types` you are opting into new production behavior.\n // http://fb.me/prop-types-in-prod\n module.exports = require('./factoryWithThrowingShims')();\n}\n","/**\n * Copyright (c) 2013-present, Facebook, Inc.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n\n'use strict';\n\nvar ReactPropTypesSecret = 'SECRET_DO_NOT_PASS_THIS_OR_YOU_WILL_BE_FIRED';\n\nmodule.exports = ReactPropTypesSecret;\n","/**\n * @license React\n * react-dom.production.min.js\n *\n * Copyright (c) Facebook, Inc. and its affiliates.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n/*\n Modernizr 3.0.0pre (Custom Build) | MIT\n*/\n'use strict';var aa=require(\"react\"),ca=require(\"scheduler\");function p(a){for(var b=\"https://reactjs.org/docs/error-decoder.html?invariant=\"+a,c=1;c<arguments.length;c++)b+=\"&args[]=\"+encodeURIComponent(arguments[c]);return\"Minified React error #\"+a+\"; visit \"+b+\" for the full message or use the non-minified dev environment for full errors and additional helpful warnings.\"}var da=new Set,ea={};function fa(a,b){ha(a,b);ha(a+\"Capture\",b)}\nfunction ha(a,b){ea[a]=b;for(a=0;a<b.length;a++)da.add(b[a])}\nvar ia=!(\"undefined\"===typeof window||\"undefined\"===typeof window.document||\"undefined\"===typeof window.document.createElement),ja=Object.prototype.hasOwnProperty,ka=/^[:A-Z_a-z\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD][:A-Z_a-z\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD\\-.0-9\\u00B7\\u0300-\\u036F\\u203F-\\u2040]*$/,la=\n{},ma={};function oa(a){if(ja.call(ma,a))return!0;if(ja.call(la,a))return!1;if(ka.test(a))return ma[a]=!0;la[a]=!0;return!1}function pa(a,b,c,d){if(null!==c&&0===c.type)return!1;switch(typeof b){case \"function\":case \"symbol\":return!0;case \"boolean\":if(d)return!1;if(null!==c)return!c.acceptsBooleans;a=a.toLowerCase().slice(0,5);return\"data-\"!==a&&\"aria-\"!==a;default:return!1}}\nfunction qa(a,b,c,d){if(null===b||\"undefined\"===typeof b||pa(a,b,c,d))return!0;if(d)return!1;if(null!==c)switch(c.type){case 3:return!b;case 4:return!1===b;case 5:return isNaN(b);case 6:return isNaN(b)||1>b}return!1}function v(a,b,c,d,e,f,g){this.acceptsBooleans=2===b||3===b||4===b;this.attributeName=d;this.attributeNamespace=e;this.mustUseProperty=c;this.propertyName=a;this.type=b;this.sanitizeURL=f;this.removeEmptyString=g}var z={};\n\"children dangerouslySetInnerHTML defaultValue defaultChecked innerHTML suppressContentEditableWarning suppressHydrationWarning style\".split(\" \").forEach(function(a){z[a]=new v(a,0,!1,a,null,!1,!1)});[[\"acceptCharset\",\"accept-charset\"],[\"className\",\"class\"],[\"htmlFor\",\"for\"],[\"httpEquiv\",\"http-equiv\"]].forEach(function(a){var b=a[0];z[b]=new v(b,1,!1,a[1],null,!1,!1)});[\"contentEditable\",\"draggable\",\"spellCheck\",\"value\"].forEach(function(a){z[a]=new v(a,2,!1,a.toLowerCase(),null,!1,!1)});\n[\"autoReverse\",\"externalResourcesRequired\",\"focusable\",\"preserveAlpha\"].forEach(function(a){z[a]=new v(a,2,!1,a,null,!1,!1)});\"allowFullScreen async autoFocus autoPlay controls default defer disabled disablePictureInPicture disableRemotePlayback formNoValidate hidden loop noModule noValidate open playsInline readOnly required reversed scoped seamless itemScope\".split(\" \").forEach(function(a){z[a]=new v(a,3,!1,a.toLowerCase(),null,!1,!1)});\n[\"checked\",\"multiple\",\"muted\",\"selected\"].forEach(function(a){z[a]=new v(a,3,!0,a,null,!1,!1)});[\"capture\",\"download\"].forEach(function(a){z[a]=new v(a,4,!1,a,null,!1,!1)});[\"cols\",\"rows\",\"size\",\"span\"].forEach(function(a){z[a]=new v(a,6,!1,a,null,!1,!1)});[\"rowSpan\",\"start\"].forEach(function(a){z[a]=new v(a,5,!1,a.toLowerCase(),null,!1,!1)});var ra=/[\\-:]([a-z])/g;function sa(a){return a[1].toUpperCase()}\n\"accent-height alignment-baseline arabic-form baseline-shift cap-height clip-path clip-rule color-interpolation color-interpolation-filters color-profile color-rendering dominant-baseline enable-background fill-opacity fill-rule flood-color flood-opacity font-family font-size font-size-adjust font-stretch font-style font-variant font-weight glyph-name glyph-orientation-horizontal glyph-orientation-vertical horiz-adv-x horiz-origin-x image-rendering letter-spacing lighting-color marker-end marker-mid marker-start overline-position overline-thickness paint-order panose-1 pointer-events rendering-intent shape-rendering stop-color stop-opacity strikethrough-position strikethrough-thickness stroke-dasharray stroke-dashoffset stroke-linecap stroke-linejoin stroke-miterlimit stroke-opacity stroke-width text-anchor text-decoration text-rendering underline-position underline-thickness unicode-bidi unicode-range units-per-em v-alphabetic v-hanging v-ideographic v-mathematical vector-effect vert-adv-y vert-origin-x vert-origin-y word-spacing writing-mode xmlns:xlink x-height\".split(\" \").forEach(function(a){var b=a.replace(ra,\nsa);z[b]=new v(b,1,!1,a,null,!1,!1)});\"xlink:actuate xlink:arcrole xlink:role xlink:show xlink:title xlink:type\".split(\" \").forEach(function(a){var b=a.replace(ra,sa);z[b]=new v(b,1,!1,a,\"http://www.w3.org/1999/xlink\",!1,!1)});[\"xml:base\",\"xml:lang\",\"xml:space\"].forEach(function(a){var b=a.replace(ra,sa);z[b]=new v(b,1,!1,a,\"http://www.w3.org/XML/1998/namespace\",!1,!1)});[\"tabIndex\",\"crossOrigin\"].forEach(function(a){z[a]=new v(a,1,!1,a.toLowerCase(),null,!1,!1)});\nz.xlinkHref=new v(\"xlinkHref\",1,!1,\"xlink:href\",\"http://www.w3.org/1999/xlink\",!0,!1);[\"src\",\"href\",\"action\",\"formAction\"].forEach(function(a){z[a]=new v(a,1,!1,a.toLowerCase(),null,!0,!0)});\nfunction ta(a,b,c,d){var e=z.hasOwnProperty(b)?z[b]:null;if(null!==e?0!==e.type:d||!(2<b.length)||\"o\"!==b[0]&&\"O\"!==b[0]||\"n\"!==b[1]&&\"N\"!==b[1])qa(b,c,e,d)&&(c=null),d||null===e?oa(b)&&(null===c?a.removeAttribute(b):a.setAttribute(b,\"\"+c)):e.mustUseProperty?a[e.propertyName]=null===c?3===e.type?!1:\"\":c:(b=e.attributeName,d=e.attributeNamespace,null===c?a.removeAttribute(b):(e=e.type,c=3===e||4===e&&!0===c?\"\":\"\"+c,d?a.setAttributeNS(d,b,c):a.setAttribute(b,c)))}\nvar ua=aa.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED,va=Symbol.for(\"react.element\"),wa=Symbol.for(\"react.portal\"),ya=Symbol.for(\"react.fragment\"),za=Symbol.for(\"react.strict_mode\"),Aa=Symbol.for(\"react.profiler\"),Ba=Symbol.for(\"react.provider\"),Ca=Symbol.for(\"react.context\"),Da=Symbol.for(\"react.forward_ref\"),Ea=Symbol.for(\"react.suspense\"),Fa=Symbol.for(\"react.suspense_list\"),Ga=Symbol.for(\"react.memo\"),Ha=Symbol.for(\"react.lazy\");Symbol.for(\"react.scope\");Symbol.for(\"react.debug_trace_mode\");\nvar Ia=Symbol.for(\"react.offscreen\");Symbol.for(\"react.legacy_hidden\");Symbol.for(\"react.cache\");Symbol.for(\"react.tracing_marker\");var Ja=Symbol.iterator;function Ka(a){if(null===a||\"object\"!==typeof a)return null;a=Ja&&a[Ja]||a[\"@@iterator\"];return\"function\"===typeof a?a:null}var A=Object.assign,La;function Ma(a){if(void 0===La)try{throw Error();}catch(c){var b=c.stack.trim().match(/\\n( *(at )?)/);La=b&&b[1]||\"\"}return\"\\n\"+La+a}var Na=!1;\nfunction Oa(a,b){if(!a||Na)return\"\";Na=!0;var c=Error.prepareStackTrace;Error.prepareStackTrace=void 0;try{if(b)if(b=function(){throw Error();},Object.defineProperty(b.prototype,\"props\",{set:function(){throw Error();}}),\"object\"===typeof Reflect&&Reflect.construct){try{Reflect.construct(b,[])}catch(l){var d=l}Reflect.construct(a,[],b)}else{try{b.call()}catch(l){d=l}a.call(b.prototype)}else{try{throw Error();}catch(l){d=l}a()}}catch(l){if(l&&d&&\"string\"===typeof l.stack){for(var e=l.stack.split(\"\\n\"),\nf=d.stack.split(\"\\n\"),g=e.length-1,h=f.length-1;1<=g&&0<=h&&e[g]!==f[h];)h--;for(;1<=g&&0<=h;g--,h--)if(e[g]!==f[h]){if(1!==g||1!==h){do if(g--,h--,0>h||e[g]!==f[h]){var k=\"\\n\"+e[g].replace(\" at new \",\" at \");a.displayName&&k.includes(\"<anonymous>\")&&(k=k.replace(\"<anonymous>\",a.displayName));return k}while(1<=g&&0<=h)}break}}}finally{Na=!1,Error.prepareStackTrace=c}return(a=a?a.displayName||a.name:\"\")?Ma(a):\"\"}\nfunction Pa(a){switch(a.tag){case 5:return Ma(a.type);case 16:return Ma(\"Lazy\");case 13:return Ma(\"Suspense\");case 19:return Ma(\"SuspenseList\");case 0:case 2:case 15:return a=Oa(a.type,!1),a;case 11:return a=Oa(a.type.render,!1),a;case 1:return a=Oa(a.type,!0),a;default:return\"\"}}\nfunction Qa(a){if(null==a)return null;if(\"function\"===typeof a)return a.displayName||a.name||null;if(\"string\"===typeof a)return a;switch(a){case ya:return\"Fragment\";case wa:return\"Portal\";case Aa:return\"Profiler\";case za:return\"StrictMode\";case Ea:return\"Suspense\";case Fa:return\"SuspenseList\"}if(\"object\"===typeof a)switch(a.$$typeof){case Ca:return(a.displayName||\"Context\")+\".Consumer\";case Ba:return(a._context.displayName||\"Context\")+\".Provider\";case Da:var b=a.render;a=a.displayName;a||(a=b.displayName||\nb.name||\"\",a=\"\"!==a?\"ForwardRef(\"+a+\")\":\"ForwardRef\");return a;case Ga:return b=a.displayName||null,null!==b?b:Qa(a.type)||\"Memo\";case Ha:b=a._payload;a=a._init;try{return Qa(a(b))}catch(c){}}return null}\nfunction Ra(a){var b=a.type;switch(a.tag){case 24:return\"Cache\";case 9:return(b.displayName||\"Context\")+\".Consumer\";case 10:return(b._context.displayName||\"Context\")+\".Provider\";case 18:return\"DehydratedFragment\";case 11:return a=b.render,a=a.displayName||a.name||\"\",b.displayName||(\"\"!==a?\"ForwardRef(\"+a+\")\":\"ForwardRef\");case 7:return\"Fragment\";case 5:return b;case 4:return\"Portal\";case 3:return\"Root\";case 6:return\"Text\";case 16:return Qa(b);case 8:return b===za?\"StrictMode\":\"Mode\";case 22:return\"Offscreen\";\ncase 12:return\"Profiler\";case 21:return\"Scope\";case 13:return\"Suspense\";case 19:return\"SuspenseList\";case 25:return\"TracingMarker\";case 1:case 0:case 17:case 2:case 14:case 15:if(\"function\"===typeof b)return b.displayName||b.name||null;if(\"string\"===typeof b)return b}return null}function Sa(a){switch(typeof a){case \"boolean\":case \"number\":case \"string\":case \"undefined\":return a;case \"object\":return a;default:return\"\"}}\nfunction Ta(a){var b=a.type;return(a=a.nodeName)&&\"input\"===a.toLowerCase()&&(\"checkbox\"===b||\"radio\"===b)}\nfunction Ua(a){var b=Ta(a)?\"checked\":\"value\",c=Object.getOwnPropertyDescriptor(a.constructor.prototype,b),d=\"\"+a[b];if(!a.hasOwnProperty(b)&&\"undefined\"!==typeof c&&\"function\"===typeof c.get&&\"function\"===typeof c.set){var e=c.get,f=c.set;Object.defineProperty(a,b,{configurable:!0,get:function(){return e.call(this)},set:function(a){d=\"\"+a;f.call(this,a)}});Object.defineProperty(a,b,{enumerable:c.enumerable});return{getValue:function(){return d},setValue:function(a){d=\"\"+a},stopTracking:function(){a._valueTracker=\nnull;delete a[b]}}}}function Va(a){a._valueTracker||(a._valueTracker=Ua(a))}function Wa(a){if(!a)return!1;var b=a._valueTracker;if(!b)return!0;var c=b.getValue();var d=\"\";a&&(d=Ta(a)?a.checked?\"true\":\"false\":a.value);a=d;return a!==c?(b.setValue(a),!0):!1}function Xa(a){a=a||(\"undefined\"!==typeof document?document:void 0);if(\"undefined\"===typeof a)return null;try{return a.activeElement||a.body}catch(b){return a.body}}\nfunction Ya(a,b){var c=b.checked;return A({},b,{defaultChecked:void 0,defaultValue:void 0,value:void 0,checked:null!=c?c:a._wrapperState.initialChecked})}function Za(a,b){var c=null==b.defaultValue?\"\":b.defaultValue,d=null!=b.checked?b.checked:b.defaultChecked;c=Sa(null!=b.value?b.value:c);a._wrapperState={initialChecked:d,initialValue:c,controlled:\"checkbox\"===b.type||\"radio\"===b.type?null!=b.checked:null!=b.value}}function ab(a,b){b=b.checked;null!=b&&ta(a,\"checked\",b,!1)}\nfunction bb(a,b){ab(a,b);var c=Sa(b.value),d=b.type;if(null!=c)if(\"number\"===d){if(0===c&&\"\"===a.value||a.value!=c)a.value=\"\"+c}else a.value!==\"\"+c&&(a.value=\"\"+c);else if(\"submit\"===d||\"reset\"===d){a.removeAttribute(\"value\");return}b.hasOwnProperty(\"value\")?cb(a,b.type,c):b.hasOwnProperty(\"defaultValue\")&&cb(a,b.type,Sa(b.defaultValue));null==b.checked&&null!=b.defaultChecked&&(a.defaultChecked=!!b.defaultChecked)}\nfunction db(a,b,c){if(b.hasOwnProperty(\"value\")||b.hasOwnProperty(\"defaultValue\")){var d=b.type;if(!(\"submit\"!==d&&\"reset\"!==d||void 0!==b.value&&null!==b.value))return;b=\"\"+a._wrapperState.initialValue;c||b===a.value||(a.value=b);a.defaultValue=b}c=a.name;\"\"!==c&&(a.name=\"\");a.defaultChecked=!!a._wrapperState.initialChecked;\"\"!==c&&(a.name=c)}\nfunction cb(a,b,c){if(\"number\"!==b||Xa(a.ownerDocument)!==a)null==c?a.defaultValue=\"\"+a._wrapperState.initialValue:a.defaultValue!==\"\"+c&&(a.defaultValue=\"\"+c)}var eb=Array.isArray;\nfunction fb(a,b,c,d){a=a.options;if(b){b={};for(var e=0;e<c.length;e++)b[\"$\"+c[e]]=!0;for(c=0;c<a.length;c++)e=b.hasOwnProperty(\"$\"+a[c].value),a[c].selected!==e&&(a[c].selected=e),e&&d&&(a[c].defaultSelected=!0)}else{c=\"\"+Sa(c);b=null;for(e=0;e<a.length;e++){if(a[e].value===c){a[e].selected=!0;d&&(a[e].defaultSelected=!0);return}null!==b||a[e].disabled||(b=a[e])}null!==b&&(b.selected=!0)}}\nfunction gb(a,b){if(null!=b.dangerouslySetInnerHTML)throw Error(p(91));return A({},b,{value:void 0,defaultValue:void 0,children:\"\"+a._wrapperState.initialValue})}function hb(a,b){var c=b.value;if(null==c){c=b.children;b=b.defaultValue;if(null!=c){if(null!=b)throw Error(p(92));if(eb(c)){if(1<c.length)throw Error(p(93));c=c[0]}b=c}null==b&&(b=\"\");c=b}a._wrapperState={initialValue:Sa(c)}}\nfunction ib(a,b){var c=Sa(b.value),d=Sa(b.defaultValue);null!=c&&(c=\"\"+c,c!==a.value&&(a.value=c),null==b.defaultValue&&a.defaultValue!==c&&(a.defaultValue=c));null!=d&&(a.defaultValue=\"\"+d)}function jb(a){var b=a.textContent;b===a._wrapperState.initialValue&&\"\"!==b&&null!==b&&(a.value=b)}function kb(a){switch(a){case \"svg\":return\"http://www.w3.org/2000/svg\";case \"math\":return\"http://www.w3.org/1998/Math/MathML\";default:return\"http://www.w3.org/1999/xhtml\"}}\nfunction lb(a,b){return null==a||\"http://www.w3.org/1999/xhtml\"===a?kb(b):\"http://www.w3.org/2000/svg\"===a&&\"foreignObject\"===b?\"http://www.w3.org/1999/xhtml\":a}\nvar mb,nb=function(a){return\"undefined\"!==typeof MSApp&&MSApp.execUnsafeLocalFunction?function(b,c,d,e){MSApp.execUnsafeLocalFunction(function(){return a(b,c,d,e)})}:a}(function(a,b){if(\"http://www.w3.org/2000/svg\"!==a.namespaceURI||\"innerHTML\"in a)a.innerHTML=b;else{mb=mb||document.createElement(\"div\");mb.innerHTML=\"<svg>\"+b.valueOf().toString()+\"</svg>\";for(b=mb.firstChild;a.firstChild;)a.removeChild(a.firstChild);for(;b.firstChild;)a.appendChild(b.firstChild)}});\nfunction ob(a,b){if(b){var c=a.firstChild;if(c&&c===a.lastChild&&3===c.nodeType){c.nodeValue=b;return}}a.textContent=b}\nvar pb={animationIterationCount:!0,aspectRatio:!0,borderImageOutset:!0,borderImageSlice:!0,borderImageWidth:!0,boxFlex:!0,boxFlexGroup:!0,boxOrdinalGroup:!0,columnCount:!0,columns:!0,flex:!0,flexGrow:!0,flexPositive:!0,flexShrink:!0,flexNegative:!0,flexOrder:!0,gridArea:!0,gridRow:!0,gridRowEnd:!0,gridRowSpan:!0,gridRowStart:!0,gridColumn:!0,gridColumnEnd:!0,gridColumnSpan:!0,gridColumnStart:!0,fontWeight:!0,lineClamp:!0,lineHeight:!0,opacity:!0,order:!0,orphans:!0,tabSize:!0,widows:!0,zIndex:!0,\nzoom:!0,fillOpacity:!0,floodOpacity:!0,stopOpacity:!0,strokeDasharray:!0,strokeDashoffset:!0,strokeMiterlimit:!0,strokeOpacity:!0,strokeWidth:!0},qb=[\"Webkit\",\"ms\",\"Moz\",\"O\"];Object.keys(pb).forEach(function(a){qb.forEach(function(b){b=b+a.charAt(0).toUpperCase()+a.substring(1);pb[b]=pb[a]})});function rb(a,b,c){return null==b||\"boolean\"===typeof b||\"\"===b?\"\":c||\"number\"!==typeof b||0===b||pb.hasOwnProperty(a)&&pb[a]?(\"\"+b).trim():b+\"px\"}\nfunction sb(a,b){a=a.style;for(var c in b)if(b.hasOwnProperty(c)){var d=0===c.indexOf(\"--\"),e=rb(c,b[c],d);\"float\"===c&&(c=\"cssFloat\");d?a.setProperty(c,e):a[c]=e}}var tb=A({menuitem:!0},{area:!0,base:!0,br:!0,col:!0,embed:!0,hr:!0,img:!0,input:!0,keygen:!0,link:!0,meta:!0,param:!0,source:!0,track:!0,wbr:!0});\nfunction ub(a,b){if(b){if(tb[a]&&(null!=b.children||null!=b.dangerouslySetInnerHTML))throw Error(p(137,a));if(null!=b.dangerouslySetInnerHTML){if(null!=b.children)throw Error(p(60));if(\"object\"!==typeof b.dangerouslySetInnerHTML||!(\"__html\"in b.dangerouslySetInnerHTML))throw Error(p(61));}if(null!=b.style&&\"object\"!==typeof b.style)throw Error(p(62));}}\nfunction vb(a,b){if(-1===a.indexOf(\"-\"))return\"string\"===typeof b.is;switch(a){case \"annotation-xml\":case \"color-profile\":case \"font-face\":case \"font-face-src\":case \"font-face-uri\":case \"font-face-format\":case \"font-face-name\":case \"missing-glyph\":return!1;default:return!0}}var wb=null;function xb(a){a=a.target||a.srcElement||window;a.correspondingUseElement&&(a=a.correspondingUseElement);return 3===a.nodeType?a.parentNode:a}var yb=null,zb=null,Ab=null;\nfunction Bb(a){if(a=Cb(a)){if(\"function\"!==typeof yb)throw Error(p(280));var b=a.stateNode;b&&(b=Db(b),yb(a.stateNode,a.type,b))}}function Eb(a){zb?Ab?Ab.push(a):Ab=[a]:zb=a}function Fb(){if(zb){var a=zb,b=Ab;Ab=zb=null;Bb(a);if(b)for(a=0;a<b.length;a++)Bb(b[a])}}function Gb(a,b){return a(b)}function Hb(){}var Ib=!1;function Jb(a,b,c){if(Ib)return a(b,c);Ib=!0;try{return Gb(a,b,c)}finally{if(Ib=!1,null!==zb||null!==Ab)Hb(),Fb()}}\nfunction Kb(a,b){var c=a.stateNode;if(null===c)return null;var d=Db(c);if(null===d)return null;c=d[b];a:switch(b){case \"onClick\":case \"onClickCapture\":case \"onDoubleClick\":case \"onDoubleClickCapture\":case \"onMouseDown\":case \"onMouseDownCapture\":case \"onMouseMove\":case \"onMouseMoveCapture\":case \"onMouseUp\":case \"onMouseUpCapture\":case \"onMouseEnter\":(d=!d.disabled)||(a=a.type,d=!(\"button\"===a||\"input\"===a||\"select\"===a||\"textarea\"===a));a=!d;break a;default:a=!1}if(a)return null;if(c&&\"function\"!==\ntypeof c)throw Error(p(231,b,typeof c));return c}var Lb=!1;if(ia)try{var Mb={};Object.defineProperty(Mb,\"passive\",{get:function(){Lb=!0}});window.addEventListener(\"test\",Mb,Mb);window.removeEventListener(\"test\",Mb,Mb)}catch(a){Lb=!1}function Nb(a,b,c,d,e,f,g,h,k){var l=Array.prototype.slice.call(arguments,3);try{b.apply(c,l)}catch(m){this.onError(m)}}var Ob=!1,Pb=null,Qb=!1,Rb=null,Sb={onError:function(a){Ob=!0;Pb=a}};function Tb(a,b,c,d,e,f,g,h,k){Ob=!1;Pb=null;Nb.apply(Sb,arguments)}\nfunction Ub(a,b,c,d,e,f,g,h,k){Tb.apply(this,arguments);if(Ob){if(Ob){var l=Pb;Ob=!1;Pb=null}else throw Error(p(198));Qb||(Qb=!0,Rb=l)}}function Vb(a){var b=a,c=a;if(a.alternate)for(;b.return;)b=b.return;else{a=b;do b=a,0!==(b.flags&4098)&&(c=b.return),a=b.return;while(a)}return 3===b.tag?c:null}function Wb(a){if(13===a.tag){var b=a.memoizedState;null===b&&(a=a.alternate,null!==a&&(b=a.memoizedState));if(null!==b)return b.dehydrated}return null}function Xb(a){if(Vb(a)!==a)throw Error(p(188));}\nfunction Yb(a){var b=a.alternate;if(!b){b=Vb(a);if(null===b)throw Error(p(188));return b!==a?null:a}for(var c=a,d=b;;){var e=c.return;if(null===e)break;var f=e.alternate;if(null===f){d=e.return;if(null!==d){c=d;continue}break}if(e.child===f.child){for(f=e.child;f;){if(f===c)return Xb(e),a;if(f===d)return Xb(e),b;f=f.sibling}throw Error(p(188));}if(c.return!==d.return)c=e,d=f;else{for(var g=!1,h=e.child;h;){if(h===c){g=!0;c=e;d=f;break}if(h===d){g=!0;d=e;c=f;break}h=h.sibling}if(!g){for(h=f.child;h;){if(h===\nc){g=!0;c=f;d=e;break}if(h===d){g=!0;d=f;c=e;break}h=h.sibling}if(!g)throw Error(p(189));}}if(c.alternate!==d)throw Error(p(190));}if(3!==c.tag)throw Error(p(188));return c.stateNode.current===c?a:b}function Zb(a){a=Yb(a);return null!==a?$b(a):null}function $b(a){if(5===a.tag||6===a.tag)return a;for(a=a.child;null!==a;){var b=$b(a);if(null!==b)return b;a=a.sibling}return null}\nvar ac=ca.unstable_scheduleCallback,bc=ca.unstable_cancelCallback,cc=ca.unstable_shouldYield,dc=ca.unstable_requestPaint,B=ca.unstable_now,ec=ca.unstable_getCurrentPriorityLevel,fc=ca.unstable_ImmediatePriority,gc=ca.unstable_UserBlockingPriority,hc=ca.unstable_NormalPriority,ic=ca.unstable_LowPriority,jc=ca.unstable_IdlePriority,kc=null,lc=null;function mc(a){if(lc&&\"function\"===typeof lc.onCommitFiberRoot)try{lc.onCommitFiberRoot(kc,a,void 0,128===(a.current.flags&128))}catch(b){}}\nvar oc=Math.clz32?Math.clz32:nc,pc=Math.log,qc=Math.LN2;function nc(a){a>>>=0;return 0===a?32:31-(pc(a)/qc|0)|0}var rc=64,sc=4194304;\nfunction tc(a){switch(a&-a){case 1:return 1;case 2:return 2;case 4:return 4;case 8:return 8;case 16:return 16;case 32:return 32;case 64:case 128:case 256:case 512:case 1024:case 2048:case 4096:case 8192:case 16384:case 32768:case 65536:case 131072:case 262144:case 524288:case 1048576:case 2097152:return a&4194240;case 4194304:case 8388608:case 16777216:case 33554432:case 67108864:return a&130023424;case 134217728:return 134217728;case 268435456:return 268435456;case 536870912:return 536870912;case 1073741824:return 1073741824;\ndefault:return a}}function uc(a,b){var c=a.pendingLanes;if(0===c)return 0;var d=0,e=a.suspendedLanes,f=a.pingedLanes,g=c&268435455;if(0!==g){var h=g&~e;0!==h?d=tc(h):(f&=g,0!==f&&(d=tc(f)))}else g=c&~e,0!==g?d=tc(g):0!==f&&(d=tc(f));if(0===d)return 0;if(0!==b&&b!==d&&0===(b&e)&&(e=d&-d,f=b&-b,e>=f||16===e&&0!==(f&4194240)))return b;0!==(d&4)&&(d|=c&16);b=a.entangledLanes;if(0!==b)for(a=a.entanglements,b&=d;0<b;)c=31-oc(b),e=1<<c,d|=a[c],b&=~e;return d}\nfunction vc(a,b){switch(a){case 1:case 2:case 4:return b+250;case 8:case 16:case 32:case 64:case 128:case 256:case 512:case 1024:case 2048:case 4096:case 8192:case 16384:case 32768:case 65536:case 131072:case 262144:case 524288:case 1048576:case 2097152:return b+5E3;case 4194304:case 8388608:case 16777216:case 33554432:case 67108864:return-1;case 134217728:case 268435456:case 536870912:case 1073741824:return-1;default:return-1}}\nfunction wc(a,b){for(var c=a.suspendedLanes,d=a.pingedLanes,e=a.expirationTimes,f=a.pendingLanes;0<f;){var g=31-oc(f),h=1<<g,k=e[g];if(-1===k){if(0===(h&c)||0!==(h&d))e[g]=vc(h,b)}else k<=b&&(a.expiredLanes|=h);f&=~h}}function xc(a){a=a.pendingLanes&-1073741825;return 0!==a?a:a&1073741824?1073741824:0}function yc(){var a=rc;rc<<=1;0===(rc&4194240)&&(rc=64);return a}function zc(a){for(var b=[],c=0;31>c;c++)b.push(a);return b}\nfunction Ac(a,b,c){a.pendingLanes|=b;536870912!==b&&(a.suspendedLanes=0,a.pingedLanes=0);a=a.eventTimes;b=31-oc(b);a[b]=c}function Bc(a,b){var c=a.pendingLanes&~b;a.pendingLanes=b;a.suspendedLanes=0;a.pingedLanes=0;a.expiredLanes&=b;a.mutableReadLanes&=b;a.entangledLanes&=b;b=a.entanglements;var d=a.eventTimes;for(a=a.expirationTimes;0<c;){var e=31-oc(c),f=1<<e;b[e]=0;d[e]=-1;a[e]=-1;c&=~f}}\nfunction Cc(a,b){var c=a.entangledLanes|=b;for(a=a.entanglements;c;){var d=31-oc(c),e=1<<d;e&b|a[d]&b&&(a[d]|=b);c&=~e}}var C=0;function Dc(a){a&=-a;return 1<a?4<a?0!==(a&268435455)?16:536870912:4:1}var Ec,Fc,Gc,Hc,Ic,Jc=!1,Kc=[],Lc=null,Mc=null,Nc=null,Oc=new Map,Pc=new Map,Qc=[],Rc=\"mousedown mouseup touchcancel touchend touchstart auxclick dblclick pointercancel pointerdown pointerup dragend dragstart drop compositionend compositionstart keydown keypress keyup input textInput copy cut paste click change contextmenu reset submit\".split(\" \");\nfunction Sc(a,b){switch(a){case \"focusin\":case \"focusout\":Lc=null;break;case \"dragenter\":case \"dragleave\":Mc=null;break;case \"mouseover\":case \"mouseout\":Nc=null;break;case \"pointerover\":case \"pointerout\":Oc.delete(b.pointerId);break;case \"gotpointercapture\":case \"lostpointercapture\":Pc.delete(b.pointerId)}}\nfunction Tc(a,b,c,d,e,f){if(null===a||a.nativeEvent!==f)return a={blockedOn:b,domEventName:c,eventSystemFlags:d,nativeEvent:f,targetContainers:[e]},null!==b&&(b=Cb(b),null!==b&&Fc(b)),a;a.eventSystemFlags|=d;b=a.targetContainers;null!==e&&-1===b.indexOf(e)&&b.push(e);return a}\nfunction Uc(a,b,c,d,e){switch(b){case \"focusin\":return Lc=Tc(Lc,a,b,c,d,e),!0;case \"dragenter\":return Mc=Tc(Mc,a,b,c,d,e),!0;case \"mouseover\":return Nc=Tc(Nc,a,b,c,d,e),!0;case \"pointerover\":var f=e.pointerId;Oc.set(f,Tc(Oc.get(f)||null,a,b,c,d,e));return!0;case \"gotpointercapture\":return f=e.pointerId,Pc.set(f,Tc(Pc.get(f)||null,a,b,c,d,e)),!0}return!1}\nfunction Vc(a){var b=Wc(a.target);if(null!==b){var c=Vb(b);if(null!==c)if(b=c.tag,13===b){if(b=Wb(c),null!==b){a.blockedOn=b;Ic(a.priority,function(){Gc(c)});return}}else if(3===b&&c.stateNode.current.memoizedState.isDehydrated){a.blockedOn=3===c.tag?c.stateNode.containerInfo:null;return}}a.blockedOn=null}\nfunction Xc(a){if(null!==a.blockedOn)return!1;for(var b=a.targetContainers;0<b.length;){var c=Yc(a.domEventName,a.eventSystemFlags,b[0],a.nativeEvent);if(null===c){c=a.nativeEvent;var d=new c.constructor(c.type,c);wb=d;c.target.dispatchEvent(d);wb=null}else return b=Cb(c),null!==b&&Fc(b),a.blockedOn=c,!1;b.shift()}return!0}function Zc(a,b,c){Xc(a)&&c.delete(b)}function $c(){Jc=!1;null!==Lc&&Xc(Lc)&&(Lc=null);null!==Mc&&Xc(Mc)&&(Mc=null);null!==Nc&&Xc(Nc)&&(Nc=null);Oc.forEach(Zc);Pc.forEach(Zc)}\nfunction ad(a,b){a.blockedOn===b&&(a.blockedOn=null,Jc||(Jc=!0,ca.unstable_scheduleCallback(ca.unstable_NormalPriority,$c)))}\nfunction bd(a){function b(b){return ad(b,a)}if(0<Kc.length){ad(Kc[0],a);for(var c=1;c<Kc.length;c++){var d=Kc[c];d.blockedOn===a&&(d.blockedOn=null)}}null!==Lc&&ad(Lc,a);null!==Mc&&ad(Mc,a);null!==Nc&&ad(Nc,a);Oc.forEach(b);Pc.forEach(b);for(c=0;c<Qc.length;c++)d=Qc[c],d.blockedOn===a&&(d.blockedOn=null);for(;0<Qc.length&&(c=Qc[0],null===c.blockedOn);)Vc(c),null===c.blockedOn&&Qc.shift()}var cd=ua.ReactCurrentBatchConfig,dd=!0;\nfunction ed(a,b,c,d){var e=C,f=cd.transition;cd.transition=null;try{C=1,fd(a,b,c,d)}finally{C=e,cd.transition=f}}function gd(a,b,c,d){var e=C,f=cd.transition;cd.transition=null;try{C=4,fd(a,b,c,d)}finally{C=e,cd.transition=f}}\nfunction fd(a,b,c,d){if(dd){var e=Yc(a,b,c,d);if(null===e)hd(a,b,d,id,c),Sc(a,d);else if(Uc(e,a,b,c,d))d.stopPropagation();else if(Sc(a,d),b&4&&-1<Rc.indexOf(a)){for(;null!==e;){var f=Cb(e);null!==f&&Ec(f);f=Yc(a,b,c,d);null===f&&hd(a,b,d,id,c);if(f===e)break;e=f}null!==e&&d.stopPropagation()}else hd(a,b,d,null,c)}}var id=null;\nfunction Yc(a,b,c,d){id=null;a=xb(d);a=Wc(a);if(null!==a)if(b=Vb(a),null===b)a=null;else if(c=b.tag,13===c){a=Wb(b);if(null!==a)return a;a=null}else if(3===c){if(b.stateNode.current.memoizedState.isDehydrated)return 3===b.tag?b.stateNode.containerInfo:null;a=null}else b!==a&&(a=null);id=a;return null}\nfunction jd(a){switch(a){case \"cancel\":case \"click\":case \"close\":case \"contextmenu\":case \"copy\":case \"cut\":case \"auxclick\":case \"dblclick\":case \"dragend\":case \"dragstart\":case \"drop\":case \"focusin\":case \"focusout\":case \"input\":case \"invalid\":case \"keydown\":case \"keypress\":case \"keyup\":case \"mousedown\":case \"mouseup\":case \"paste\":case \"pause\":case \"play\":case \"pointercancel\":case \"pointerdown\":case \"pointerup\":case \"ratechange\":case \"reset\":case \"resize\":case \"seeked\":case \"submit\":case \"touchcancel\":case \"touchend\":case \"touchstart\":case \"volumechange\":case \"change\":case \"selectionchange\":case \"textInput\":case \"compositionstart\":case \"compositionend\":case \"compositionupdate\":case \"beforeblur\":case \"afterblur\":case \"beforeinput\":case \"blur\":case \"fullscreenchange\":case \"focus\":case \"hashchange\":case \"popstate\":case \"select\":case \"selectstart\":return 1;case \"drag\":case \"dragenter\":case \"dragexit\":case \"dragleave\":case \"dragover\":case \"mousemove\":case \"mouseout\":case \"mouseover\":case \"pointermove\":case \"pointerout\":case \"pointerover\":case \"scroll\":case \"toggle\":case \"touchmove\":case \"wheel\":case \"mouseenter\":case \"mouseleave\":case \"pointerenter\":case \"pointerleave\":return 4;\ncase \"message\":switch(ec()){case fc:return 1;case gc:return 4;case hc:case ic:return 16;case jc:return 536870912;default:return 16}default:return 16}}var kd=null,ld=null,md=null;function nd(){if(md)return md;var a,b=ld,c=b.length,d,e=\"value\"in kd?kd.value:kd.textContent,f=e.length;for(a=0;a<c&&b[a]===e[a];a++);var g=c-a;for(d=1;d<=g&&b[c-d]===e[f-d];d++);return md=e.slice(a,1<d?1-d:void 0)}\nfunction od(a){var b=a.keyCode;\"charCode\"in a?(a=a.charCode,0===a&&13===b&&(a=13)):a=b;10===a&&(a=13);return 32<=a||13===a?a:0}function pd(){return!0}function qd(){return!1}\nfunction rd(a){function b(b,d,e,f,g){this._reactName=b;this._targetInst=e;this.type=d;this.nativeEvent=f;this.target=g;this.currentTarget=null;for(var c in a)a.hasOwnProperty(c)&&(b=a[c],this[c]=b?b(f):f[c]);this.isDefaultPrevented=(null!=f.defaultPrevented?f.defaultPrevented:!1===f.returnValue)?pd:qd;this.isPropagationStopped=qd;return this}A(b.prototype,{preventDefault:function(){this.defaultPrevented=!0;var a=this.nativeEvent;a&&(a.preventDefault?a.preventDefault():\"unknown\"!==typeof a.returnValue&&\n(a.returnValue=!1),this.isDefaultPrevented=pd)},stopPropagation:function(){var a=this.nativeEvent;a&&(a.stopPropagation?a.stopPropagation():\"unknown\"!==typeof a.cancelBubble&&(a.cancelBubble=!0),this.isPropagationStopped=pd)},persist:function(){},isPersistent:pd});return b}\nvar sd={eventPhase:0,bubbles:0,cancelable:0,timeStamp:function(a){return a.timeStamp||Date.now()},defaultPrevented:0,isTrusted:0},td=rd(sd),ud=A({},sd,{view:0,detail:0}),vd=rd(ud),wd,xd,yd,Ad=A({},ud,{screenX:0,screenY:0,clientX:0,clientY:0,pageX:0,pageY:0,ctrlKey:0,shiftKey:0,altKey:0,metaKey:0,getModifierState:zd,button:0,buttons:0,relatedTarget:function(a){return void 0===a.relatedTarget?a.fromElement===a.srcElement?a.toElement:a.fromElement:a.relatedTarget},movementX:function(a){if(\"movementX\"in\na)return a.movementX;a!==yd&&(yd&&\"mousemove\"===a.type?(wd=a.screenX-yd.screenX,xd=a.screenY-yd.screenY):xd=wd=0,yd=a);return wd},movementY:function(a){return\"movementY\"in a?a.movementY:xd}}),Bd=rd(Ad),Cd=A({},Ad,{dataTransfer:0}),Dd=rd(Cd),Ed=A({},ud,{relatedTarget:0}),Fd=rd(Ed),Gd=A({},sd,{animationName:0,elapsedTime:0,pseudoElement:0}),Hd=rd(Gd),Id=A({},sd,{clipboardData:function(a){return\"clipboardData\"in a?a.clipboardData:window.clipboardData}}),Jd=rd(Id),Kd=A({},sd,{data:0}),Ld=rd(Kd),Md={Esc:\"Escape\",\nSpacebar:\" \",Left:\"ArrowLeft\",Up:\"ArrowUp\",Right:\"ArrowRight\",Down:\"ArrowDown\",Del:\"Delete\",Win:\"OS\",Menu:\"ContextMenu\",Apps:\"ContextMenu\",Scroll:\"ScrollLock\",MozPrintableKey:\"Unidentified\"},Nd={8:\"Backspace\",9:\"Tab\",12:\"Clear\",13:\"Enter\",16:\"Shift\",17:\"Control\",18:\"Alt\",19:\"Pause\",20:\"CapsLock\",27:\"Escape\",32:\" \",33:\"PageUp\",34:\"PageDown\",35:\"End\",36:\"Home\",37:\"ArrowLeft\",38:\"ArrowUp\",39:\"ArrowRight\",40:\"ArrowDown\",45:\"Insert\",46:\"Delete\",112:\"F1\",113:\"F2\",114:\"F3\",115:\"F4\",116:\"F5\",117:\"F6\",118:\"F7\",\n119:\"F8\",120:\"F9\",121:\"F10\",122:\"F11\",123:\"F12\",144:\"NumLock\",145:\"ScrollLock\",224:\"Meta\"},Od={Alt:\"altKey\",Control:\"ctrlKey\",Meta:\"metaKey\",Shift:\"shiftKey\"};function Pd(a){var b=this.nativeEvent;return b.getModifierState?b.getModifierState(a):(a=Od[a])?!!b[a]:!1}function zd(){return Pd}\nvar Qd=A({},ud,{key:function(a){if(a.key){var b=Md[a.key]||a.key;if(\"Unidentified\"!==b)return b}return\"keypress\"===a.type?(a=od(a),13===a?\"Enter\":String.fromCharCode(a)):\"keydown\"===a.type||\"keyup\"===a.type?Nd[a.keyCode]||\"Unidentified\":\"\"},code:0,location:0,ctrlKey:0,shiftKey:0,altKey:0,metaKey:0,repeat:0,locale:0,getModifierState:zd,charCode:function(a){return\"keypress\"===a.type?od(a):0},keyCode:function(a){return\"keydown\"===a.type||\"keyup\"===a.type?a.keyCode:0},which:function(a){return\"keypress\"===\na.type?od(a):\"keydown\"===a.type||\"keyup\"===a.type?a.keyCode:0}}),Rd=rd(Qd),Sd=A({},Ad,{pointerId:0,width:0,height:0,pressure:0,tangentialPressure:0,tiltX:0,tiltY:0,twist:0,pointerType:0,isPrimary:0}),Td=rd(Sd),Ud=A({},ud,{touches:0,targetTouches:0,changedTouches:0,altKey:0,metaKey:0,ctrlKey:0,shiftKey:0,getModifierState:zd}),Vd=rd(Ud),Wd=A({},sd,{propertyName:0,elapsedTime:0,pseudoElement:0}),Xd=rd(Wd),Yd=A({},Ad,{deltaX:function(a){return\"deltaX\"in a?a.deltaX:\"wheelDeltaX\"in a?-a.wheelDeltaX:0},\ndeltaY:function(a){return\"deltaY\"in a?a.deltaY:\"wheelDeltaY\"in a?-a.wheelDeltaY:\"wheelDelta\"in a?-a.wheelDelta:0},deltaZ:0,deltaMode:0}),Zd=rd(Yd),$d=[9,13,27,32],ae=ia&&\"CompositionEvent\"in window,be=null;ia&&\"documentMode\"in document&&(be=document.documentMode);var ce=ia&&\"TextEvent\"in window&&!be,de=ia&&(!ae||be&&8<be&&11>=be),ee=String.fromCharCode(32),fe=!1;\nfunction ge(a,b){switch(a){case \"keyup\":return-1!==$d.indexOf(b.keyCode);case \"keydown\":return 229!==b.keyCode;case \"keypress\":case \"mousedown\":case \"focusout\":return!0;default:return!1}}function he(a){a=a.detail;return\"object\"===typeof a&&\"data\"in a?a.data:null}var ie=!1;function je(a,b){switch(a){case \"compositionend\":return he(b);case \"keypress\":if(32!==b.which)return null;fe=!0;return ee;case \"textInput\":return a=b.data,a===ee&&fe?null:a;default:return null}}\nfunction ke(a,b){if(ie)return\"compositionend\"===a||!ae&&ge(a,b)?(a=nd(),md=ld=kd=null,ie=!1,a):null;switch(a){case \"paste\":return null;case \"keypress\":if(!(b.ctrlKey||b.altKey||b.metaKey)||b.ctrlKey&&b.altKey){if(b.char&&1<b.char.length)return b.char;if(b.which)return String.fromCharCode(b.which)}return null;case \"compositionend\":return de&&\"ko\"!==b.locale?null:b.data;default:return null}}\nvar le={color:!0,date:!0,datetime:!0,\"datetime-local\":!0,email:!0,month:!0,number:!0,password:!0,range:!0,search:!0,tel:!0,text:!0,time:!0,url:!0,week:!0};function me(a){var b=a&&a.nodeName&&a.nodeName.toLowerCase();return\"input\"===b?!!le[a.type]:\"textarea\"===b?!0:!1}function ne(a,b,c,d){Eb(d);b=oe(b,\"onChange\");0<b.length&&(c=new td(\"onChange\",\"change\",null,c,d),a.push({event:c,listeners:b}))}var pe=null,qe=null;function re(a){se(a,0)}function te(a){var b=ue(a);if(Wa(b))return a}\nfunction ve(a,b){if(\"change\"===a)return b}var we=!1;if(ia){var xe;if(ia){var ye=\"oninput\"in document;if(!ye){var ze=document.createElement(\"div\");ze.setAttribute(\"oninput\",\"return;\");ye=\"function\"===typeof ze.oninput}xe=ye}else xe=!1;we=xe&&(!document.documentMode||9<document.documentMode)}function Ae(){pe&&(pe.detachEvent(\"onpropertychange\",Be),qe=pe=null)}function Be(a){if(\"value\"===a.propertyName&&te(qe)){var b=[];ne(b,qe,a,xb(a));Jb(re,b)}}\nfunction Ce(a,b,c){\"focusin\"===a?(Ae(),pe=b,qe=c,pe.attachEvent(\"onpropertychange\",Be)):\"focusout\"===a&&Ae()}function De(a){if(\"selectionchange\"===a||\"keyup\"===a||\"keydown\"===a)return te(qe)}function Ee(a,b){if(\"click\"===a)return te(b)}function Fe(a,b){if(\"input\"===a||\"change\"===a)return te(b)}function Ge(a,b){return a===b&&(0!==a||1/a===1/b)||a!==a&&b!==b}var He=\"function\"===typeof Object.is?Object.is:Ge;\nfunction Ie(a,b){if(He(a,b))return!0;if(\"object\"!==typeof a||null===a||\"object\"!==typeof b||null===b)return!1;var c=Object.keys(a),d=Object.keys(b);if(c.length!==d.length)return!1;for(d=0;d<c.length;d++){var e=c[d];if(!ja.call(b,e)||!He(a[e],b[e]))return!1}return!0}function Je(a){for(;a&&a.firstChild;)a=a.firstChild;return a}\nfunction Ke(a,b){var c=Je(a);a=0;for(var d;c;){if(3===c.nodeType){d=a+c.textContent.length;if(a<=b&&d>=b)return{node:c,offset:b-a};a=d}a:{for(;c;){if(c.nextSibling){c=c.nextSibling;break a}c=c.parentNode}c=void 0}c=Je(c)}}function Le(a,b){return a&&b?a===b?!0:a&&3===a.nodeType?!1:b&&3===b.nodeType?Le(a,b.parentNode):\"contains\"in a?a.contains(b):a.compareDocumentPosition?!!(a.compareDocumentPosition(b)&16):!1:!1}\nfunction Me(){for(var a=window,b=Xa();b instanceof a.HTMLIFrameElement;){try{var c=\"string\"===typeof b.contentWindow.location.href}catch(d){c=!1}if(c)a=b.contentWindow;else break;b=Xa(a.document)}return b}function Ne(a){var b=a&&a.nodeName&&a.nodeName.toLowerCase();return b&&(\"input\"===b&&(\"text\"===a.type||\"search\"===a.type||\"tel\"===a.type||\"url\"===a.type||\"password\"===a.type)||\"textarea\"===b||\"true\"===a.contentEditable)}\nfunction Oe(a){var b=Me(),c=a.focusedElem,d=a.selectionRange;if(b!==c&&c&&c.ownerDocument&&Le(c.ownerDocument.documentElement,c)){if(null!==d&&Ne(c))if(b=d.start,a=d.end,void 0===a&&(a=b),\"selectionStart\"in c)c.selectionStart=b,c.selectionEnd=Math.min(a,c.value.length);else if(a=(b=c.ownerDocument||document)&&b.defaultView||window,a.getSelection){a=a.getSelection();var e=c.textContent.length,f=Math.min(d.start,e);d=void 0===d.end?f:Math.min(d.end,e);!a.extend&&f>d&&(e=d,d=f,f=e);e=Ke(c,f);var g=Ke(c,\nd);e&&g&&(1!==a.rangeCount||a.anchorNode!==e.node||a.anchorOffset!==e.offset||a.focusNode!==g.node||a.focusOffset!==g.offset)&&(b=b.createRange(),b.setStart(e.node,e.offset),a.removeAllRanges(),f>d?(a.addRange(b),a.extend(g.node,g.offset)):(b.setEnd(g.node,g.offset),a.addRange(b)))}b=[];for(a=c;a=a.parentNode;)1===a.nodeType&&b.push({element:a,left:a.scrollLeft,top:a.scrollTop});\"function\"===typeof c.focus&&c.focus();for(c=0;c<b.length;c++)a=b[c],a.element.scrollLeft=a.left,a.element.scrollTop=a.top}}\nvar Pe=ia&&\"documentMode\"in document&&11>=document.documentMode,Qe=null,Re=null,Se=null,Te=!1;\nfunction Ue(a,b,c){var d=c.window===c?c.document:9===c.nodeType?c:c.ownerDocument;Te||null==Qe||Qe!==Xa(d)||(d=Qe,\"selectionStart\"in d&&Ne(d)?d={start:d.selectionStart,end:d.selectionEnd}:(d=(d.ownerDocument&&d.ownerDocument.defaultView||window).getSelection(),d={anchorNode:d.anchorNode,anchorOffset:d.anchorOffset,focusNode:d.focusNode,focusOffset:d.focusOffset}),Se&&Ie(Se,d)||(Se=d,d=oe(Re,\"onSelect\"),0<d.length&&(b=new td(\"onSelect\",\"select\",null,b,c),a.push({event:b,listeners:d}),b.target=Qe)))}\nfunction Ve(a,b){var c={};c[a.toLowerCase()]=b.toLowerCase();c[\"Webkit\"+a]=\"webkit\"+b;c[\"Moz\"+a]=\"moz\"+b;return c}var We={animationend:Ve(\"Animation\",\"AnimationEnd\"),animationiteration:Ve(\"Animation\",\"AnimationIteration\"),animationstart:Ve(\"Animation\",\"AnimationStart\"),transitionend:Ve(\"Transition\",\"TransitionEnd\")},Xe={},Ye={};\nia&&(Ye=document.createElement(\"div\").style,\"AnimationEvent\"in window||(delete We.animationend.animation,delete We.animationiteration.animation,delete We.animationstart.animation),\"TransitionEvent\"in window||delete We.transitionend.transition);function Ze(a){if(Xe[a])return Xe[a];if(!We[a])return a;var b=We[a],c;for(c in b)if(b.hasOwnProperty(c)&&c in Ye)return Xe[a]=b[c];return a}var $e=Ze(\"animationend\"),af=Ze(\"animationiteration\"),bf=Ze(\"animationstart\"),cf=Ze(\"transitionend\"),df=new Map,ef=\"abort auxClick cancel canPlay canPlayThrough click close contextMenu copy cut drag dragEnd dragEnter dragExit dragLeave dragOver dragStart drop durationChange emptied encrypted ended error gotPointerCapture input invalid keyDown keyPress keyUp load loadedData loadedMetadata loadStart lostPointerCapture mouseDown mouseMove mouseOut mouseOver mouseUp paste pause play playing pointerCancel pointerDown pointerMove pointerOut pointerOver pointerUp progress rateChange reset resize seeked seeking stalled submit suspend timeUpdate touchCancel touchEnd touchStart volumeChange scroll toggle touchMove waiting wheel\".split(\" \");\nfunction ff(a,b){df.set(a,b);fa(b,[a])}for(var gf=0;gf<ef.length;gf++){var hf=ef[gf],jf=hf.toLowerCase(),kf=hf[0].toUpperCase()+hf.slice(1);ff(jf,\"on\"+kf)}ff($e,\"onAnimationEnd\");ff(af,\"onAnimationIteration\");ff(bf,\"onAnimationStart\");ff(\"dblclick\",\"onDoubleClick\");ff(\"focusin\",\"onFocus\");ff(\"focusout\",\"onBlur\");ff(cf,\"onTransitionEnd\");ha(\"onMouseEnter\",[\"mouseout\",\"mouseover\"]);ha(\"onMouseLeave\",[\"mouseout\",\"mouseover\"]);ha(\"onPointerEnter\",[\"pointerout\",\"pointerover\"]);\nha(\"onPointerLeave\",[\"pointerout\",\"pointerover\"]);fa(\"onChange\",\"change click focusin focusout input keydown keyup selectionchange\".split(\" \"));fa(\"onSelect\",\"focusout contextmenu dragend focusin keydown keyup mousedown mouseup selectionchange\".split(\" \"));fa(\"onBeforeInput\",[\"compositionend\",\"keypress\",\"textInput\",\"paste\"]);fa(\"onCompositionEnd\",\"compositionend focusout keydown keypress keyup mousedown\".split(\" \"));fa(\"onCompositionStart\",\"compositionstart focusout keydown keypress keyup mousedown\".split(\" \"));\nfa(\"onCompositionUpdate\",\"compositionupdate focusout keydown keypress keyup mousedown\".split(\" \"));var lf=\"abort canplay canplaythrough durationchange emptied encrypted ended error loadeddata loadedmetadata loadstart pause play playing progress ratechange resize seeked seeking stalled suspend timeupdate volumechange waiting\".split(\" \"),mf=new Set(\"cancel close invalid load scroll toggle\".split(\" \").concat(lf));\nfunction nf(a,b,c){var d=a.type||\"unknown-event\";a.currentTarget=c;Ub(d,b,void 0,a);a.currentTarget=null}\nfunction se(a,b){b=0!==(b&4);for(var c=0;c<a.length;c++){var d=a[c],e=d.event;d=d.listeners;a:{var f=void 0;if(b)for(var g=d.length-1;0<=g;g--){var h=d[g],k=h.instance,l=h.currentTarget;h=h.listener;if(k!==f&&e.isPropagationStopped())break a;nf(e,h,l);f=k}else for(g=0;g<d.length;g++){h=d[g];k=h.instance;l=h.currentTarget;h=h.listener;if(k!==f&&e.isPropagationStopped())break a;nf(e,h,l);f=k}}}if(Qb)throw a=Rb,Qb=!1,Rb=null,a;}\nfunction D(a,b){var c=b[of];void 0===c&&(c=b[of]=new Set);var d=a+\"__bubble\";c.has(d)||(pf(b,a,2,!1),c.add(d))}function qf(a,b,c){var d=0;b&&(d|=4);pf(c,a,d,b)}var rf=\"_reactListening\"+Math.random().toString(36).slice(2);function sf(a){if(!a[rf]){a[rf]=!0;da.forEach(function(b){\"selectionchange\"!==b&&(mf.has(b)||qf(b,!1,a),qf(b,!0,a))});var b=9===a.nodeType?a:a.ownerDocument;null===b||b[rf]||(b[rf]=!0,qf(\"selectionchange\",!1,b))}}\nfunction pf(a,b,c,d){switch(jd(b)){case 1:var e=ed;break;case 4:e=gd;break;default:e=fd}c=e.bind(null,b,c,a);e=void 0;!Lb||\"touchstart\"!==b&&\"touchmove\"!==b&&\"wheel\"!==b||(e=!0);d?void 0!==e?a.addEventListener(b,c,{capture:!0,passive:e}):a.addEventListener(b,c,!0):void 0!==e?a.addEventListener(b,c,{passive:e}):a.addEventListener(b,c,!1)}\nfunction hd(a,b,c,d,e){var f=d;if(0===(b&1)&&0===(b&2)&&null!==d)a:for(;;){if(null===d)return;var g=d.tag;if(3===g||4===g){var h=d.stateNode.containerInfo;if(h===e||8===h.nodeType&&h.parentNode===e)break;if(4===g)for(g=d.return;null!==g;){var k=g.tag;if(3===k||4===k)if(k=g.stateNode.containerInfo,k===e||8===k.nodeType&&k.parentNode===e)return;g=g.return}for(;null!==h;){g=Wc(h);if(null===g)return;k=g.tag;if(5===k||6===k){d=f=g;continue a}h=h.parentNode}}d=d.return}Jb(function(){var d=f,e=xb(c),g=[];\na:{var h=df.get(a);if(void 0!==h){var k=td,n=a;switch(a){case \"keypress\":if(0===od(c))break a;case \"keydown\":case \"keyup\":k=Rd;break;case \"focusin\":n=\"focus\";k=Fd;break;case \"focusout\":n=\"blur\";k=Fd;break;case \"beforeblur\":case \"afterblur\":k=Fd;break;case \"click\":if(2===c.button)break a;case \"auxclick\":case \"dblclick\":case \"mousedown\":case \"mousemove\":case \"mouseup\":case \"mouseout\":case \"mouseover\":case \"contextmenu\":k=Bd;break;case \"drag\":case \"dragend\":case \"dragenter\":case \"dragexit\":case \"dragleave\":case \"dragover\":case \"dragstart\":case \"drop\":k=\nDd;break;case \"touchcancel\":case \"touchend\":case \"touchmove\":case \"touchstart\":k=Vd;break;case $e:case af:case bf:k=Hd;break;case cf:k=Xd;break;case \"scroll\":k=vd;break;case \"wheel\":k=Zd;break;case \"copy\":case \"cut\":case \"paste\":k=Jd;break;case \"gotpointercapture\":case \"lostpointercapture\":case \"pointercancel\":case \"pointerdown\":case \"pointermove\":case \"pointerout\":case \"pointerover\":case \"pointerup\":k=Td}var t=0!==(b&4),J=!t&&\"scroll\"===a,x=t?null!==h?h+\"Capture\":null:h;t=[];for(var w=d,u;null!==\nw;){u=w;var F=u.stateNode;5===u.tag&&null!==F&&(u=F,null!==x&&(F=Kb(w,x),null!=F&&t.push(tf(w,F,u))));if(J)break;w=w.return}0<t.length&&(h=new k(h,n,null,c,e),g.push({event:h,listeners:t}))}}if(0===(b&7)){a:{h=\"mouseover\"===a||\"pointerover\"===a;k=\"mouseout\"===a||\"pointerout\"===a;if(h&&c!==wb&&(n=c.relatedTarget||c.fromElement)&&(Wc(n)||n[uf]))break a;if(k||h){h=e.window===e?e:(h=e.ownerDocument)?h.defaultView||h.parentWindow:window;if(k){if(n=c.relatedTarget||c.toElement,k=d,n=n?Wc(n):null,null!==\nn&&(J=Vb(n),n!==J||5!==n.tag&&6!==n.tag))n=null}else k=null,n=d;if(k!==n){t=Bd;F=\"onMouseLeave\";x=\"onMouseEnter\";w=\"mouse\";if(\"pointerout\"===a||\"pointerover\"===a)t=Td,F=\"onPointerLeave\",x=\"onPointerEnter\",w=\"pointer\";J=null==k?h:ue(k);u=null==n?h:ue(n);h=new t(F,w+\"leave\",k,c,e);h.target=J;h.relatedTarget=u;F=null;Wc(e)===d&&(t=new t(x,w+\"enter\",n,c,e),t.target=u,t.relatedTarget=J,F=t);J=F;if(k&&n)b:{t=k;x=n;w=0;for(u=t;u;u=vf(u))w++;u=0;for(F=x;F;F=vf(F))u++;for(;0<w-u;)t=vf(t),w--;for(;0<u-w;)x=\nvf(x),u--;for(;w--;){if(t===x||null!==x&&t===x.alternate)break b;t=vf(t);x=vf(x)}t=null}else t=null;null!==k&&wf(g,h,k,t,!1);null!==n&&null!==J&&wf(g,J,n,t,!0)}}}a:{h=d?ue(d):window;k=h.nodeName&&h.nodeName.toLowerCase();if(\"select\"===k||\"input\"===k&&\"file\"===h.type)var na=ve;else if(me(h))if(we)na=Fe;else{na=De;var xa=Ce}else(k=h.nodeName)&&\"input\"===k.toLowerCase()&&(\"checkbox\"===h.type||\"radio\"===h.type)&&(na=Ee);if(na&&(na=na(a,d))){ne(g,na,c,e);break a}xa&&xa(a,h,d);\"focusout\"===a&&(xa=h._wrapperState)&&\nxa.controlled&&\"number\"===h.type&&cb(h,\"number\",h.value)}xa=d?ue(d):window;switch(a){case \"focusin\":if(me(xa)||\"true\"===xa.contentEditable)Qe=xa,Re=d,Se=null;break;case \"focusout\":Se=Re=Qe=null;break;case \"mousedown\":Te=!0;break;case \"contextmenu\":case \"mouseup\":case \"dragend\":Te=!1;Ue(g,c,e);break;case \"selectionchange\":if(Pe)break;case \"keydown\":case \"keyup\":Ue(g,c,e)}var $a;if(ae)b:{switch(a){case \"compositionstart\":var ba=\"onCompositionStart\";break b;case \"compositionend\":ba=\"onCompositionEnd\";\nbreak b;case \"compositionupdate\":ba=\"onCompositionUpdate\";break b}ba=void 0}else ie?ge(a,c)&&(ba=\"onCompositionEnd\"):\"keydown\"===a&&229===c.keyCode&&(ba=\"onCompositionStart\");ba&&(de&&\"ko\"!==c.locale&&(ie||\"onCompositionStart\"!==ba?\"onCompositionEnd\"===ba&&ie&&($a=nd()):(kd=e,ld=\"value\"in kd?kd.value:kd.textContent,ie=!0)),xa=oe(d,ba),0<xa.length&&(ba=new Ld(ba,a,null,c,e),g.push({event:ba,listeners:xa}),$a?ba.data=$a:($a=he(c),null!==$a&&(ba.data=$a))));if($a=ce?je(a,c):ke(a,c))d=oe(d,\"onBeforeInput\"),\n0<d.length&&(e=new Ld(\"onBeforeInput\",\"beforeinput\",null,c,e),g.push({event:e,listeners:d}),e.data=$a)}se(g,b)})}function tf(a,b,c){return{instance:a,listener:b,currentTarget:c}}function oe(a,b){for(var c=b+\"Capture\",d=[];null!==a;){var e=a,f=e.stateNode;5===e.tag&&null!==f&&(e=f,f=Kb(a,c),null!=f&&d.unshift(tf(a,f,e)),f=Kb(a,b),null!=f&&d.push(tf(a,f,e)));a=a.return}return d}function vf(a){if(null===a)return null;do a=a.return;while(a&&5!==a.tag);return a?a:null}\nfunction wf(a,b,c,d,e){for(var f=b._reactName,g=[];null!==c&&c!==d;){var h=c,k=h.alternate,l=h.stateNode;if(null!==k&&k===d)break;5===h.tag&&null!==l&&(h=l,e?(k=Kb(c,f),null!=k&&g.unshift(tf(c,k,h))):e||(k=Kb(c,f),null!=k&&g.push(tf(c,k,h))));c=c.return}0!==g.length&&a.push({event:b,listeners:g})}var xf=/\\r\\n?/g,yf=/\\u0000|\\uFFFD/g;function zf(a){return(\"string\"===typeof a?a:\"\"+a).replace(xf,\"\\n\").replace(yf,\"\")}function Af(a,b,c){b=zf(b);if(zf(a)!==b&&c)throw Error(p(425));}function Bf(){}\nvar Cf=null,Df=null;function Ef(a,b){return\"textarea\"===a||\"noscript\"===a||\"string\"===typeof b.children||\"number\"===typeof b.children||\"object\"===typeof b.dangerouslySetInnerHTML&&null!==b.dangerouslySetInnerHTML&&null!=b.dangerouslySetInnerHTML.__html}\nvar Ff=\"function\"===typeof setTimeout?setTimeout:void 0,Gf=\"function\"===typeof clearTimeout?clearTimeout:void 0,Hf=\"function\"===typeof Promise?Promise:void 0,Jf=\"function\"===typeof queueMicrotask?queueMicrotask:\"undefined\"!==typeof Hf?function(a){return Hf.resolve(null).then(a).catch(If)}:Ff;function If(a){setTimeout(function(){throw a;})}\nfunction Kf(a,b){var c=b,d=0;do{var e=c.nextSibling;a.removeChild(c);if(e&&8===e.nodeType)if(c=e.data,\"/$\"===c){if(0===d){a.removeChild(e);bd(b);return}d--}else\"$\"!==c&&\"$?\"!==c&&\"$!\"!==c||d++;c=e}while(c);bd(b)}function Lf(a){for(;null!=a;a=a.nextSibling){var b=a.nodeType;if(1===b||3===b)break;if(8===b){b=a.data;if(\"$\"===b||\"$!\"===b||\"$?\"===b)break;if(\"/$\"===b)return null}}return a}\nfunction Mf(a){a=a.previousSibling;for(var b=0;a;){if(8===a.nodeType){var c=a.data;if(\"$\"===c||\"$!\"===c||\"$?\"===c){if(0===b)return a;b--}else\"/$\"===c&&b++}a=a.previousSibling}return null}var Nf=Math.random().toString(36).slice(2),Of=\"__reactFiber$\"+Nf,Pf=\"__reactProps$\"+Nf,uf=\"__reactContainer$\"+Nf,of=\"__reactEvents$\"+Nf,Qf=\"__reactListeners$\"+Nf,Rf=\"__reactHandles$\"+Nf;\nfunction Wc(a){var b=a[Of];if(b)return b;for(var c=a.parentNode;c;){if(b=c[uf]||c[Of]){c=b.alternate;if(null!==b.child||null!==c&&null!==c.child)for(a=Mf(a);null!==a;){if(c=a[Of])return c;a=Mf(a)}return b}a=c;c=a.parentNode}return null}function Cb(a){a=a[Of]||a[uf];return!a||5!==a.tag&&6!==a.tag&&13!==a.tag&&3!==a.tag?null:a}function ue(a){if(5===a.tag||6===a.tag)return a.stateNode;throw Error(p(33));}function Db(a){return a[Pf]||null}var Sf=[],Tf=-1;function Uf(a){return{current:a}}\nfunction E(a){0>Tf||(a.current=Sf[Tf],Sf[Tf]=null,Tf--)}function G(a,b){Tf++;Sf[Tf]=a.current;a.current=b}var Vf={},H=Uf(Vf),Wf=Uf(!1),Xf=Vf;function Yf(a,b){var c=a.type.contextTypes;if(!c)return Vf;var d=a.stateNode;if(d&&d.__reactInternalMemoizedUnmaskedChildContext===b)return d.__reactInternalMemoizedMaskedChildContext;var e={},f;for(f in c)e[f]=b[f];d&&(a=a.stateNode,a.__reactInternalMemoizedUnmaskedChildContext=b,a.__reactInternalMemoizedMaskedChildContext=e);return e}\nfunction Zf(a){a=a.childContextTypes;return null!==a&&void 0!==a}function $f(){E(Wf);E(H)}function ag(a,b,c){if(H.current!==Vf)throw Error(p(168));G(H,b);G(Wf,c)}function bg(a,b,c){var d=a.stateNode;b=b.childContextTypes;if(\"function\"!==typeof d.getChildContext)return c;d=d.getChildContext();for(var e in d)if(!(e in b))throw Error(p(108,Ra(a)||\"Unknown\",e));return A({},c,d)}\nfunction cg(a){a=(a=a.stateNode)&&a.__reactInternalMemoizedMergedChildContext||Vf;Xf=H.current;G(H,a);G(Wf,Wf.current);return!0}function dg(a,b,c){var d=a.stateNode;if(!d)throw Error(p(169));c?(a=bg(a,b,Xf),d.__reactInternalMemoizedMergedChildContext=a,E(Wf),E(H),G(H,a)):E(Wf);G(Wf,c)}var eg=null,fg=!1,gg=!1;function hg(a){null===eg?eg=[a]:eg.push(a)}function ig(a){fg=!0;hg(a)}\nfunction jg(){if(!gg&&null!==eg){gg=!0;var a=0,b=C;try{var c=eg;for(C=1;a<c.length;a++){var d=c[a];do d=d(!0);while(null!==d)}eg=null;fg=!1}catch(e){throw null!==eg&&(eg=eg.slice(a+1)),ac(fc,jg),e;}finally{C=b,gg=!1}}return null}var kg=[],lg=0,mg=null,ng=0,og=[],pg=0,qg=null,rg=1,sg=\"\";function tg(a,b){kg[lg++]=ng;kg[lg++]=mg;mg=a;ng=b}\nfunction ug(a,b,c){og[pg++]=rg;og[pg++]=sg;og[pg++]=qg;qg=a;var d=rg;a=sg;var e=32-oc(d)-1;d&=~(1<<e);c+=1;var f=32-oc(b)+e;if(30<f){var g=e-e%5;f=(d&(1<<g)-1).toString(32);d>>=g;e-=g;rg=1<<32-oc(b)+e|c<<e|d;sg=f+a}else rg=1<<f|c<<e|d,sg=a}function vg(a){null!==a.return&&(tg(a,1),ug(a,1,0))}function wg(a){for(;a===mg;)mg=kg[--lg],kg[lg]=null,ng=kg[--lg],kg[lg]=null;for(;a===qg;)qg=og[--pg],og[pg]=null,sg=og[--pg],og[pg]=null,rg=og[--pg],og[pg]=null}var xg=null,yg=null,I=!1,zg=null;\nfunction Ag(a,b){var c=Bg(5,null,null,0);c.elementType=\"DELETED\";c.stateNode=b;c.return=a;b=a.deletions;null===b?(a.deletions=[c],a.flags|=16):b.push(c)}\nfunction Cg(a,b){switch(a.tag){case 5:var c=a.type;b=1!==b.nodeType||c.toLowerCase()!==b.nodeName.toLowerCase()?null:b;return null!==b?(a.stateNode=b,xg=a,yg=Lf(b.firstChild),!0):!1;case 6:return b=\"\"===a.pendingProps||3!==b.nodeType?null:b,null!==b?(a.stateNode=b,xg=a,yg=null,!0):!1;case 13:return b=8!==b.nodeType?null:b,null!==b?(c=null!==qg?{id:rg,overflow:sg}:null,a.memoizedState={dehydrated:b,treeContext:c,retryLane:1073741824},c=Bg(18,null,null,0),c.stateNode=b,c.return=a,a.child=c,xg=a,yg=\nnull,!0):!1;default:return!1}}function Dg(a){return 0!==(a.mode&1)&&0===(a.flags&128)}function Eg(a){if(I){var b=yg;if(b){var c=b;if(!Cg(a,b)){if(Dg(a))throw Error(p(418));b=Lf(c.nextSibling);var d=xg;b&&Cg(a,b)?Ag(d,c):(a.flags=a.flags&-4097|2,I=!1,xg=a)}}else{if(Dg(a))throw Error(p(418));a.flags=a.flags&-4097|2;I=!1;xg=a}}}function Fg(a){for(a=a.return;null!==a&&5!==a.tag&&3!==a.tag&&13!==a.tag;)a=a.return;xg=a}\nfunction Gg(a){if(a!==xg)return!1;if(!I)return Fg(a),I=!0,!1;var b;(b=3!==a.tag)&&!(b=5!==a.tag)&&(b=a.type,b=\"head\"!==b&&\"body\"!==b&&!Ef(a.type,a.memoizedProps));if(b&&(b=yg)){if(Dg(a))throw Hg(),Error(p(418));for(;b;)Ag(a,b),b=Lf(b.nextSibling)}Fg(a);if(13===a.tag){a=a.memoizedState;a=null!==a?a.dehydrated:null;if(!a)throw Error(p(317));a:{a=a.nextSibling;for(b=0;a;){if(8===a.nodeType){var c=a.data;if(\"/$\"===c){if(0===b){yg=Lf(a.nextSibling);break a}b--}else\"$\"!==c&&\"$!\"!==c&&\"$?\"!==c||b++}a=a.nextSibling}yg=\nnull}}else yg=xg?Lf(a.stateNode.nextSibling):null;return!0}function Hg(){for(var a=yg;a;)a=Lf(a.nextSibling)}function Ig(){yg=xg=null;I=!1}function Jg(a){null===zg?zg=[a]:zg.push(a)}var Kg=ua.ReactCurrentBatchConfig;\nfunction Lg(a,b,c){a=c.ref;if(null!==a&&\"function\"!==typeof a&&\"object\"!==typeof a){if(c._owner){c=c._owner;if(c){if(1!==c.tag)throw Error(p(309));var d=c.stateNode}if(!d)throw Error(p(147,a));var e=d,f=\"\"+a;if(null!==b&&null!==b.ref&&\"function\"===typeof b.ref&&b.ref._stringRef===f)return b.ref;b=function(a){var b=e.refs;null===a?delete b[f]:b[f]=a};b._stringRef=f;return b}if(\"string\"!==typeof a)throw Error(p(284));if(!c._owner)throw Error(p(290,a));}return a}\nfunction Mg(a,b){a=Object.prototype.toString.call(b);throw Error(p(31,\"[object Object]\"===a?\"object with keys {\"+Object.keys(b).join(\", \")+\"}\":a));}function Ng(a){var b=a._init;return b(a._payload)}\nfunction Og(a){function b(b,c){if(a){var d=b.deletions;null===d?(b.deletions=[c],b.flags|=16):d.push(c)}}function c(c,d){if(!a)return null;for(;null!==d;)b(c,d),d=d.sibling;return null}function d(a,b){for(a=new Map;null!==b;)null!==b.key?a.set(b.key,b):a.set(b.index,b),b=b.sibling;return a}function e(a,b){a=Pg(a,b);a.index=0;a.sibling=null;return a}function f(b,c,d){b.index=d;if(!a)return b.flags|=1048576,c;d=b.alternate;if(null!==d)return d=d.index,d<c?(b.flags|=2,c):d;b.flags|=2;return c}function g(b){a&&\nnull===b.alternate&&(b.flags|=2);return b}function h(a,b,c,d){if(null===b||6!==b.tag)return b=Qg(c,a.mode,d),b.return=a,b;b=e(b,c);b.return=a;return b}function k(a,b,c,d){var f=c.type;if(f===ya)return m(a,b,c.props.children,d,c.key);if(null!==b&&(b.elementType===f||\"object\"===typeof f&&null!==f&&f.$$typeof===Ha&&Ng(f)===b.type))return d=e(b,c.props),d.ref=Lg(a,b,c),d.return=a,d;d=Rg(c.type,c.key,c.props,null,a.mode,d);d.ref=Lg(a,b,c);d.return=a;return d}function l(a,b,c,d){if(null===b||4!==b.tag||\nb.stateNode.containerInfo!==c.containerInfo||b.stateNode.implementation!==c.implementation)return b=Sg(c,a.mode,d),b.return=a,b;b=e(b,c.children||[]);b.return=a;return b}function m(a,b,c,d,f){if(null===b||7!==b.tag)return b=Tg(c,a.mode,d,f),b.return=a,b;b=e(b,c);b.return=a;return b}function q(a,b,c){if(\"string\"===typeof b&&\"\"!==b||\"number\"===typeof b)return b=Qg(\"\"+b,a.mode,c),b.return=a,b;if(\"object\"===typeof b&&null!==b){switch(b.$$typeof){case va:return c=Rg(b.type,b.key,b.props,null,a.mode,c),\nc.ref=Lg(a,null,b),c.return=a,c;case wa:return b=Sg(b,a.mode,c),b.return=a,b;case Ha:var d=b._init;return q(a,d(b._payload),c)}if(eb(b)||Ka(b))return b=Tg(b,a.mode,c,null),b.return=a,b;Mg(a,b)}return null}function r(a,b,c,d){var e=null!==b?b.key:null;if(\"string\"===typeof c&&\"\"!==c||\"number\"===typeof c)return null!==e?null:h(a,b,\"\"+c,d);if(\"object\"===typeof c&&null!==c){switch(c.$$typeof){case va:return c.key===e?k(a,b,c,d):null;case wa:return c.key===e?l(a,b,c,d):null;case Ha:return e=c._init,r(a,\nb,e(c._payload),d)}if(eb(c)||Ka(c))return null!==e?null:m(a,b,c,d,null);Mg(a,c)}return null}function y(a,b,c,d,e){if(\"string\"===typeof d&&\"\"!==d||\"number\"===typeof d)return a=a.get(c)||null,h(b,a,\"\"+d,e);if(\"object\"===typeof d&&null!==d){switch(d.$$typeof){case va:return a=a.get(null===d.key?c:d.key)||null,k(b,a,d,e);case wa:return a=a.get(null===d.key?c:d.key)||null,l(b,a,d,e);case Ha:var f=d._init;return y(a,b,c,f(d._payload),e)}if(eb(d)||Ka(d))return a=a.get(c)||null,m(b,a,d,e,null);Mg(b,d)}return null}\nfunction n(e,g,h,k){for(var l=null,m=null,u=g,w=g=0,x=null;null!==u&&w<h.length;w++){u.index>w?(x=u,u=null):x=u.sibling;var n=r(e,u,h[w],k);if(null===n){null===u&&(u=x);break}a&&u&&null===n.alternate&&b(e,u);g=f(n,g,w);null===m?l=n:m.sibling=n;m=n;u=x}if(w===h.length)return c(e,u),I&&tg(e,w),l;if(null===u){for(;w<h.length;w++)u=q(e,h[w],k),null!==u&&(g=f(u,g,w),null===m?l=u:m.sibling=u,m=u);I&&tg(e,w);return l}for(u=d(e,u);w<h.length;w++)x=y(u,e,w,h[w],k),null!==x&&(a&&null!==x.alternate&&u.delete(null===\nx.key?w:x.key),g=f(x,g,w),null===m?l=x:m.sibling=x,m=x);a&&u.forEach(function(a){return b(e,a)});I&&tg(e,w);return l}function t(e,g,h,k){var l=Ka(h);if(\"function\"!==typeof l)throw Error(p(150));h=l.call(h);if(null==h)throw Error(p(151));for(var u=l=null,m=g,w=g=0,x=null,n=h.next();null!==m&&!n.done;w++,n=h.next()){m.index>w?(x=m,m=null):x=m.sibling;var t=r(e,m,n.value,k);if(null===t){null===m&&(m=x);break}a&&m&&null===t.alternate&&b(e,m);g=f(t,g,w);null===u?l=t:u.sibling=t;u=t;m=x}if(n.done)return c(e,\nm),I&&tg(e,w),l;if(null===m){for(;!n.done;w++,n=h.next())n=q(e,n.value,k),null!==n&&(g=f(n,g,w),null===u?l=n:u.sibling=n,u=n);I&&tg(e,w);return l}for(m=d(e,m);!n.done;w++,n=h.next())n=y(m,e,w,n.value,k),null!==n&&(a&&null!==n.alternate&&m.delete(null===n.key?w:n.key),g=f(n,g,w),null===u?l=n:u.sibling=n,u=n);a&&m.forEach(function(a){return b(e,a)});I&&tg(e,w);return l}function J(a,d,f,h){\"object\"===typeof f&&null!==f&&f.type===ya&&null===f.key&&(f=f.props.children);if(\"object\"===typeof f&&null!==f){switch(f.$$typeof){case va:a:{for(var k=\nf.key,l=d;null!==l;){if(l.key===k){k=f.type;if(k===ya){if(7===l.tag){c(a,l.sibling);d=e(l,f.props.children);d.return=a;a=d;break a}}else if(l.elementType===k||\"object\"===typeof k&&null!==k&&k.$$typeof===Ha&&Ng(k)===l.type){c(a,l.sibling);d=e(l,f.props);d.ref=Lg(a,l,f);d.return=a;a=d;break a}c(a,l);break}else b(a,l);l=l.sibling}f.type===ya?(d=Tg(f.props.children,a.mode,h,f.key),d.return=a,a=d):(h=Rg(f.type,f.key,f.props,null,a.mode,h),h.ref=Lg(a,d,f),h.return=a,a=h)}return g(a);case wa:a:{for(l=f.key;null!==\nd;){if(d.key===l)if(4===d.tag&&d.stateNode.containerInfo===f.containerInfo&&d.stateNode.implementation===f.implementation){c(a,d.sibling);d=e(d,f.children||[]);d.return=a;a=d;break a}else{c(a,d);break}else b(a,d);d=d.sibling}d=Sg(f,a.mode,h);d.return=a;a=d}return g(a);case Ha:return l=f._init,J(a,d,l(f._payload),h)}if(eb(f))return n(a,d,f,h);if(Ka(f))return t(a,d,f,h);Mg(a,f)}return\"string\"===typeof f&&\"\"!==f||\"number\"===typeof f?(f=\"\"+f,null!==d&&6===d.tag?(c(a,d.sibling),d=e(d,f),d.return=a,a=d):\n(c(a,d),d=Qg(f,a.mode,h),d.return=a,a=d),g(a)):c(a,d)}return J}var Ug=Og(!0),Vg=Og(!1),Wg=Uf(null),Xg=null,Yg=null,Zg=null;function $g(){Zg=Yg=Xg=null}function ah(a){var b=Wg.current;E(Wg);a._currentValue=b}function bh(a,b,c){for(;null!==a;){var d=a.alternate;(a.childLanes&b)!==b?(a.childLanes|=b,null!==d&&(d.childLanes|=b)):null!==d&&(d.childLanes&b)!==b&&(d.childLanes|=b);if(a===c)break;a=a.return}}\nfunction ch(a,b){Xg=a;Zg=Yg=null;a=a.dependencies;null!==a&&null!==a.firstContext&&(0!==(a.lanes&b)&&(dh=!0),a.firstContext=null)}function eh(a){var b=a._currentValue;if(Zg!==a)if(a={context:a,memoizedValue:b,next:null},null===Yg){if(null===Xg)throw Error(p(308));Yg=a;Xg.dependencies={lanes:0,firstContext:a}}else Yg=Yg.next=a;return b}var fh=null;function gh(a){null===fh?fh=[a]:fh.push(a)}\nfunction hh(a,b,c,d){var e=b.interleaved;null===e?(c.next=c,gh(b)):(c.next=e.next,e.next=c);b.interleaved=c;return ih(a,d)}function ih(a,b){a.lanes|=b;var c=a.alternate;null!==c&&(c.lanes|=b);c=a;for(a=a.return;null!==a;)a.childLanes|=b,c=a.alternate,null!==c&&(c.childLanes|=b),c=a,a=a.return;return 3===c.tag?c.stateNode:null}var jh=!1;function kh(a){a.updateQueue={baseState:a.memoizedState,firstBaseUpdate:null,lastBaseUpdate:null,shared:{pending:null,interleaved:null,lanes:0},effects:null}}\nfunction lh(a,b){a=a.updateQueue;b.updateQueue===a&&(b.updateQueue={baseState:a.baseState,firstBaseUpdate:a.firstBaseUpdate,lastBaseUpdate:a.lastBaseUpdate,shared:a.shared,effects:a.effects})}function mh(a,b){return{eventTime:a,lane:b,tag:0,payload:null,callback:null,next:null}}\nfunction nh(a,b,c){var d=a.updateQueue;if(null===d)return null;d=d.shared;if(0!==(K&2)){var e=d.pending;null===e?b.next=b:(b.next=e.next,e.next=b);d.pending=b;return ih(a,c)}e=d.interleaved;null===e?(b.next=b,gh(d)):(b.next=e.next,e.next=b);d.interleaved=b;return ih(a,c)}function oh(a,b,c){b=b.updateQueue;if(null!==b&&(b=b.shared,0!==(c&4194240))){var d=b.lanes;d&=a.pendingLanes;c|=d;b.lanes=c;Cc(a,c)}}\nfunction ph(a,b){var c=a.updateQueue,d=a.alternate;if(null!==d&&(d=d.updateQueue,c===d)){var e=null,f=null;c=c.firstBaseUpdate;if(null!==c){do{var g={eventTime:c.eventTime,lane:c.lane,tag:c.tag,payload:c.payload,callback:c.callback,next:null};null===f?e=f=g:f=f.next=g;c=c.next}while(null!==c);null===f?e=f=b:f=f.next=b}else e=f=b;c={baseState:d.baseState,firstBaseUpdate:e,lastBaseUpdate:f,shared:d.shared,effects:d.effects};a.updateQueue=c;return}a=c.lastBaseUpdate;null===a?c.firstBaseUpdate=b:a.next=\nb;c.lastBaseUpdate=b}\nfunction qh(a,b,c,d){var e=a.updateQueue;jh=!1;var f=e.firstBaseUpdate,g=e.lastBaseUpdate,h=e.shared.pending;if(null!==h){e.shared.pending=null;var k=h,l=k.next;k.next=null;null===g?f=l:g.next=l;g=k;var m=a.alternate;null!==m&&(m=m.updateQueue,h=m.lastBaseUpdate,h!==g&&(null===h?m.firstBaseUpdate=l:h.next=l,m.lastBaseUpdate=k))}if(null!==f){var q=e.baseState;g=0;m=l=k=null;h=f;do{var r=h.lane,y=h.eventTime;if((d&r)===r){null!==m&&(m=m.next={eventTime:y,lane:0,tag:h.tag,payload:h.payload,callback:h.callback,\nnext:null});a:{var n=a,t=h;r=b;y=c;switch(t.tag){case 1:n=t.payload;if(\"function\"===typeof n){q=n.call(y,q,r);break a}q=n;break a;case 3:n.flags=n.flags&-65537|128;case 0:n=t.payload;r=\"function\"===typeof n?n.call(y,q,r):n;if(null===r||void 0===r)break a;q=A({},q,r);break a;case 2:jh=!0}}null!==h.callback&&0!==h.lane&&(a.flags|=64,r=e.effects,null===r?e.effects=[h]:r.push(h))}else y={eventTime:y,lane:r,tag:h.tag,payload:h.payload,callback:h.callback,next:null},null===m?(l=m=y,k=q):m=m.next=y,g|=r;\nh=h.next;if(null===h)if(h=e.shared.pending,null===h)break;else r=h,h=r.next,r.next=null,e.lastBaseUpdate=r,e.shared.pending=null}while(1);null===m&&(k=q);e.baseState=k;e.firstBaseUpdate=l;e.lastBaseUpdate=m;b=e.shared.interleaved;if(null!==b){e=b;do g|=e.lane,e=e.next;while(e!==b)}else null===f&&(e.shared.lanes=0);rh|=g;a.lanes=g;a.memoizedState=q}}\nfunction sh(a,b,c){a=b.effects;b.effects=null;if(null!==a)for(b=0;b<a.length;b++){var d=a[b],e=d.callback;if(null!==e){d.callback=null;d=c;if(\"function\"!==typeof e)throw Error(p(191,e));e.call(d)}}}var th={},uh=Uf(th),vh=Uf(th),wh=Uf(th);function xh(a){if(a===th)throw Error(p(174));return a}\nfunction yh(a,b){G(wh,b);G(vh,a);G(uh,th);a=b.nodeType;switch(a){case 9:case 11:b=(b=b.documentElement)?b.namespaceURI:lb(null,\"\");break;default:a=8===a?b.parentNode:b,b=a.namespaceURI||null,a=a.tagName,b=lb(b,a)}E(uh);G(uh,b)}function zh(){E(uh);E(vh);E(wh)}function Ah(a){xh(wh.current);var b=xh(uh.current);var c=lb(b,a.type);b!==c&&(G(vh,a),G(uh,c))}function Bh(a){vh.current===a&&(E(uh),E(vh))}var L=Uf(0);\nfunction Ch(a){for(var b=a;null!==b;){if(13===b.tag){var c=b.memoizedState;if(null!==c&&(c=c.dehydrated,null===c||\"$?\"===c.data||\"$!\"===c.data))return b}else if(19===b.tag&&void 0!==b.memoizedProps.revealOrder){if(0!==(b.flags&128))return b}else if(null!==b.child){b.child.return=b;b=b.child;continue}if(b===a)break;for(;null===b.sibling;){if(null===b.return||b.return===a)return null;b=b.return}b.sibling.return=b.return;b=b.sibling}return null}var Dh=[];\nfunction Eh(){for(var a=0;a<Dh.length;a++)Dh[a]._workInProgressVersionPrimary=null;Dh.length=0}var Fh=ua.ReactCurrentDispatcher,Gh=ua.ReactCurrentBatchConfig,Hh=0,M=null,N=null,O=null,Ih=!1,Jh=!1,Kh=0,Lh=0;function P(){throw Error(p(321));}function Mh(a,b){if(null===b)return!1;for(var c=0;c<b.length&&c<a.length;c++)if(!He(a[c],b[c]))return!1;return!0}\nfunction Nh(a,b,c,d,e,f){Hh=f;M=b;b.memoizedState=null;b.updateQueue=null;b.lanes=0;Fh.current=null===a||null===a.memoizedState?Oh:Ph;a=c(d,e);if(Jh){f=0;do{Jh=!1;Kh=0;if(25<=f)throw Error(p(301));f+=1;O=N=null;b.updateQueue=null;Fh.current=Qh;a=c(d,e)}while(Jh)}Fh.current=Rh;b=null!==N&&null!==N.next;Hh=0;O=N=M=null;Ih=!1;if(b)throw Error(p(300));return a}function Sh(){var a=0!==Kh;Kh=0;return a}\nfunction Th(){var a={memoizedState:null,baseState:null,baseQueue:null,queue:null,next:null};null===O?M.memoizedState=O=a:O=O.next=a;return O}function Uh(){if(null===N){var a=M.alternate;a=null!==a?a.memoizedState:null}else a=N.next;var b=null===O?M.memoizedState:O.next;if(null!==b)O=b,N=a;else{if(null===a)throw Error(p(310));N=a;a={memoizedState:N.memoizedState,baseState:N.baseState,baseQueue:N.baseQueue,queue:N.queue,next:null};null===O?M.memoizedState=O=a:O=O.next=a}return O}\nfunction Vh(a,b){return\"function\"===typeof b?b(a):b}\nfunction Wh(a){var b=Uh(),c=b.queue;if(null===c)throw Error(p(311));c.lastRenderedReducer=a;var d=N,e=d.baseQueue,f=c.pending;if(null!==f){if(null!==e){var g=e.next;e.next=f.next;f.next=g}d.baseQueue=e=f;c.pending=null}if(null!==e){f=e.next;d=d.baseState;var h=g=null,k=null,l=f;do{var m=l.lane;if((Hh&m)===m)null!==k&&(k=k.next={lane:0,action:l.action,hasEagerState:l.hasEagerState,eagerState:l.eagerState,next:null}),d=l.hasEagerState?l.eagerState:a(d,l.action);else{var q={lane:m,action:l.action,hasEagerState:l.hasEagerState,\neagerState:l.eagerState,next:null};null===k?(h=k=q,g=d):k=k.next=q;M.lanes|=m;rh|=m}l=l.next}while(null!==l&&l!==f);null===k?g=d:k.next=h;He(d,b.memoizedState)||(dh=!0);b.memoizedState=d;b.baseState=g;b.baseQueue=k;c.lastRenderedState=d}a=c.interleaved;if(null!==a){e=a;do f=e.lane,M.lanes|=f,rh|=f,e=e.next;while(e!==a)}else null===e&&(c.lanes=0);return[b.memoizedState,c.dispatch]}\nfunction Xh(a){var b=Uh(),c=b.queue;if(null===c)throw Error(p(311));c.lastRenderedReducer=a;var d=c.dispatch,e=c.pending,f=b.memoizedState;if(null!==e){c.pending=null;var g=e=e.next;do f=a(f,g.action),g=g.next;while(g!==e);He(f,b.memoizedState)||(dh=!0);b.memoizedState=f;null===b.baseQueue&&(b.baseState=f);c.lastRenderedState=f}return[f,d]}function Yh(){}\nfunction Zh(a,b){var c=M,d=Uh(),e=b(),f=!He(d.memoizedState,e);f&&(d.memoizedState=e,dh=!0);d=d.queue;$h(ai.bind(null,c,d,a),[a]);if(d.getSnapshot!==b||f||null!==O&&O.memoizedState.tag&1){c.flags|=2048;bi(9,ci.bind(null,c,d,e,b),void 0,null);if(null===Q)throw Error(p(349));0!==(Hh&30)||di(c,b,e)}return e}function di(a,b,c){a.flags|=16384;a={getSnapshot:b,value:c};b=M.updateQueue;null===b?(b={lastEffect:null,stores:null},M.updateQueue=b,b.stores=[a]):(c=b.stores,null===c?b.stores=[a]:c.push(a))}\nfunction ci(a,b,c,d){b.value=c;b.getSnapshot=d;ei(b)&&fi(a)}function ai(a,b,c){return c(function(){ei(b)&&fi(a)})}function ei(a){var b=a.getSnapshot;a=a.value;try{var c=b();return!He(a,c)}catch(d){return!0}}function fi(a){var b=ih(a,1);null!==b&&gi(b,a,1,-1)}\nfunction hi(a){var b=Th();\"function\"===typeof a&&(a=a());b.memoizedState=b.baseState=a;a={pending:null,interleaved:null,lanes:0,dispatch:null,lastRenderedReducer:Vh,lastRenderedState:a};b.queue=a;a=a.dispatch=ii.bind(null,M,a);return[b.memoizedState,a]}\nfunction bi(a,b,c,d){a={tag:a,create:b,destroy:c,deps:d,next:null};b=M.updateQueue;null===b?(b={lastEffect:null,stores:null},M.updateQueue=b,b.lastEffect=a.next=a):(c=b.lastEffect,null===c?b.lastEffect=a.next=a:(d=c.next,c.next=a,a.next=d,b.lastEffect=a));return a}function ji(){return Uh().memoizedState}function ki(a,b,c,d){var e=Th();M.flags|=a;e.memoizedState=bi(1|b,c,void 0,void 0===d?null:d)}\nfunction li(a,b,c,d){var e=Uh();d=void 0===d?null:d;var f=void 0;if(null!==N){var g=N.memoizedState;f=g.destroy;if(null!==d&&Mh(d,g.deps)){e.memoizedState=bi(b,c,f,d);return}}M.flags|=a;e.memoizedState=bi(1|b,c,f,d)}function mi(a,b){return ki(8390656,8,a,b)}function $h(a,b){return li(2048,8,a,b)}function ni(a,b){return li(4,2,a,b)}function oi(a,b){return li(4,4,a,b)}\nfunction pi(a,b){if(\"function\"===typeof b)return a=a(),b(a),function(){b(null)};if(null!==b&&void 0!==b)return a=a(),b.current=a,function(){b.current=null}}function qi(a,b,c){c=null!==c&&void 0!==c?c.concat([a]):null;return li(4,4,pi.bind(null,b,a),c)}function ri(){}function si(a,b){var c=Uh();b=void 0===b?null:b;var d=c.memoizedState;if(null!==d&&null!==b&&Mh(b,d[1]))return d[0];c.memoizedState=[a,b];return a}\nfunction ti(a,b){var c=Uh();b=void 0===b?null:b;var d=c.memoizedState;if(null!==d&&null!==b&&Mh(b,d[1]))return d[0];a=a();c.memoizedState=[a,b];return a}function ui(a,b,c){if(0===(Hh&21))return a.baseState&&(a.baseState=!1,dh=!0),a.memoizedState=c;He(c,b)||(c=yc(),M.lanes|=c,rh|=c,a.baseState=!0);return b}function vi(a,b){var c=C;C=0!==c&&4>c?c:4;a(!0);var d=Gh.transition;Gh.transition={};try{a(!1),b()}finally{C=c,Gh.transition=d}}function wi(){return Uh().memoizedState}\nfunction xi(a,b,c){var d=yi(a);c={lane:d,action:c,hasEagerState:!1,eagerState:null,next:null};if(zi(a))Ai(b,c);else if(c=hh(a,b,c,d),null!==c){var e=R();gi(c,a,d,e);Bi(c,b,d)}}\nfunction ii(a,b,c){var d=yi(a),e={lane:d,action:c,hasEagerState:!1,eagerState:null,next:null};if(zi(a))Ai(b,e);else{var f=a.alternate;if(0===a.lanes&&(null===f||0===f.lanes)&&(f=b.lastRenderedReducer,null!==f))try{var g=b.lastRenderedState,h=f(g,c);e.hasEagerState=!0;e.eagerState=h;if(He(h,g)){var k=b.interleaved;null===k?(e.next=e,gh(b)):(e.next=k.next,k.next=e);b.interleaved=e;return}}catch(l){}finally{}c=hh(a,b,e,d);null!==c&&(e=R(),gi(c,a,d,e),Bi(c,b,d))}}\nfunction zi(a){var b=a.alternate;return a===M||null!==b&&b===M}function Ai(a,b){Jh=Ih=!0;var c=a.pending;null===c?b.next=b:(b.next=c.next,c.next=b);a.pending=b}function Bi(a,b,c){if(0!==(c&4194240)){var d=b.lanes;d&=a.pendingLanes;c|=d;b.lanes=c;Cc(a,c)}}\nvar Rh={readContext:eh,useCallback:P,useContext:P,useEffect:P,useImperativeHandle:P,useInsertionEffect:P,useLayoutEffect:P,useMemo:P,useReducer:P,useRef:P,useState:P,useDebugValue:P,useDeferredValue:P,useTransition:P,useMutableSource:P,useSyncExternalStore:P,useId:P,unstable_isNewReconciler:!1},Oh={readContext:eh,useCallback:function(a,b){Th().memoizedState=[a,void 0===b?null:b];return a},useContext:eh,useEffect:mi,useImperativeHandle:function(a,b,c){c=null!==c&&void 0!==c?c.concat([a]):null;return ki(4194308,\n4,pi.bind(null,b,a),c)},useLayoutEffect:function(a,b){return ki(4194308,4,a,b)},useInsertionEffect:function(a,b){return ki(4,2,a,b)},useMemo:function(a,b){var c=Th();b=void 0===b?null:b;a=a();c.memoizedState=[a,b];return a},useReducer:function(a,b,c){var d=Th();b=void 0!==c?c(b):b;d.memoizedState=d.baseState=b;a={pending:null,interleaved:null,lanes:0,dispatch:null,lastRenderedReducer:a,lastRenderedState:b};d.queue=a;a=a.dispatch=xi.bind(null,M,a);return[d.memoizedState,a]},useRef:function(a){var b=\nTh();a={current:a};return b.memoizedState=a},useState:hi,useDebugValue:ri,useDeferredValue:function(a){return Th().memoizedState=a},useTransition:function(){var a=hi(!1),b=a[0];a=vi.bind(null,a[1]);Th().memoizedState=a;return[b,a]},useMutableSource:function(){},useSyncExternalStore:function(a,b,c){var d=M,e=Th();if(I){if(void 0===c)throw Error(p(407));c=c()}else{c=b();if(null===Q)throw Error(p(349));0!==(Hh&30)||di(d,b,c)}e.memoizedState=c;var f={value:c,getSnapshot:b};e.queue=f;mi(ai.bind(null,d,\nf,a),[a]);d.flags|=2048;bi(9,ci.bind(null,d,f,c,b),void 0,null);return c},useId:function(){var a=Th(),b=Q.identifierPrefix;if(I){var c=sg;var d=rg;c=(d&~(1<<32-oc(d)-1)).toString(32)+c;b=\":\"+b+\"R\"+c;c=Kh++;0<c&&(b+=\"H\"+c.toString(32));b+=\":\"}else c=Lh++,b=\":\"+b+\"r\"+c.toString(32)+\":\";return a.memoizedState=b},unstable_isNewReconciler:!1},Ph={readContext:eh,useCallback:si,useContext:eh,useEffect:$h,useImperativeHandle:qi,useInsertionEffect:ni,useLayoutEffect:oi,useMemo:ti,useReducer:Wh,useRef:ji,useState:function(){return Wh(Vh)},\nuseDebugValue:ri,useDeferredValue:function(a){var b=Uh();return ui(b,N.memoizedState,a)},useTransition:function(){var a=Wh(Vh)[0],b=Uh().memoizedState;return[a,b]},useMutableSource:Yh,useSyncExternalStore:Zh,useId:wi,unstable_isNewReconciler:!1},Qh={readContext:eh,useCallback:si,useContext:eh,useEffect:$h,useImperativeHandle:qi,useInsertionEffect:ni,useLayoutEffect:oi,useMemo:ti,useReducer:Xh,useRef:ji,useState:function(){return Xh(Vh)},useDebugValue:ri,useDeferredValue:function(a){var b=Uh();return null===\nN?b.memoizedState=a:ui(b,N.memoizedState,a)},useTransition:function(){var a=Xh(Vh)[0],b=Uh().memoizedState;return[a,b]},useMutableSource:Yh,useSyncExternalStore:Zh,useId:wi,unstable_isNewReconciler:!1};function Ci(a,b){if(a&&a.defaultProps){b=A({},b);a=a.defaultProps;for(var c in a)void 0===b[c]&&(b[c]=a[c]);return b}return b}function Di(a,b,c,d){b=a.memoizedState;c=c(d,b);c=null===c||void 0===c?b:A({},b,c);a.memoizedState=c;0===a.lanes&&(a.updateQueue.baseState=c)}\nvar Ei={isMounted:function(a){return(a=a._reactInternals)?Vb(a)===a:!1},enqueueSetState:function(a,b,c){a=a._reactInternals;var d=R(),e=yi(a),f=mh(d,e);f.payload=b;void 0!==c&&null!==c&&(f.callback=c);b=nh(a,f,e);null!==b&&(gi(b,a,e,d),oh(b,a,e))},enqueueReplaceState:function(a,b,c){a=a._reactInternals;var d=R(),e=yi(a),f=mh(d,e);f.tag=1;f.payload=b;void 0!==c&&null!==c&&(f.callback=c);b=nh(a,f,e);null!==b&&(gi(b,a,e,d),oh(b,a,e))},enqueueForceUpdate:function(a,b){a=a._reactInternals;var c=R(),d=\nyi(a),e=mh(c,d);e.tag=2;void 0!==b&&null!==b&&(e.callback=b);b=nh(a,e,d);null!==b&&(gi(b,a,d,c),oh(b,a,d))}};function Fi(a,b,c,d,e,f,g){a=a.stateNode;return\"function\"===typeof a.shouldComponentUpdate?a.shouldComponentUpdate(d,f,g):b.prototype&&b.prototype.isPureReactComponent?!Ie(c,d)||!Ie(e,f):!0}\nfunction Gi(a,b,c){var d=!1,e=Vf;var f=b.contextType;\"object\"===typeof f&&null!==f?f=eh(f):(e=Zf(b)?Xf:H.current,d=b.contextTypes,f=(d=null!==d&&void 0!==d)?Yf(a,e):Vf);b=new b(c,f);a.memoizedState=null!==b.state&&void 0!==b.state?b.state:null;b.updater=Ei;a.stateNode=b;b._reactInternals=a;d&&(a=a.stateNode,a.__reactInternalMemoizedUnmaskedChildContext=e,a.__reactInternalMemoizedMaskedChildContext=f);return b}\nfunction Hi(a,b,c,d){a=b.state;\"function\"===typeof b.componentWillReceiveProps&&b.componentWillReceiveProps(c,d);\"function\"===typeof b.UNSAFE_componentWillReceiveProps&&b.UNSAFE_componentWillReceiveProps(c,d);b.state!==a&&Ei.enqueueReplaceState(b,b.state,null)}\nfunction Ii(a,b,c,d){var e=a.stateNode;e.props=c;e.state=a.memoizedState;e.refs={};kh(a);var f=b.contextType;\"object\"===typeof f&&null!==f?e.context=eh(f):(f=Zf(b)?Xf:H.current,e.context=Yf(a,f));e.state=a.memoizedState;f=b.getDerivedStateFromProps;\"function\"===typeof f&&(Di(a,b,f,c),e.state=a.memoizedState);\"function\"===typeof b.getDerivedStateFromProps||\"function\"===typeof e.getSnapshotBeforeUpdate||\"function\"!==typeof e.UNSAFE_componentWillMount&&\"function\"!==typeof e.componentWillMount||(b=e.state,\n\"function\"===typeof e.componentWillMount&&e.componentWillMount(),\"function\"===typeof e.UNSAFE_componentWillMount&&e.UNSAFE_componentWillMount(),b!==e.state&&Ei.enqueueReplaceState(e,e.state,null),qh(a,c,e,d),e.state=a.memoizedState);\"function\"===typeof e.componentDidMount&&(a.flags|=4194308)}function Ji(a,b){try{var c=\"\",d=b;do c+=Pa(d),d=d.return;while(d);var e=c}catch(f){e=\"\\nError generating stack: \"+f.message+\"\\n\"+f.stack}return{value:a,source:b,stack:e,digest:null}}\nfunction Ki(a,b,c){return{value:a,source:null,stack:null!=c?c:null,digest:null!=b?b:null}}function Li(a,b){try{console.error(b.value)}catch(c){setTimeout(function(){throw c;})}}var Mi=\"function\"===typeof WeakMap?WeakMap:Map;function Ni(a,b,c){c=mh(-1,c);c.tag=3;c.payload={element:null};var d=b.value;c.callback=function(){Oi||(Oi=!0,Pi=d);Li(a,b)};return c}\nfunction Qi(a,b,c){c=mh(-1,c);c.tag=3;var d=a.type.getDerivedStateFromError;if(\"function\"===typeof d){var e=b.value;c.payload=function(){return d(e)};c.callback=function(){Li(a,b)}}var f=a.stateNode;null!==f&&\"function\"===typeof f.componentDidCatch&&(c.callback=function(){Li(a,b);\"function\"!==typeof d&&(null===Ri?Ri=new Set([this]):Ri.add(this));var c=b.stack;this.componentDidCatch(b.value,{componentStack:null!==c?c:\"\"})});return c}\nfunction Si(a,b,c){var d=a.pingCache;if(null===d){d=a.pingCache=new Mi;var e=new Set;d.set(b,e)}else e=d.get(b),void 0===e&&(e=new Set,d.set(b,e));e.has(c)||(e.add(c),a=Ti.bind(null,a,b,c),b.then(a,a))}function Ui(a){do{var b;if(b=13===a.tag)b=a.memoizedState,b=null!==b?null!==b.dehydrated?!0:!1:!0;if(b)return a;a=a.return}while(null!==a);return null}\nfunction Vi(a,b,c,d,e){if(0===(a.mode&1))return a===b?a.flags|=65536:(a.flags|=128,c.flags|=131072,c.flags&=-52805,1===c.tag&&(null===c.alternate?c.tag=17:(b=mh(-1,1),b.tag=2,nh(c,b,1))),c.lanes|=1),a;a.flags|=65536;a.lanes=e;return a}var Wi=ua.ReactCurrentOwner,dh=!1;function Xi(a,b,c,d){b.child=null===a?Vg(b,null,c,d):Ug(b,a.child,c,d)}\nfunction Yi(a,b,c,d,e){c=c.render;var f=b.ref;ch(b,e);d=Nh(a,b,c,d,f,e);c=Sh();if(null!==a&&!dh)return b.updateQueue=a.updateQueue,b.flags&=-2053,a.lanes&=~e,Zi(a,b,e);I&&c&&vg(b);b.flags|=1;Xi(a,b,d,e);return b.child}\nfunction $i(a,b,c,d,e){if(null===a){var f=c.type;if(\"function\"===typeof f&&!aj(f)&&void 0===f.defaultProps&&null===c.compare&&void 0===c.defaultProps)return b.tag=15,b.type=f,bj(a,b,f,d,e);a=Rg(c.type,null,d,b,b.mode,e);a.ref=b.ref;a.return=b;return b.child=a}f=a.child;if(0===(a.lanes&e)){var g=f.memoizedProps;c=c.compare;c=null!==c?c:Ie;if(c(g,d)&&a.ref===b.ref)return Zi(a,b,e)}b.flags|=1;a=Pg(f,d);a.ref=b.ref;a.return=b;return b.child=a}\nfunction bj(a,b,c,d,e){if(null!==a){var f=a.memoizedProps;if(Ie(f,d)&&a.ref===b.ref)if(dh=!1,b.pendingProps=d=f,0!==(a.lanes&e))0!==(a.flags&131072)&&(dh=!0);else return b.lanes=a.lanes,Zi(a,b,e)}return cj(a,b,c,d,e)}\nfunction dj(a,b,c){var d=b.pendingProps,e=d.children,f=null!==a?a.memoizedState:null;if(\"hidden\"===d.mode)if(0===(b.mode&1))b.memoizedState={baseLanes:0,cachePool:null,transitions:null},G(ej,fj),fj|=c;else{if(0===(c&1073741824))return a=null!==f?f.baseLanes|c:c,b.lanes=b.childLanes=1073741824,b.memoizedState={baseLanes:a,cachePool:null,transitions:null},b.updateQueue=null,G(ej,fj),fj|=a,null;b.memoizedState={baseLanes:0,cachePool:null,transitions:null};d=null!==f?f.baseLanes:c;G(ej,fj);fj|=d}else null!==\nf?(d=f.baseLanes|c,b.memoizedState=null):d=c,G(ej,fj),fj|=d;Xi(a,b,e,c);return b.child}function gj(a,b){var c=b.ref;if(null===a&&null!==c||null!==a&&a.ref!==c)b.flags|=512,b.flags|=2097152}function cj(a,b,c,d,e){var f=Zf(c)?Xf:H.current;f=Yf(b,f);ch(b,e);c=Nh(a,b,c,d,f,e);d=Sh();if(null!==a&&!dh)return b.updateQueue=a.updateQueue,b.flags&=-2053,a.lanes&=~e,Zi(a,b,e);I&&d&&vg(b);b.flags|=1;Xi(a,b,c,e);return b.child}\nfunction hj(a,b,c,d,e){if(Zf(c)){var f=!0;cg(b)}else f=!1;ch(b,e);if(null===b.stateNode)ij(a,b),Gi(b,c,d),Ii(b,c,d,e),d=!0;else if(null===a){var g=b.stateNode,h=b.memoizedProps;g.props=h;var k=g.context,l=c.contextType;\"object\"===typeof l&&null!==l?l=eh(l):(l=Zf(c)?Xf:H.current,l=Yf(b,l));var m=c.getDerivedStateFromProps,q=\"function\"===typeof m||\"function\"===typeof g.getSnapshotBeforeUpdate;q||\"function\"!==typeof g.UNSAFE_componentWillReceiveProps&&\"function\"!==typeof g.componentWillReceiveProps||\n(h!==d||k!==l)&&Hi(b,g,d,l);jh=!1;var r=b.memoizedState;g.state=r;qh(b,d,g,e);k=b.memoizedState;h!==d||r!==k||Wf.current||jh?(\"function\"===typeof m&&(Di(b,c,m,d),k=b.memoizedState),(h=jh||Fi(b,c,h,d,r,k,l))?(q||\"function\"!==typeof g.UNSAFE_componentWillMount&&\"function\"!==typeof g.componentWillMount||(\"function\"===typeof g.componentWillMount&&g.componentWillMount(),\"function\"===typeof g.UNSAFE_componentWillMount&&g.UNSAFE_componentWillMount()),\"function\"===typeof g.componentDidMount&&(b.flags|=4194308)):\n(\"function\"===typeof g.componentDidMount&&(b.flags|=4194308),b.memoizedProps=d,b.memoizedState=k),g.props=d,g.state=k,g.context=l,d=h):(\"function\"===typeof g.componentDidMount&&(b.flags|=4194308),d=!1)}else{g=b.stateNode;lh(a,b);h=b.memoizedProps;l=b.type===b.elementType?h:Ci(b.type,h);g.props=l;q=b.pendingProps;r=g.context;k=c.contextType;\"object\"===typeof k&&null!==k?k=eh(k):(k=Zf(c)?Xf:H.current,k=Yf(b,k));var y=c.getDerivedStateFromProps;(m=\"function\"===typeof y||\"function\"===typeof g.getSnapshotBeforeUpdate)||\n\"function\"!==typeof g.UNSAFE_componentWillReceiveProps&&\"function\"!==typeof g.componentWillReceiveProps||(h!==q||r!==k)&&Hi(b,g,d,k);jh=!1;r=b.memoizedState;g.state=r;qh(b,d,g,e);var n=b.memoizedState;h!==q||r!==n||Wf.current||jh?(\"function\"===typeof y&&(Di(b,c,y,d),n=b.memoizedState),(l=jh||Fi(b,c,l,d,r,n,k)||!1)?(m||\"function\"!==typeof g.UNSAFE_componentWillUpdate&&\"function\"!==typeof g.componentWillUpdate||(\"function\"===typeof g.componentWillUpdate&&g.componentWillUpdate(d,n,k),\"function\"===typeof g.UNSAFE_componentWillUpdate&&\ng.UNSAFE_componentWillUpdate(d,n,k)),\"function\"===typeof g.componentDidUpdate&&(b.flags|=4),\"function\"===typeof g.getSnapshotBeforeUpdate&&(b.flags|=1024)):(\"function\"!==typeof g.componentDidUpdate||h===a.memoizedProps&&r===a.memoizedState||(b.flags|=4),\"function\"!==typeof g.getSnapshotBeforeUpdate||h===a.memoizedProps&&r===a.memoizedState||(b.flags|=1024),b.memoizedProps=d,b.memoizedState=n),g.props=d,g.state=n,g.context=k,d=l):(\"function\"!==typeof g.componentDidUpdate||h===a.memoizedProps&&r===\na.memoizedState||(b.flags|=4),\"function\"!==typeof g.getSnapshotBeforeUpdate||h===a.memoizedProps&&r===a.memoizedState||(b.flags|=1024),d=!1)}return jj(a,b,c,d,f,e)}\nfunction jj(a,b,c,d,e,f){gj(a,b);var g=0!==(b.flags&128);if(!d&&!g)return e&&dg(b,c,!1),Zi(a,b,f);d=b.stateNode;Wi.current=b;var h=g&&\"function\"!==typeof c.getDerivedStateFromError?null:d.render();b.flags|=1;null!==a&&g?(b.child=Ug(b,a.child,null,f),b.child=Ug(b,null,h,f)):Xi(a,b,h,f);b.memoizedState=d.state;e&&dg(b,c,!0);return b.child}function kj(a){var b=a.stateNode;b.pendingContext?ag(a,b.pendingContext,b.pendingContext!==b.context):b.context&&ag(a,b.context,!1);yh(a,b.containerInfo)}\nfunction lj(a,b,c,d,e){Ig();Jg(e);b.flags|=256;Xi(a,b,c,d);return b.child}var mj={dehydrated:null,treeContext:null,retryLane:0};function nj(a){return{baseLanes:a,cachePool:null,transitions:null}}\nfunction oj(a,b,c){var d=b.pendingProps,e=L.current,f=!1,g=0!==(b.flags&128),h;(h=g)||(h=null!==a&&null===a.memoizedState?!1:0!==(e&2));if(h)f=!0,b.flags&=-129;else if(null===a||null!==a.memoizedState)e|=1;G(L,e&1);if(null===a){Eg(b);a=b.memoizedState;if(null!==a&&(a=a.dehydrated,null!==a))return 0===(b.mode&1)?b.lanes=1:\"$!\"===a.data?b.lanes=8:b.lanes=1073741824,null;g=d.children;a=d.fallback;return f?(d=b.mode,f=b.child,g={mode:\"hidden\",children:g},0===(d&1)&&null!==f?(f.childLanes=0,f.pendingProps=\ng):f=pj(g,d,0,null),a=Tg(a,d,c,null),f.return=b,a.return=b,f.sibling=a,b.child=f,b.child.memoizedState=nj(c),b.memoizedState=mj,a):qj(b,g)}e=a.memoizedState;if(null!==e&&(h=e.dehydrated,null!==h))return rj(a,b,g,d,h,e,c);if(f){f=d.fallback;g=b.mode;e=a.child;h=e.sibling;var k={mode:\"hidden\",children:d.children};0===(g&1)&&b.child!==e?(d=b.child,d.childLanes=0,d.pendingProps=k,b.deletions=null):(d=Pg(e,k),d.subtreeFlags=e.subtreeFlags&14680064);null!==h?f=Pg(h,f):(f=Tg(f,g,c,null),f.flags|=2);f.return=\nb;d.return=b;d.sibling=f;b.child=d;d=f;f=b.child;g=a.child.memoizedState;g=null===g?nj(c):{baseLanes:g.baseLanes|c,cachePool:null,transitions:g.transitions};f.memoizedState=g;f.childLanes=a.childLanes&~c;b.memoizedState=mj;return d}f=a.child;a=f.sibling;d=Pg(f,{mode:\"visible\",children:d.children});0===(b.mode&1)&&(d.lanes=c);d.return=b;d.sibling=null;null!==a&&(c=b.deletions,null===c?(b.deletions=[a],b.flags|=16):c.push(a));b.child=d;b.memoizedState=null;return d}\nfunction qj(a,b){b=pj({mode:\"visible\",children:b},a.mode,0,null);b.return=a;return a.child=b}function sj(a,b,c,d){null!==d&&Jg(d);Ug(b,a.child,null,c);a=qj(b,b.pendingProps.children);a.flags|=2;b.memoizedState=null;return a}\nfunction rj(a,b,c,d,e,f,g){if(c){if(b.flags&256)return b.flags&=-257,d=Ki(Error(p(422))),sj(a,b,g,d);if(null!==b.memoizedState)return b.child=a.child,b.flags|=128,null;f=d.fallback;e=b.mode;d=pj({mode:\"visible\",children:d.children},e,0,null);f=Tg(f,e,g,null);f.flags|=2;d.return=b;f.return=b;d.sibling=f;b.child=d;0!==(b.mode&1)&&Ug(b,a.child,null,g);b.child.memoizedState=nj(g);b.memoizedState=mj;return f}if(0===(b.mode&1))return sj(a,b,g,null);if(\"$!\"===e.data){d=e.nextSibling&&e.nextSibling.dataset;\nif(d)var h=d.dgst;d=h;f=Error(p(419));d=Ki(f,d,void 0);return sj(a,b,g,d)}h=0!==(g&a.childLanes);if(dh||h){d=Q;if(null!==d){switch(g&-g){case 4:e=2;break;case 16:e=8;break;case 64:case 128:case 256:case 512:case 1024:case 2048:case 4096:case 8192:case 16384:case 32768:case 65536:case 131072:case 262144:case 524288:case 1048576:case 2097152:case 4194304:case 8388608:case 16777216:case 33554432:case 67108864:e=32;break;case 536870912:e=268435456;break;default:e=0}e=0!==(e&(d.suspendedLanes|g))?0:e;\n0!==e&&e!==f.retryLane&&(f.retryLane=e,ih(a,e),gi(d,a,e,-1))}tj();d=Ki(Error(p(421)));return sj(a,b,g,d)}if(\"$?\"===e.data)return b.flags|=128,b.child=a.child,b=uj.bind(null,a),e._reactRetry=b,null;a=f.treeContext;yg=Lf(e.nextSibling);xg=b;I=!0;zg=null;null!==a&&(og[pg++]=rg,og[pg++]=sg,og[pg++]=qg,rg=a.id,sg=a.overflow,qg=b);b=qj(b,d.children);b.flags|=4096;return b}function vj(a,b,c){a.lanes|=b;var d=a.alternate;null!==d&&(d.lanes|=b);bh(a.return,b,c)}\nfunction wj(a,b,c,d,e){var f=a.memoizedState;null===f?a.memoizedState={isBackwards:b,rendering:null,renderingStartTime:0,last:d,tail:c,tailMode:e}:(f.isBackwards=b,f.rendering=null,f.renderingStartTime=0,f.last=d,f.tail=c,f.tailMode=e)}\nfunction xj(a,b,c){var d=b.pendingProps,e=d.revealOrder,f=d.tail;Xi(a,b,d.children,c);d=L.current;if(0!==(d&2))d=d&1|2,b.flags|=128;else{if(null!==a&&0!==(a.flags&128))a:for(a=b.child;null!==a;){if(13===a.tag)null!==a.memoizedState&&vj(a,c,b);else if(19===a.tag)vj(a,c,b);else if(null!==a.child){a.child.return=a;a=a.child;continue}if(a===b)break a;for(;null===a.sibling;){if(null===a.return||a.return===b)break a;a=a.return}a.sibling.return=a.return;a=a.sibling}d&=1}G(L,d);if(0===(b.mode&1))b.memoizedState=\nnull;else switch(e){case \"forwards\":c=b.child;for(e=null;null!==c;)a=c.alternate,null!==a&&null===Ch(a)&&(e=c),c=c.sibling;c=e;null===c?(e=b.child,b.child=null):(e=c.sibling,c.sibling=null);wj(b,!1,e,c,f);break;case \"backwards\":c=null;e=b.child;for(b.child=null;null!==e;){a=e.alternate;if(null!==a&&null===Ch(a)){b.child=e;break}a=e.sibling;e.sibling=c;c=e;e=a}wj(b,!0,c,null,f);break;case \"together\":wj(b,!1,null,null,void 0);break;default:b.memoizedState=null}return b.child}\nfunction ij(a,b){0===(b.mode&1)&&null!==a&&(a.alternate=null,b.alternate=null,b.flags|=2)}function Zi(a,b,c){null!==a&&(b.dependencies=a.dependencies);rh|=b.lanes;if(0===(c&b.childLanes))return null;if(null!==a&&b.child!==a.child)throw Error(p(153));if(null!==b.child){a=b.child;c=Pg(a,a.pendingProps);b.child=c;for(c.return=b;null!==a.sibling;)a=a.sibling,c=c.sibling=Pg(a,a.pendingProps),c.return=b;c.sibling=null}return b.child}\nfunction yj(a,b,c){switch(b.tag){case 3:kj(b);Ig();break;case 5:Ah(b);break;case 1:Zf(b.type)&&cg(b);break;case 4:yh(b,b.stateNode.containerInfo);break;case 10:var d=b.type._context,e=b.memoizedProps.value;G(Wg,d._currentValue);d._currentValue=e;break;case 13:d=b.memoizedState;if(null!==d){if(null!==d.dehydrated)return G(L,L.current&1),b.flags|=128,null;if(0!==(c&b.child.childLanes))return oj(a,b,c);G(L,L.current&1);a=Zi(a,b,c);return null!==a?a.sibling:null}G(L,L.current&1);break;case 19:d=0!==(c&\nb.childLanes);if(0!==(a.flags&128)){if(d)return xj(a,b,c);b.flags|=128}e=b.memoizedState;null!==e&&(e.rendering=null,e.tail=null,e.lastEffect=null);G(L,L.current);if(d)break;else return null;case 22:case 23:return b.lanes=0,dj(a,b,c)}return Zi(a,b,c)}var zj,Aj,Bj,Cj;\nzj=function(a,b){for(var c=b.child;null!==c;){if(5===c.tag||6===c.tag)a.appendChild(c.stateNode);else if(4!==c.tag&&null!==c.child){c.child.return=c;c=c.child;continue}if(c===b)break;for(;null===c.sibling;){if(null===c.return||c.return===b)return;c=c.return}c.sibling.return=c.return;c=c.sibling}};Aj=function(){};\nBj=function(a,b,c,d){var e=a.memoizedProps;if(e!==d){a=b.stateNode;xh(uh.current);var f=null;switch(c){case \"input\":e=Ya(a,e);d=Ya(a,d);f=[];break;case \"select\":e=A({},e,{value:void 0});d=A({},d,{value:void 0});f=[];break;case \"textarea\":e=gb(a,e);d=gb(a,d);f=[];break;default:\"function\"!==typeof e.onClick&&\"function\"===typeof d.onClick&&(a.onclick=Bf)}ub(c,d);var g;c=null;for(l in e)if(!d.hasOwnProperty(l)&&e.hasOwnProperty(l)&&null!=e[l])if(\"style\"===l){var h=e[l];for(g in h)h.hasOwnProperty(g)&&\n(c||(c={}),c[g]=\"\")}else\"dangerouslySetInnerHTML\"!==l&&\"children\"!==l&&\"suppressContentEditableWarning\"!==l&&\"suppressHydrationWarning\"!==l&&\"autoFocus\"!==l&&(ea.hasOwnProperty(l)?f||(f=[]):(f=f||[]).push(l,null));for(l in d){var k=d[l];h=null!=e?e[l]:void 0;if(d.hasOwnProperty(l)&&k!==h&&(null!=k||null!=h))if(\"style\"===l)if(h){for(g in h)!h.hasOwnProperty(g)||k&&k.hasOwnProperty(g)||(c||(c={}),c[g]=\"\");for(g in k)k.hasOwnProperty(g)&&h[g]!==k[g]&&(c||(c={}),c[g]=k[g])}else c||(f||(f=[]),f.push(l,\nc)),c=k;else\"dangerouslySetInnerHTML\"===l?(k=k?k.__html:void 0,h=h?h.__html:void 0,null!=k&&h!==k&&(f=f||[]).push(l,k)):\"children\"===l?\"string\"!==typeof k&&\"number\"!==typeof k||(f=f||[]).push(l,\"\"+k):\"suppressContentEditableWarning\"!==l&&\"suppressHydrationWarning\"!==l&&(ea.hasOwnProperty(l)?(null!=k&&\"onScroll\"===l&&D(\"scroll\",a),f||h===k||(f=[])):(f=f||[]).push(l,k))}c&&(f=f||[]).push(\"style\",c);var l=f;if(b.updateQueue=l)b.flags|=4}};Cj=function(a,b,c,d){c!==d&&(b.flags|=4)};\nfunction Dj(a,b){if(!I)switch(a.tailMode){case \"hidden\":b=a.tail;for(var c=null;null!==b;)null!==b.alternate&&(c=b),b=b.sibling;null===c?a.tail=null:c.sibling=null;break;case \"collapsed\":c=a.tail;for(var d=null;null!==c;)null!==c.alternate&&(d=c),c=c.sibling;null===d?b||null===a.tail?a.tail=null:a.tail.sibling=null:d.sibling=null}}\nfunction S(a){var b=null!==a.alternate&&a.alternate.child===a.child,c=0,d=0;if(b)for(var e=a.child;null!==e;)c|=e.lanes|e.childLanes,d|=e.subtreeFlags&14680064,d|=e.flags&14680064,e.return=a,e=e.sibling;else for(e=a.child;null!==e;)c|=e.lanes|e.childLanes,d|=e.subtreeFlags,d|=e.flags,e.return=a,e=e.sibling;a.subtreeFlags|=d;a.childLanes=c;return b}\nfunction Ej(a,b,c){var d=b.pendingProps;wg(b);switch(b.tag){case 2:case 16:case 15:case 0:case 11:case 7:case 8:case 12:case 9:case 14:return S(b),null;case 1:return Zf(b.type)&&$f(),S(b),null;case 3:d=b.stateNode;zh();E(Wf);E(H);Eh();d.pendingContext&&(d.context=d.pendingContext,d.pendingContext=null);if(null===a||null===a.child)Gg(b)?b.flags|=4:null===a||a.memoizedState.isDehydrated&&0===(b.flags&256)||(b.flags|=1024,null!==zg&&(Fj(zg),zg=null));Aj(a,b);S(b);return null;case 5:Bh(b);var e=xh(wh.current);\nc=b.type;if(null!==a&&null!=b.stateNode)Bj(a,b,c,d,e),a.ref!==b.ref&&(b.flags|=512,b.flags|=2097152);else{if(!d){if(null===b.stateNode)throw Error(p(166));S(b);return null}a=xh(uh.current);if(Gg(b)){d=b.stateNode;c=b.type;var f=b.memoizedProps;d[Of]=b;d[Pf]=f;a=0!==(b.mode&1);switch(c){case \"dialog\":D(\"cancel\",d);D(\"close\",d);break;case \"iframe\":case \"object\":case \"embed\":D(\"load\",d);break;case \"video\":case \"audio\":for(e=0;e<lf.length;e++)D(lf[e],d);break;case \"source\":D(\"error\",d);break;case \"img\":case \"image\":case \"link\":D(\"error\",\nd);D(\"load\",d);break;case \"details\":D(\"toggle\",d);break;case \"input\":Za(d,f);D(\"invalid\",d);break;case \"select\":d._wrapperState={wasMultiple:!!f.multiple};D(\"invalid\",d);break;case \"textarea\":hb(d,f),D(\"invalid\",d)}ub(c,f);e=null;for(var g in f)if(f.hasOwnProperty(g)){var h=f[g];\"children\"===g?\"string\"===typeof h?d.textContent!==h&&(!0!==f.suppressHydrationWarning&&Af(d.textContent,h,a),e=[\"children\",h]):\"number\"===typeof h&&d.textContent!==\"\"+h&&(!0!==f.suppressHydrationWarning&&Af(d.textContent,\nh,a),e=[\"children\",\"\"+h]):ea.hasOwnProperty(g)&&null!=h&&\"onScroll\"===g&&D(\"scroll\",d)}switch(c){case \"input\":Va(d);db(d,f,!0);break;case \"textarea\":Va(d);jb(d);break;case \"select\":case \"option\":break;default:\"function\"===typeof f.onClick&&(d.onclick=Bf)}d=e;b.updateQueue=d;null!==d&&(b.flags|=4)}else{g=9===e.nodeType?e:e.ownerDocument;\"http://www.w3.org/1999/xhtml\"===a&&(a=kb(c));\"http://www.w3.org/1999/xhtml\"===a?\"script\"===c?(a=g.createElement(\"div\"),a.innerHTML=\"<script>\\x3c/script>\",a=a.removeChild(a.firstChild)):\n\"string\"===typeof d.is?a=g.createElement(c,{is:d.is}):(a=g.createElement(c),\"select\"===c&&(g=a,d.multiple?g.multiple=!0:d.size&&(g.size=d.size))):a=g.createElementNS(a,c);a[Of]=b;a[Pf]=d;zj(a,b,!1,!1);b.stateNode=a;a:{g=vb(c,d);switch(c){case \"dialog\":D(\"cancel\",a);D(\"close\",a);e=d;break;case \"iframe\":case \"object\":case \"embed\":D(\"load\",a);e=d;break;case \"video\":case \"audio\":for(e=0;e<lf.length;e++)D(lf[e],a);e=d;break;case \"source\":D(\"error\",a);e=d;break;case \"img\":case \"image\":case \"link\":D(\"error\",\na);D(\"load\",a);e=d;break;case \"details\":D(\"toggle\",a);e=d;break;case \"input\":Za(a,d);e=Ya(a,d);D(\"invalid\",a);break;case \"option\":e=d;break;case \"select\":a._wrapperState={wasMultiple:!!d.multiple};e=A({},d,{value:void 0});D(\"invalid\",a);break;case \"textarea\":hb(a,d);e=gb(a,d);D(\"invalid\",a);break;default:e=d}ub(c,e);h=e;for(f in h)if(h.hasOwnProperty(f)){var k=h[f];\"style\"===f?sb(a,k):\"dangerouslySetInnerHTML\"===f?(k=k?k.__html:void 0,null!=k&&nb(a,k)):\"children\"===f?\"string\"===typeof k?(\"textarea\"!==\nc||\"\"!==k)&&ob(a,k):\"number\"===typeof k&&ob(a,\"\"+k):\"suppressContentEditableWarning\"!==f&&\"suppressHydrationWarning\"!==f&&\"autoFocus\"!==f&&(ea.hasOwnProperty(f)?null!=k&&\"onScroll\"===f&&D(\"scroll\",a):null!=k&&ta(a,f,k,g))}switch(c){case \"input\":Va(a);db(a,d,!1);break;case \"textarea\":Va(a);jb(a);break;case \"option\":null!=d.value&&a.setAttribute(\"value\",\"\"+Sa(d.value));break;case \"select\":a.multiple=!!d.multiple;f=d.value;null!=f?fb(a,!!d.multiple,f,!1):null!=d.defaultValue&&fb(a,!!d.multiple,d.defaultValue,\n!0);break;default:\"function\"===typeof e.onClick&&(a.onclick=Bf)}switch(c){case \"button\":case \"input\":case \"select\":case \"textarea\":d=!!d.autoFocus;break a;case \"img\":d=!0;break a;default:d=!1}}d&&(b.flags|=4)}null!==b.ref&&(b.flags|=512,b.flags|=2097152)}S(b);return null;case 6:if(a&&null!=b.stateNode)Cj(a,b,a.memoizedProps,d);else{if(\"string\"!==typeof d&&null===b.stateNode)throw Error(p(166));c=xh(wh.current);xh(uh.current);if(Gg(b)){d=b.stateNode;c=b.memoizedProps;d[Of]=b;if(f=d.nodeValue!==c)if(a=\nxg,null!==a)switch(a.tag){case 3:Af(d.nodeValue,c,0!==(a.mode&1));break;case 5:!0!==a.memoizedProps.suppressHydrationWarning&&Af(d.nodeValue,c,0!==(a.mode&1))}f&&(b.flags|=4)}else d=(9===c.nodeType?c:c.ownerDocument).createTextNode(d),d[Of]=b,b.stateNode=d}S(b);return null;case 13:E(L);d=b.memoizedState;if(null===a||null!==a.memoizedState&&null!==a.memoizedState.dehydrated){if(I&&null!==yg&&0!==(b.mode&1)&&0===(b.flags&128))Hg(),Ig(),b.flags|=98560,f=!1;else if(f=Gg(b),null!==d&&null!==d.dehydrated){if(null===\na){if(!f)throw Error(p(318));f=b.memoizedState;f=null!==f?f.dehydrated:null;if(!f)throw Error(p(317));f[Of]=b}else Ig(),0===(b.flags&128)&&(b.memoizedState=null),b.flags|=4;S(b);f=!1}else null!==zg&&(Fj(zg),zg=null),f=!0;if(!f)return b.flags&65536?b:null}if(0!==(b.flags&128))return b.lanes=c,b;d=null!==d;d!==(null!==a&&null!==a.memoizedState)&&d&&(b.child.flags|=8192,0!==(b.mode&1)&&(null===a||0!==(L.current&1)?0===T&&(T=3):tj()));null!==b.updateQueue&&(b.flags|=4);S(b);return null;case 4:return zh(),\nAj(a,b),null===a&&sf(b.stateNode.containerInfo),S(b),null;case 10:return ah(b.type._context),S(b),null;case 17:return Zf(b.type)&&$f(),S(b),null;case 19:E(L);f=b.memoizedState;if(null===f)return S(b),null;d=0!==(b.flags&128);g=f.rendering;if(null===g)if(d)Dj(f,!1);else{if(0!==T||null!==a&&0!==(a.flags&128))for(a=b.child;null!==a;){g=Ch(a);if(null!==g){b.flags|=128;Dj(f,!1);d=g.updateQueue;null!==d&&(b.updateQueue=d,b.flags|=4);b.subtreeFlags=0;d=c;for(c=b.child;null!==c;)f=c,a=d,f.flags&=14680066,\ng=f.alternate,null===g?(f.childLanes=0,f.lanes=a,f.child=null,f.subtreeFlags=0,f.memoizedProps=null,f.memoizedState=null,f.updateQueue=null,f.dependencies=null,f.stateNode=null):(f.childLanes=g.childLanes,f.lanes=g.lanes,f.child=g.child,f.subtreeFlags=0,f.deletions=null,f.memoizedProps=g.memoizedProps,f.memoizedState=g.memoizedState,f.updateQueue=g.updateQueue,f.type=g.type,a=g.dependencies,f.dependencies=null===a?null:{lanes:a.lanes,firstContext:a.firstContext}),c=c.sibling;G(L,L.current&1|2);return b.child}a=\na.sibling}null!==f.tail&&B()>Gj&&(b.flags|=128,d=!0,Dj(f,!1),b.lanes=4194304)}else{if(!d)if(a=Ch(g),null!==a){if(b.flags|=128,d=!0,c=a.updateQueue,null!==c&&(b.updateQueue=c,b.flags|=4),Dj(f,!0),null===f.tail&&\"hidden\"===f.tailMode&&!g.alternate&&!I)return S(b),null}else 2*B()-f.renderingStartTime>Gj&&1073741824!==c&&(b.flags|=128,d=!0,Dj(f,!1),b.lanes=4194304);f.isBackwards?(g.sibling=b.child,b.child=g):(c=f.last,null!==c?c.sibling=g:b.child=g,f.last=g)}if(null!==f.tail)return b=f.tail,f.rendering=\nb,f.tail=b.sibling,f.renderingStartTime=B(),b.sibling=null,c=L.current,G(L,d?c&1|2:c&1),b;S(b);return null;case 22:case 23:return Hj(),d=null!==b.memoizedState,null!==a&&null!==a.memoizedState!==d&&(b.flags|=8192),d&&0!==(b.mode&1)?0!==(fj&1073741824)&&(S(b),b.subtreeFlags&6&&(b.flags|=8192)):S(b),null;case 24:return null;case 25:return null}throw Error(p(156,b.tag));}\nfunction Ij(a,b){wg(b);switch(b.tag){case 1:return Zf(b.type)&&$f(),a=b.flags,a&65536?(b.flags=a&-65537|128,b):null;case 3:return zh(),E(Wf),E(H),Eh(),a=b.flags,0!==(a&65536)&&0===(a&128)?(b.flags=a&-65537|128,b):null;case 5:return Bh(b),null;case 13:E(L);a=b.memoizedState;if(null!==a&&null!==a.dehydrated){if(null===b.alternate)throw Error(p(340));Ig()}a=b.flags;return a&65536?(b.flags=a&-65537|128,b):null;case 19:return E(L),null;case 4:return zh(),null;case 10:return ah(b.type._context),null;case 22:case 23:return Hj(),\nnull;case 24:return null;default:return null}}var Jj=!1,U=!1,Kj=\"function\"===typeof WeakSet?WeakSet:Set,V=null;function Lj(a,b){var c=a.ref;if(null!==c)if(\"function\"===typeof c)try{c(null)}catch(d){W(a,b,d)}else c.current=null}function Mj(a,b,c){try{c()}catch(d){W(a,b,d)}}var Nj=!1;\nfunction Oj(a,b){Cf=dd;a=Me();if(Ne(a)){if(\"selectionStart\"in a)var c={start:a.selectionStart,end:a.selectionEnd};else a:{c=(c=a.ownerDocument)&&c.defaultView||window;var d=c.getSelection&&c.getSelection();if(d&&0!==d.rangeCount){c=d.anchorNode;var e=d.anchorOffset,f=d.focusNode;d=d.focusOffset;try{c.nodeType,f.nodeType}catch(F){c=null;break a}var g=0,h=-1,k=-1,l=0,m=0,q=a,r=null;b:for(;;){for(var y;;){q!==c||0!==e&&3!==q.nodeType||(h=g+e);q!==f||0!==d&&3!==q.nodeType||(k=g+d);3===q.nodeType&&(g+=\nq.nodeValue.length);if(null===(y=q.firstChild))break;r=q;q=y}for(;;){if(q===a)break b;r===c&&++l===e&&(h=g);r===f&&++m===d&&(k=g);if(null!==(y=q.nextSibling))break;q=r;r=q.parentNode}q=y}c=-1===h||-1===k?null:{start:h,end:k}}else c=null}c=c||{start:0,end:0}}else c=null;Df={focusedElem:a,selectionRange:c};dd=!1;for(V=b;null!==V;)if(b=V,a=b.child,0!==(b.subtreeFlags&1028)&&null!==a)a.return=b,V=a;else for(;null!==V;){b=V;try{var n=b.alternate;if(0!==(b.flags&1024))switch(b.tag){case 0:case 11:case 15:break;\ncase 1:if(null!==n){var t=n.memoizedProps,J=n.memoizedState,x=b.stateNode,w=x.getSnapshotBeforeUpdate(b.elementType===b.type?t:Ci(b.type,t),J);x.__reactInternalSnapshotBeforeUpdate=w}break;case 3:var u=b.stateNode.containerInfo;1===u.nodeType?u.textContent=\"\":9===u.nodeType&&u.documentElement&&u.removeChild(u.documentElement);break;case 5:case 6:case 4:case 17:break;default:throw Error(p(163));}}catch(F){W(b,b.return,F)}a=b.sibling;if(null!==a){a.return=b.return;V=a;break}V=b.return}n=Nj;Nj=!1;return n}\nfunction Pj(a,b,c){var d=b.updateQueue;d=null!==d?d.lastEffect:null;if(null!==d){var e=d=d.next;do{if((e.tag&a)===a){var f=e.destroy;e.destroy=void 0;void 0!==f&&Mj(b,c,f)}e=e.next}while(e!==d)}}function Qj(a,b){b=b.updateQueue;b=null!==b?b.lastEffect:null;if(null!==b){var c=b=b.next;do{if((c.tag&a)===a){var d=c.create;c.destroy=d()}c=c.next}while(c!==b)}}function Rj(a){var b=a.ref;if(null!==b){var c=a.stateNode;switch(a.tag){case 5:a=c;break;default:a=c}\"function\"===typeof b?b(a):b.current=a}}\nfunction Sj(a){var b=a.alternate;null!==b&&(a.alternate=null,Sj(b));a.child=null;a.deletions=null;a.sibling=null;5===a.tag&&(b=a.stateNode,null!==b&&(delete b[Of],delete b[Pf],delete b[of],delete b[Qf],delete b[Rf]));a.stateNode=null;a.return=null;a.dependencies=null;a.memoizedProps=null;a.memoizedState=null;a.pendingProps=null;a.stateNode=null;a.updateQueue=null}function Tj(a){return 5===a.tag||3===a.tag||4===a.tag}\nfunction Uj(a){a:for(;;){for(;null===a.sibling;){if(null===a.return||Tj(a.return))return null;a=a.return}a.sibling.return=a.return;for(a=a.sibling;5!==a.tag&&6!==a.tag&&18!==a.tag;){if(a.flags&2)continue a;if(null===a.child||4===a.tag)continue a;else a.child.return=a,a=a.child}if(!(a.flags&2))return a.stateNode}}\nfunction Vj(a,b,c){var d=a.tag;if(5===d||6===d)a=a.stateNode,b?8===c.nodeType?c.parentNode.insertBefore(a,b):c.insertBefore(a,b):(8===c.nodeType?(b=c.parentNode,b.insertBefore(a,c)):(b=c,b.appendChild(a)),c=c._reactRootContainer,null!==c&&void 0!==c||null!==b.onclick||(b.onclick=Bf));else if(4!==d&&(a=a.child,null!==a))for(Vj(a,b,c),a=a.sibling;null!==a;)Vj(a,b,c),a=a.sibling}\nfunction Wj(a,b,c){var d=a.tag;if(5===d||6===d)a=a.stateNode,b?c.insertBefore(a,b):c.appendChild(a);else if(4!==d&&(a=a.child,null!==a))for(Wj(a,b,c),a=a.sibling;null!==a;)Wj(a,b,c),a=a.sibling}var X=null,Xj=!1;function Yj(a,b,c){for(c=c.child;null!==c;)Zj(a,b,c),c=c.sibling}\nfunction Zj(a,b,c){if(lc&&\"function\"===typeof lc.onCommitFiberUnmount)try{lc.onCommitFiberUnmount(kc,c)}catch(h){}switch(c.tag){case 5:U||Lj(c,b);case 6:var d=X,e=Xj;X=null;Yj(a,b,c);X=d;Xj=e;null!==X&&(Xj?(a=X,c=c.stateNode,8===a.nodeType?a.parentNode.removeChild(c):a.removeChild(c)):X.removeChild(c.stateNode));break;case 18:null!==X&&(Xj?(a=X,c=c.stateNode,8===a.nodeType?Kf(a.parentNode,c):1===a.nodeType&&Kf(a,c),bd(a)):Kf(X,c.stateNode));break;case 4:d=X;e=Xj;X=c.stateNode.containerInfo;Xj=!0;\nYj(a,b,c);X=d;Xj=e;break;case 0:case 11:case 14:case 15:if(!U&&(d=c.updateQueue,null!==d&&(d=d.lastEffect,null!==d))){e=d=d.next;do{var f=e,g=f.destroy;f=f.tag;void 0!==g&&(0!==(f&2)?Mj(c,b,g):0!==(f&4)&&Mj(c,b,g));e=e.next}while(e!==d)}Yj(a,b,c);break;case 1:if(!U&&(Lj(c,b),d=c.stateNode,\"function\"===typeof d.componentWillUnmount))try{d.props=c.memoizedProps,d.state=c.memoizedState,d.componentWillUnmount()}catch(h){W(c,b,h)}Yj(a,b,c);break;case 21:Yj(a,b,c);break;case 22:c.mode&1?(U=(d=U)||null!==\nc.memoizedState,Yj(a,b,c),U=d):Yj(a,b,c);break;default:Yj(a,b,c)}}function ak(a){var b=a.updateQueue;if(null!==b){a.updateQueue=null;var c=a.stateNode;null===c&&(c=a.stateNode=new Kj);b.forEach(function(b){var d=bk.bind(null,a,b);c.has(b)||(c.add(b),b.then(d,d))})}}\nfunction ck(a,b){var c=b.deletions;if(null!==c)for(var d=0;d<c.length;d++){var e=c[d];try{var f=a,g=b,h=g;a:for(;null!==h;){switch(h.tag){case 5:X=h.stateNode;Xj=!1;break a;case 3:X=h.stateNode.containerInfo;Xj=!0;break a;case 4:X=h.stateNode.containerInfo;Xj=!0;break a}h=h.return}if(null===X)throw Error(p(160));Zj(f,g,e);X=null;Xj=!1;var k=e.alternate;null!==k&&(k.return=null);e.return=null}catch(l){W(e,b,l)}}if(b.subtreeFlags&12854)for(b=b.child;null!==b;)dk(b,a),b=b.sibling}\nfunction dk(a,b){var c=a.alternate,d=a.flags;switch(a.tag){case 0:case 11:case 14:case 15:ck(b,a);ek(a);if(d&4){try{Pj(3,a,a.return),Qj(3,a)}catch(t){W(a,a.return,t)}try{Pj(5,a,a.return)}catch(t){W(a,a.return,t)}}break;case 1:ck(b,a);ek(a);d&512&&null!==c&&Lj(c,c.return);break;case 5:ck(b,a);ek(a);d&512&&null!==c&&Lj(c,c.return);if(a.flags&32){var e=a.stateNode;try{ob(e,\"\")}catch(t){W(a,a.return,t)}}if(d&4&&(e=a.stateNode,null!=e)){var f=a.memoizedProps,g=null!==c?c.memoizedProps:f,h=a.type,k=a.updateQueue;\na.updateQueue=null;if(null!==k)try{\"input\"===h&&\"radio\"===f.type&&null!=f.name&&ab(e,f);vb(h,g);var l=vb(h,f);for(g=0;g<k.length;g+=2){var m=k[g],q=k[g+1];\"style\"===m?sb(e,q):\"dangerouslySetInnerHTML\"===m?nb(e,q):\"children\"===m?ob(e,q):ta(e,m,q,l)}switch(h){case \"input\":bb(e,f);break;case \"textarea\":ib(e,f);break;case \"select\":var r=e._wrapperState.wasMultiple;e._wrapperState.wasMultiple=!!f.multiple;var y=f.value;null!=y?fb(e,!!f.multiple,y,!1):r!==!!f.multiple&&(null!=f.defaultValue?fb(e,!!f.multiple,\nf.defaultValue,!0):fb(e,!!f.multiple,f.multiple?[]:\"\",!1))}e[Pf]=f}catch(t){W(a,a.return,t)}}break;case 6:ck(b,a);ek(a);if(d&4){if(null===a.stateNode)throw Error(p(162));e=a.stateNode;f=a.memoizedProps;try{e.nodeValue=f}catch(t){W(a,a.return,t)}}break;case 3:ck(b,a);ek(a);if(d&4&&null!==c&&c.memoizedState.isDehydrated)try{bd(b.containerInfo)}catch(t){W(a,a.return,t)}break;case 4:ck(b,a);ek(a);break;case 13:ck(b,a);ek(a);e=a.child;e.flags&8192&&(f=null!==e.memoizedState,e.stateNode.isHidden=f,!f||\nnull!==e.alternate&&null!==e.alternate.memoizedState||(fk=B()));d&4&&ak(a);break;case 22:m=null!==c&&null!==c.memoizedState;a.mode&1?(U=(l=U)||m,ck(b,a),U=l):ck(b,a);ek(a);if(d&8192){l=null!==a.memoizedState;if((a.stateNode.isHidden=l)&&!m&&0!==(a.mode&1))for(V=a,m=a.child;null!==m;){for(q=V=m;null!==V;){r=V;y=r.child;switch(r.tag){case 0:case 11:case 14:case 15:Pj(4,r,r.return);break;case 1:Lj(r,r.return);var n=r.stateNode;if(\"function\"===typeof n.componentWillUnmount){d=r;c=r.return;try{b=d,n.props=\nb.memoizedProps,n.state=b.memoizedState,n.componentWillUnmount()}catch(t){W(d,c,t)}}break;case 5:Lj(r,r.return);break;case 22:if(null!==r.memoizedState){gk(q);continue}}null!==y?(y.return=r,V=y):gk(q)}m=m.sibling}a:for(m=null,q=a;;){if(5===q.tag){if(null===m){m=q;try{e=q.stateNode,l?(f=e.style,\"function\"===typeof f.setProperty?f.setProperty(\"display\",\"none\",\"important\"):f.display=\"none\"):(h=q.stateNode,k=q.memoizedProps.style,g=void 0!==k&&null!==k&&k.hasOwnProperty(\"display\")?k.display:null,h.style.display=\nrb(\"display\",g))}catch(t){W(a,a.return,t)}}}else if(6===q.tag){if(null===m)try{q.stateNode.nodeValue=l?\"\":q.memoizedProps}catch(t){W(a,a.return,t)}}else if((22!==q.tag&&23!==q.tag||null===q.memoizedState||q===a)&&null!==q.child){q.child.return=q;q=q.child;continue}if(q===a)break a;for(;null===q.sibling;){if(null===q.return||q.return===a)break a;m===q&&(m=null);q=q.return}m===q&&(m=null);q.sibling.return=q.return;q=q.sibling}}break;case 19:ck(b,a);ek(a);d&4&&ak(a);break;case 21:break;default:ck(b,\na),ek(a)}}function ek(a){var b=a.flags;if(b&2){try{a:{for(var c=a.return;null!==c;){if(Tj(c)){var d=c;break a}c=c.return}throw Error(p(160));}switch(d.tag){case 5:var e=d.stateNode;d.flags&32&&(ob(e,\"\"),d.flags&=-33);var f=Uj(a);Wj(a,f,e);break;case 3:case 4:var g=d.stateNode.containerInfo,h=Uj(a);Vj(a,h,g);break;default:throw Error(p(161));}}catch(k){W(a,a.return,k)}a.flags&=-3}b&4096&&(a.flags&=-4097)}function hk(a,b,c){V=a;ik(a,b,c)}\nfunction ik(a,b,c){for(var d=0!==(a.mode&1);null!==V;){var e=V,f=e.child;if(22===e.tag&&d){var g=null!==e.memoizedState||Jj;if(!g){var h=e.alternate,k=null!==h&&null!==h.memoizedState||U;h=Jj;var l=U;Jj=g;if((U=k)&&!l)for(V=e;null!==V;)g=V,k=g.child,22===g.tag&&null!==g.memoizedState?jk(e):null!==k?(k.return=g,V=k):jk(e);for(;null!==f;)V=f,ik(f,b,c),f=f.sibling;V=e;Jj=h;U=l}kk(a,b,c)}else 0!==(e.subtreeFlags&8772)&&null!==f?(f.return=e,V=f):kk(a,b,c)}}\nfunction kk(a){for(;null!==V;){var b=V;if(0!==(b.flags&8772)){var c=b.alternate;try{if(0!==(b.flags&8772))switch(b.tag){case 0:case 11:case 15:U||Qj(5,b);break;case 1:var d=b.stateNode;if(b.flags&4&&!U)if(null===c)d.componentDidMount();else{var e=b.elementType===b.type?c.memoizedProps:Ci(b.type,c.memoizedProps);d.componentDidUpdate(e,c.memoizedState,d.__reactInternalSnapshotBeforeUpdate)}var f=b.updateQueue;null!==f&&sh(b,f,d);break;case 3:var g=b.updateQueue;if(null!==g){c=null;if(null!==b.child)switch(b.child.tag){case 5:c=\nb.child.stateNode;break;case 1:c=b.child.stateNode}sh(b,g,c)}break;case 5:var h=b.stateNode;if(null===c&&b.flags&4){c=h;var k=b.memoizedProps;switch(b.type){case \"button\":case \"input\":case \"select\":case \"textarea\":k.autoFocus&&c.focus();break;case \"img\":k.src&&(c.src=k.src)}}break;case 6:break;case 4:break;case 12:break;case 13:if(null===b.memoizedState){var l=b.alternate;if(null!==l){var m=l.memoizedState;if(null!==m){var q=m.dehydrated;null!==q&&bd(q)}}}break;case 19:case 17:case 21:case 22:case 23:case 25:break;\ndefault:throw Error(p(163));}U||b.flags&512&&Rj(b)}catch(r){W(b,b.return,r)}}if(b===a){V=null;break}c=b.sibling;if(null!==c){c.return=b.return;V=c;break}V=b.return}}function gk(a){for(;null!==V;){var b=V;if(b===a){V=null;break}var c=b.sibling;if(null!==c){c.return=b.return;V=c;break}V=b.return}}\nfunction jk(a){for(;null!==V;){var b=V;try{switch(b.tag){case 0:case 11:case 15:var c=b.return;try{Qj(4,b)}catch(k){W(b,c,k)}break;case 1:var d=b.stateNode;if(\"function\"===typeof d.componentDidMount){var e=b.return;try{d.componentDidMount()}catch(k){W(b,e,k)}}var f=b.return;try{Rj(b)}catch(k){W(b,f,k)}break;case 5:var g=b.return;try{Rj(b)}catch(k){W(b,g,k)}}}catch(k){W(b,b.return,k)}if(b===a){V=null;break}var h=b.sibling;if(null!==h){h.return=b.return;V=h;break}V=b.return}}\nvar lk=Math.ceil,mk=ua.ReactCurrentDispatcher,nk=ua.ReactCurrentOwner,ok=ua.ReactCurrentBatchConfig,K=0,Q=null,Y=null,Z=0,fj=0,ej=Uf(0),T=0,pk=null,rh=0,qk=0,rk=0,sk=null,tk=null,fk=0,Gj=Infinity,uk=null,Oi=!1,Pi=null,Ri=null,vk=!1,wk=null,xk=0,yk=0,zk=null,Ak=-1,Bk=0;function R(){return 0!==(K&6)?B():-1!==Ak?Ak:Ak=B()}\nfunction yi(a){if(0===(a.mode&1))return 1;if(0!==(K&2)&&0!==Z)return Z&-Z;if(null!==Kg.transition)return 0===Bk&&(Bk=yc()),Bk;a=C;if(0!==a)return a;a=window.event;a=void 0===a?16:jd(a.type);return a}function gi(a,b,c,d){if(50<yk)throw yk=0,zk=null,Error(p(185));Ac(a,c,d);if(0===(K&2)||a!==Q)a===Q&&(0===(K&2)&&(qk|=c),4===T&&Ck(a,Z)),Dk(a,d),1===c&&0===K&&0===(b.mode&1)&&(Gj=B()+500,fg&&jg())}\nfunction Dk(a,b){var c=a.callbackNode;wc(a,b);var d=uc(a,a===Q?Z:0);if(0===d)null!==c&&bc(c),a.callbackNode=null,a.callbackPriority=0;else if(b=d&-d,a.callbackPriority!==b){null!=c&&bc(c);if(1===b)0===a.tag?ig(Ek.bind(null,a)):hg(Ek.bind(null,a)),Jf(function(){0===(K&6)&&jg()}),c=null;else{switch(Dc(d)){case 1:c=fc;break;case 4:c=gc;break;case 16:c=hc;break;case 536870912:c=jc;break;default:c=hc}c=Fk(c,Gk.bind(null,a))}a.callbackPriority=b;a.callbackNode=c}}\nfunction Gk(a,b){Ak=-1;Bk=0;if(0!==(K&6))throw Error(p(327));var c=a.callbackNode;if(Hk()&&a.callbackNode!==c)return null;var d=uc(a,a===Q?Z:0);if(0===d)return null;if(0!==(d&30)||0!==(d&a.expiredLanes)||b)b=Ik(a,d);else{b=d;var e=K;K|=2;var f=Jk();if(Q!==a||Z!==b)uk=null,Gj=B()+500,Kk(a,b);do try{Lk();break}catch(h){Mk(a,h)}while(1);$g();mk.current=f;K=e;null!==Y?b=0:(Q=null,Z=0,b=T)}if(0!==b){2===b&&(e=xc(a),0!==e&&(d=e,b=Nk(a,e)));if(1===b)throw c=pk,Kk(a,0),Ck(a,d),Dk(a,B()),c;if(6===b)Ck(a,d);\nelse{e=a.current.alternate;if(0===(d&30)&&!Ok(e)&&(b=Ik(a,d),2===b&&(f=xc(a),0!==f&&(d=f,b=Nk(a,f))),1===b))throw c=pk,Kk(a,0),Ck(a,d),Dk(a,B()),c;a.finishedWork=e;a.finishedLanes=d;switch(b){case 0:case 1:throw Error(p(345));case 2:Pk(a,tk,uk);break;case 3:Ck(a,d);if((d&130023424)===d&&(b=fk+500-B(),10<b)){if(0!==uc(a,0))break;e=a.suspendedLanes;if((e&d)!==d){R();a.pingedLanes|=a.suspendedLanes&e;break}a.timeoutHandle=Ff(Pk.bind(null,a,tk,uk),b);break}Pk(a,tk,uk);break;case 4:Ck(a,d);if((d&4194240)===\nd)break;b=a.eventTimes;for(e=-1;0<d;){var g=31-oc(d);f=1<<g;g=b[g];g>e&&(e=g);d&=~f}d=e;d=B()-d;d=(120>d?120:480>d?480:1080>d?1080:1920>d?1920:3E3>d?3E3:4320>d?4320:1960*lk(d/1960))-d;if(10<d){a.timeoutHandle=Ff(Pk.bind(null,a,tk,uk),d);break}Pk(a,tk,uk);break;case 5:Pk(a,tk,uk);break;default:throw Error(p(329));}}}Dk(a,B());return a.callbackNode===c?Gk.bind(null,a):null}\nfunction Nk(a,b){var c=sk;a.current.memoizedState.isDehydrated&&(Kk(a,b).flags|=256);a=Ik(a,b);2!==a&&(b=tk,tk=c,null!==b&&Fj(b));return a}function Fj(a){null===tk?tk=a:tk.push.apply(tk,a)}\nfunction Ok(a){for(var b=a;;){if(b.flags&16384){var c=b.updateQueue;if(null!==c&&(c=c.stores,null!==c))for(var d=0;d<c.length;d++){var e=c[d],f=e.getSnapshot;e=e.value;try{if(!He(f(),e))return!1}catch(g){return!1}}}c=b.child;if(b.subtreeFlags&16384&&null!==c)c.return=b,b=c;else{if(b===a)break;for(;null===b.sibling;){if(null===b.return||b.return===a)return!0;b=b.return}b.sibling.return=b.return;b=b.sibling}}return!0}\nfunction Ck(a,b){b&=~rk;b&=~qk;a.suspendedLanes|=b;a.pingedLanes&=~b;for(a=a.expirationTimes;0<b;){var c=31-oc(b),d=1<<c;a[c]=-1;b&=~d}}function Ek(a){if(0!==(K&6))throw Error(p(327));Hk();var b=uc(a,0);if(0===(b&1))return Dk(a,B()),null;var c=Ik(a,b);if(0!==a.tag&&2===c){var d=xc(a);0!==d&&(b=d,c=Nk(a,d))}if(1===c)throw c=pk,Kk(a,0),Ck(a,b),Dk(a,B()),c;if(6===c)throw Error(p(345));a.finishedWork=a.current.alternate;a.finishedLanes=b;Pk(a,tk,uk);Dk(a,B());return null}\nfunction Qk(a,b){var c=K;K|=1;try{return a(b)}finally{K=c,0===K&&(Gj=B()+500,fg&&jg())}}function Rk(a){null!==wk&&0===wk.tag&&0===(K&6)&&Hk();var b=K;K|=1;var c=ok.transition,d=C;try{if(ok.transition=null,C=1,a)return a()}finally{C=d,ok.transition=c,K=b,0===(K&6)&&jg()}}function Hj(){fj=ej.current;E(ej)}\nfunction Kk(a,b){a.finishedWork=null;a.finishedLanes=0;var c=a.timeoutHandle;-1!==c&&(a.timeoutHandle=-1,Gf(c));if(null!==Y)for(c=Y.return;null!==c;){var d=c;wg(d);switch(d.tag){case 1:d=d.type.childContextTypes;null!==d&&void 0!==d&&$f();break;case 3:zh();E(Wf);E(H);Eh();break;case 5:Bh(d);break;case 4:zh();break;case 13:E(L);break;case 19:E(L);break;case 10:ah(d.type._context);break;case 22:case 23:Hj()}c=c.return}Q=a;Y=a=Pg(a.current,null);Z=fj=b;T=0;pk=null;rk=qk=rh=0;tk=sk=null;if(null!==fh){for(b=\n0;b<fh.length;b++)if(c=fh[b],d=c.interleaved,null!==d){c.interleaved=null;var e=d.next,f=c.pending;if(null!==f){var g=f.next;f.next=e;d.next=g}c.pending=d}fh=null}return a}\nfunction Mk(a,b){do{var c=Y;try{$g();Fh.current=Rh;if(Ih){for(var d=M.memoizedState;null!==d;){var e=d.queue;null!==e&&(e.pending=null);d=d.next}Ih=!1}Hh=0;O=N=M=null;Jh=!1;Kh=0;nk.current=null;if(null===c||null===c.return){T=1;pk=b;Y=null;break}a:{var f=a,g=c.return,h=c,k=b;b=Z;h.flags|=32768;if(null!==k&&\"object\"===typeof k&&\"function\"===typeof k.then){var l=k,m=h,q=m.tag;if(0===(m.mode&1)&&(0===q||11===q||15===q)){var r=m.alternate;r?(m.updateQueue=r.updateQueue,m.memoizedState=r.memoizedState,\nm.lanes=r.lanes):(m.updateQueue=null,m.memoizedState=null)}var y=Ui(g);if(null!==y){y.flags&=-257;Vi(y,g,h,f,b);y.mode&1&&Si(f,l,b);b=y;k=l;var n=b.updateQueue;if(null===n){var t=new Set;t.add(k);b.updateQueue=t}else n.add(k);break a}else{if(0===(b&1)){Si(f,l,b);tj();break a}k=Error(p(426))}}else if(I&&h.mode&1){var J=Ui(g);if(null!==J){0===(J.flags&65536)&&(J.flags|=256);Vi(J,g,h,f,b);Jg(Ji(k,h));break a}}f=k=Ji(k,h);4!==T&&(T=2);null===sk?sk=[f]:sk.push(f);f=g;do{switch(f.tag){case 3:f.flags|=65536;\nb&=-b;f.lanes|=b;var x=Ni(f,k,b);ph(f,x);break a;case 1:h=k;var w=f.type,u=f.stateNode;if(0===(f.flags&128)&&(\"function\"===typeof w.getDerivedStateFromError||null!==u&&\"function\"===typeof u.componentDidCatch&&(null===Ri||!Ri.has(u)))){f.flags|=65536;b&=-b;f.lanes|=b;var F=Qi(f,h,b);ph(f,F);break a}}f=f.return}while(null!==f)}Sk(c)}catch(na){b=na;Y===c&&null!==c&&(Y=c=c.return);continue}break}while(1)}function Jk(){var a=mk.current;mk.current=Rh;return null===a?Rh:a}\nfunction tj(){if(0===T||3===T||2===T)T=4;null===Q||0===(rh&268435455)&&0===(qk&268435455)||Ck(Q,Z)}function Ik(a,b){var c=K;K|=2;var d=Jk();if(Q!==a||Z!==b)uk=null,Kk(a,b);do try{Tk();break}catch(e){Mk(a,e)}while(1);$g();K=c;mk.current=d;if(null!==Y)throw Error(p(261));Q=null;Z=0;return T}function Tk(){for(;null!==Y;)Uk(Y)}function Lk(){for(;null!==Y&&!cc();)Uk(Y)}function Uk(a){var b=Vk(a.alternate,a,fj);a.memoizedProps=a.pendingProps;null===b?Sk(a):Y=b;nk.current=null}\nfunction Sk(a){var b=a;do{var c=b.alternate;a=b.return;if(0===(b.flags&32768)){if(c=Ej(c,b,fj),null!==c){Y=c;return}}else{c=Ij(c,b);if(null!==c){c.flags&=32767;Y=c;return}if(null!==a)a.flags|=32768,a.subtreeFlags=0,a.deletions=null;else{T=6;Y=null;return}}b=b.sibling;if(null!==b){Y=b;return}Y=b=a}while(null!==b);0===T&&(T=5)}function Pk(a,b,c){var d=C,e=ok.transition;try{ok.transition=null,C=1,Wk(a,b,c,d)}finally{ok.transition=e,C=d}return null}\nfunction Wk(a,b,c,d){do Hk();while(null!==wk);if(0!==(K&6))throw Error(p(327));c=a.finishedWork;var e=a.finishedLanes;if(null===c)return null;a.finishedWork=null;a.finishedLanes=0;if(c===a.current)throw Error(p(177));a.callbackNode=null;a.callbackPriority=0;var f=c.lanes|c.childLanes;Bc(a,f);a===Q&&(Y=Q=null,Z=0);0===(c.subtreeFlags&2064)&&0===(c.flags&2064)||vk||(vk=!0,Fk(hc,function(){Hk();return null}));f=0!==(c.flags&15990);if(0!==(c.subtreeFlags&15990)||f){f=ok.transition;ok.transition=null;\nvar g=C;C=1;var h=K;K|=4;nk.current=null;Oj(a,c);dk(c,a);Oe(Df);dd=!!Cf;Df=Cf=null;a.current=c;hk(c,a,e);dc();K=h;C=g;ok.transition=f}else a.current=c;vk&&(vk=!1,wk=a,xk=e);f=a.pendingLanes;0===f&&(Ri=null);mc(c.stateNode,d);Dk(a,B());if(null!==b)for(d=a.onRecoverableError,c=0;c<b.length;c++)e=b[c],d(e.value,{componentStack:e.stack,digest:e.digest});if(Oi)throw Oi=!1,a=Pi,Pi=null,a;0!==(xk&1)&&0!==a.tag&&Hk();f=a.pendingLanes;0!==(f&1)?a===zk?yk++:(yk=0,zk=a):yk=0;jg();return null}\nfunction Hk(){if(null!==wk){var a=Dc(xk),b=ok.transition,c=C;try{ok.transition=null;C=16>a?16:a;if(null===wk)var d=!1;else{a=wk;wk=null;xk=0;if(0!==(K&6))throw Error(p(331));var e=K;K|=4;for(V=a.current;null!==V;){var f=V,g=f.child;if(0!==(V.flags&16)){var h=f.deletions;if(null!==h){for(var k=0;k<h.length;k++){var l=h[k];for(V=l;null!==V;){var m=V;switch(m.tag){case 0:case 11:case 15:Pj(8,m,f)}var q=m.child;if(null!==q)q.return=m,V=q;else for(;null!==V;){m=V;var r=m.sibling,y=m.return;Sj(m);if(m===\nl){V=null;break}if(null!==r){r.return=y;V=r;break}V=y}}}var n=f.alternate;if(null!==n){var t=n.child;if(null!==t){n.child=null;do{var J=t.sibling;t.sibling=null;t=J}while(null!==t)}}V=f}}if(0!==(f.subtreeFlags&2064)&&null!==g)g.return=f,V=g;else b:for(;null!==V;){f=V;if(0!==(f.flags&2048))switch(f.tag){case 0:case 11:case 15:Pj(9,f,f.return)}var x=f.sibling;if(null!==x){x.return=f.return;V=x;break b}V=f.return}}var w=a.current;for(V=w;null!==V;){g=V;var u=g.child;if(0!==(g.subtreeFlags&2064)&&null!==\nu)u.return=g,V=u;else b:for(g=w;null!==V;){h=V;if(0!==(h.flags&2048))try{switch(h.tag){case 0:case 11:case 15:Qj(9,h)}}catch(na){W(h,h.return,na)}if(h===g){V=null;break b}var F=h.sibling;if(null!==F){F.return=h.return;V=F;break b}V=h.return}}K=e;jg();if(lc&&\"function\"===typeof lc.onPostCommitFiberRoot)try{lc.onPostCommitFiberRoot(kc,a)}catch(na){}d=!0}return d}finally{C=c,ok.transition=b}}return!1}function Xk(a,b,c){b=Ji(c,b);b=Ni(a,b,1);a=nh(a,b,1);b=R();null!==a&&(Ac(a,1,b),Dk(a,b))}\nfunction W(a,b,c){if(3===a.tag)Xk(a,a,c);else for(;null!==b;){if(3===b.tag){Xk(b,a,c);break}else if(1===b.tag){var d=b.stateNode;if(\"function\"===typeof b.type.getDerivedStateFromError||\"function\"===typeof d.componentDidCatch&&(null===Ri||!Ri.has(d))){a=Ji(c,a);a=Qi(b,a,1);b=nh(b,a,1);a=R();null!==b&&(Ac(b,1,a),Dk(b,a));break}}b=b.return}}\nfunction Ti(a,b,c){var d=a.pingCache;null!==d&&d.delete(b);b=R();a.pingedLanes|=a.suspendedLanes&c;Q===a&&(Z&c)===c&&(4===T||3===T&&(Z&130023424)===Z&&500>B()-fk?Kk(a,0):rk|=c);Dk(a,b)}function Yk(a,b){0===b&&(0===(a.mode&1)?b=1:(b=sc,sc<<=1,0===(sc&130023424)&&(sc=4194304)));var c=R();a=ih(a,b);null!==a&&(Ac(a,b,c),Dk(a,c))}function uj(a){var b=a.memoizedState,c=0;null!==b&&(c=b.retryLane);Yk(a,c)}\nfunction bk(a,b){var c=0;switch(a.tag){case 13:var d=a.stateNode;var e=a.memoizedState;null!==e&&(c=e.retryLane);break;case 19:d=a.stateNode;break;default:throw Error(p(314));}null!==d&&d.delete(b);Yk(a,c)}var Vk;\nVk=function(a,b,c){if(null!==a)if(a.memoizedProps!==b.pendingProps||Wf.current)dh=!0;else{if(0===(a.lanes&c)&&0===(b.flags&128))return dh=!1,yj(a,b,c);dh=0!==(a.flags&131072)?!0:!1}else dh=!1,I&&0!==(b.flags&1048576)&&ug(b,ng,b.index);b.lanes=0;switch(b.tag){case 2:var d=b.type;ij(a,b);a=b.pendingProps;var e=Yf(b,H.current);ch(b,c);e=Nh(null,b,d,a,e,c);var f=Sh();b.flags|=1;\"object\"===typeof e&&null!==e&&\"function\"===typeof e.render&&void 0===e.$$typeof?(b.tag=1,b.memoizedState=null,b.updateQueue=\nnull,Zf(d)?(f=!0,cg(b)):f=!1,b.memoizedState=null!==e.state&&void 0!==e.state?e.state:null,kh(b),e.updater=Ei,b.stateNode=e,e._reactInternals=b,Ii(b,d,a,c),b=jj(null,b,d,!0,f,c)):(b.tag=0,I&&f&&vg(b),Xi(null,b,e,c),b=b.child);return b;case 16:d=b.elementType;a:{ij(a,b);a=b.pendingProps;e=d._init;d=e(d._payload);b.type=d;e=b.tag=Zk(d);a=Ci(d,a);switch(e){case 0:b=cj(null,b,d,a,c);break a;case 1:b=hj(null,b,d,a,c);break a;case 11:b=Yi(null,b,d,a,c);break a;case 14:b=$i(null,b,d,Ci(d.type,a),c);break a}throw Error(p(306,\nd,\"\"));}return b;case 0:return d=b.type,e=b.pendingProps,e=b.elementType===d?e:Ci(d,e),cj(a,b,d,e,c);case 1:return d=b.type,e=b.pendingProps,e=b.elementType===d?e:Ci(d,e),hj(a,b,d,e,c);case 3:a:{kj(b);if(null===a)throw Error(p(387));d=b.pendingProps;f=b.memoizedState;e=f.element;lh(a,b);qh(b,d,null,c);var g=b.memoizedState;d=g.element;if(f.isDehydrated)if(f={element:d,isDehydrated:!1,cache:g.cache,pendingSuspenseBoundaries:g.pendingSuspenseBoundaries,transitions:g.transitions},b.updateQueue.baseState=\nf,b.memoizedState=f,b.flags&256){e=Ji(Error(p(423)),b);b=lj(a,b,d,c,e);break a}else if(d!==e){e=Ji(Error(p(424)),b);b=lj(a,b,d,c,e);break a}else for(yg=Lf(b.stateNode.containerInfo.firstChild),xg=b,I=!0,zg=null,c=Vg(b,null,d,c),b.child=c;c;)c.flags=c.flags&-3|4096,c=c.sibling;else{Ig();if(d===e){b=Zi(a,b,c);break a}Xi(a,b,d,c)}b=b.child}return b;case 5:return Ah(b),null===a&&Eg(b),d=b.type,e=b.pendingProps,f=null!==a?a.memoizedProps:null,g=e.children,Ef(d,e)?g=null:null!==f&&Ef(d,f)&&(b.flags|=32),\ngj(a,b),Xi(a,b,g,c),b.child;case 6:return null===a&&Eg(b),null;case 13:return oj(a,b,c);case 4:return yh(b,b.stateNode.containerInfo),d=b.pendingProps,null===a?b.child=Ug(b,null,d,c):Xi(a,b,d,c),b.child;case 11:return d=b.type,e=b.pendingProps,e=b.elementType===d?e:Ci(d,e),Yi(a,b,d,e,c);case 7:return Xi(a,b,b.pendingProps,c),b.child;case 8:return Xi(a,b,b.pendingProps.children,c),b.child;case 12:return Xi(a,b,b.pendingProps.children,c),b.child;case 10:a:{d=b.type._context;e=b.pendingProps;f=b.memoizedProps;\ng=e.value;G(Wg,d._currentValue);d._currentValue=g;if(null!==f)if(He(f.value,g)){if(f.children===e.children&&!Wf.current){b=Zi(a,b,c);break a}}else for(f=b.child,null!==f&&(f.return=b);null!==f;){var h=f.dependencies;if(null!==h){g=f.child;for(var k=h.firstContext;null!==k;){if(k.context===d){if(1===f.tag){k=mh(-1,c&-c);k.tag=2;var l=f.updateQueue;if(null!==l){l=l.shared;var m=l.pending;null===m?k.next=k:(k.next=m.next,m.next=k);l.pending=k}}f.lanes|=c;k=f.alternate;null!==k&&(k.lanes|=c);bh(f.return,\nc,b);h.lanes|=c;break}k=k.next}}else if(10===f.tag)g=f.type===b.type?null:f.child;else if(18===f.tag){g=f.return;if(null===g)throw Error(p(341));g.lanes|=c;h=g.alternate;null!==h&&(h.lanes|=c);bh(g,c,b);g=f.sibling}else g=f.child;if(null!==g)g.return=f;else for(g=f;null!==g;){if(g===b){g=null;break}f=g.sibling;if(null!==f){f.return=g.return;g=f;break}g=g.return}f=g}Xi(a,b,e.children,c);b=b.child}return b;case 9:return e=b.type,d=b.pendingProps.children,ch(b,c),e=eh(e),d=d(e),b.flags|=1,Xi(a,b,d,c),\nb.child;case 14:return d=b.type,e=Ci(d,b.pendingProps),e=Ci(d.type,e),$i(a,b,d,e,c);case 15:return bj(a,b,b.type,b.pendingProps,c);case 17:return d=b.type,e=b.pendingProps,e=b.elementType===d?e:Ci(d,e),ij(a,b),b.tag=1,Zf(d)?(a=!0,cg(b)):a=!1,ch(b,c),Gi(b,d,e),Ii(b,d,e,c),jj(null,b,d,!0,a,c);case 19:return xj(a,b,c);case 22:return dj(a,b,c)}throw Error(p(156,b.tag));};function Fk(a,b){return ac(a,b)}\nfunction $k(a,b,c,d){this.tag=a;this.key=c;this.sibling=this.child=this.return=this.stateNode=this.type=this.elementType=null;this.index=0;this.ref=null;this.pendingProps=b;this.dependencies=this.memoizedState=this.updateQueue=this.memoizedProps=null;this.mode=d;this.subtreeFlags=this.flags=0;this.deletions=null;this.childLanes=this.lanes=0;this.alternate=null}function Bg(a,b,c,d){return new $k(a,b,c,d)}function aj(a){a=a.prototype;return!(!a||!a.isReactComponent)}\nfunction Zk(a){if(\"function\"===typeof a)return aj(a)?1:0;if(void 0!==a&&null!==a){a=a.$$typeof;if(a===Da)return 11;if(a===Ga)return 14}return 2}\nfunction Pg(a,b){var c=a.alternate;null===c?(c=Bg(a.tag,b,a.key,a.mode),c.elementType=a.elementType,c.type=a.type,c.stateNode=a.stateNode,c.alternate=a,a.alternate=c):(c.pendingProps=b,c.type=a.type,c.flags=0,c.subtreeFlags=0,c.deletions=null);c.flags=a.flags&14680064;c.childLanes=a.childLanes;c.lanes=a.lanes;c.child=a.child;c.memoizedProps=a.memoizedProps;c.memoizedState=a.memoizedState;c.updateQueue=a.updateQueue;b=a.dependencies;c.dependencies=null===b?null:{lanes:b.lanes,firstContext:b.firstContext};\nc.sibling=a.sibling;c.index=a.index;c.ref=a.ref;return c}\nfunction Rg(a,b,c,d,e,f){var g=2;d=a;if(\"function\"===typeof a)aj(a)&&(g=1);else if(\"string\"===typeof a)g=5;else a:switch(a){case ya:return Tg(c.children,e,f,b);case za:g=8;e|=8;break;case Aa:return a=Bg(12,c,b,e|2),a.elementType=Aa,a.lanes=f,a;case Ea:return a=Bg(13,c,b,e),a.elementType=Ea,a.lanes=f,a;case Fa:return a=Bg(19,c,b,e),a.elementType=Fa,a.lanes=f,a;case Ia:return pj(c,e,f,b);default:if(\"object\"===typeof a&&null!==a)switch(a.$$typeof){case Ba:g=10;break a;case Ca:g=9;break a;case Da:g=11;\nbreak a;case Ga:g=14;break a;case Ha:g=16;d=null;break a}throw Error(p(130,null==a?a:typeof a,\"\"));}b=Bg(g,c,b,e);b.elementType=a;b.type=d;b.lanes=f;return b}function Tg(a,b,c,d){a=Bg(7,a,d,b);a.lanes=c;return a}function pj(a,b,c,d){a=Bg(22,a,d,b);a.elementType=Ia;a.lanes=c;a.stateNode={isHidden:!1};return a}function Qg(a,b,c){a=Bg(6,a,null,b);a.lanes=c;return a}\nfunction Sg(a,b,c){b=Bg(4,null!==a.children?a.children:[],a.key,b);b.lanes=c;b.stateNode={containerInfo:a.containerInfo,pendingChildren:null,implementation:a.implementation};return b}\nfunction al(a,b,c,d,e){this.tag=b;this.containerInfo=a;this.finishedWork=this.pingCache=this.current=this.pendingChildren=null;this.timeoutHandle=-1;this.callbackNode=this.pendingContext=this.context=null;this.callbackPriority=0;this.eventTimes=zc(0);this.expirationTimes=zc(-1);this.entangledLanes=this.finishedLanes=this.mutableReadLanes=this.expiredLanes=this.pingedLanes=this.suspendedLanes=this.pendingLanes=0;this.entanglements=zc(0);this.identifierPrefix=d;this.onRecoverableError=e;this.mutableSourceEagerHydrationData=\nnull}function bl(a,b,c,d,e,f,g,h,k){a=new al(a,b,c,h,k);1===b?(b=1,!0===f&&(b|=8)):b=0;f=Bg(3,null,null,b);a.current=f;f.stateNode=a;f.memoizedState={element:d,isDehydrated:c,cache:null,transitions:null,pendingSuspenseBoundaries:null};kh(f);return a}function cl(a,b,c){var d=3<arguments.length&&void 0!==arguments[3]?arguments[3]:null;return{$$typeof:wa,key:null==d?null:\"\"+d,children:a,containerInfo:b,implementation:c}}\nfunction dl(a){if(!a)return Vf;a=a._reactInternals;a:{if(Vb(a)!==a||1!==a.tag)throw Error(p(170));var b=a;do{switch(b.tag){case 3:b=b.stateNode.context;break a;case 1:if(Zf(b.type)){b=b.stateNode.__reactInternalMemoizedMergedChildContext;break a}}b=b.return}while(null!==b);throw Error(p(171));}if(1===a.tag){var c=a.type;if(Zf(c))return bg(a,c,b)}return b}\nfunction el(a,b,c,d,e,f,g,h,k){a=bl(c,d,!0,a,e,f,g,h,k);a.context=dl(null);c=a.current;d=R();e=yi(c);f=mh(d,e);f.callback=void 0!==b&&null!==b?b:null;nh(c,f,e);a.current.lanes=e;Ac(a,e,d);Dk(a,d);return a}function fl(a,b,c,d){var e=b.current,f=R(),g=yi(e);c=dl(c);null===b.context?b.context=c:b.pendingContext=c;b=mh(f,g);b.payload={element:a};d=void 0===d?null:d;null!==d&&(b.callback=d);a=nh(e,b,g);null!==a&&(gi(a,e,g,f),oh(a,e,g));return g}\nfunction gl(a){a=a.current;if(!a.child)return null;switch(a.child.tag){case 5:return a.child.stateNode;default:return a.child.stateNode}}function hl(a,b){a=a.memoizedState;if(null!==a&&null!==a.dehydrated){var c=a.retryLane;a.retryLane=0!==c&&c<b?c:b}}function il(a,b){hl(a,b);(a=a.alternate)&&hl(a,b)}function jl(){return null}var kl=\"function\"===typeof reportError?reportError:function(a){console.error(a)};function ll(a){this._internalRoot=a}\nml.prototype.render=ll.prototype.render=function(a){var b=this._internalRoot;if(null===b)throw Error(p(409));fl(a,b,null,null)};ml.prototype.unmount=ll.prototype.unmount=function(){var a=this._internalRoot;if(null!==a){this._internalRoot=null;var b=a.containerInfo;Rk(function(){fl(null,a,null,null)});b[uf]=null}};function ml(a){this._internalRoot=a}\nml.prototype.unstable_scheduleHydration=function(a){if(a){var b=Hc();a={blockedOn:null,target:a,priority:b};for(var c=0;c<Qc.length&&0!==b&&b<Qc[c].priority;c++);Qc.splice(c,0,a);0===c&&Vc(a)}};function nl(a){return!(!a||1!==a.nodeType&&9!==a.nodeType&&11!==a.nodeType)}function ol(a){return!(!a||1!==a.nodeType&&9!==a.nodeType&&11!==a.nodeType&&(8!==a.nodeType||\" react-mount-point-unstable \"!==a.nodeValue))}function pl(){}\nfunction ql(a,b,c,d,e){if(e){if(\"function\"===typeof d){var f=d;d=function(){var a=gl(g);f.call(a)}}var g=el(b,d,a,0,null,!1,!1,\"\",pl);a._reactRootContainer=g;a[uf]=g.current;sf(8===a.nodeType?a.parentNode:a);Rk();return g}for(;e=a.lastChild;)a.removeChild(e);if(\"function\"===typeof d){var h=d;d=function(){var a=gl(k);h.call(a)}}var k=bl(a,0,!1,null,null,!1,!1,\"\",pl);a._reactRootContainer=k;a[uf]=k.current;sf(8===a.nodeType?a.parentNode:a);Rk(function(){fl(b,k,c,d)});return k}\nfunction rl(a,b,c,d,e){var f=c._reactRootContainer;if(f){var g=f;if(\"function\"===typeof e){var h=e;e=function(){var a=gl(g);h.call(a)}}fl(b,g,a,e)}else g=ql(c,b,a,e,d);return gl(g)}Ec=function(a){switch(a.tag){case 3:var b=a.stateNode;if(b.current.memoizedState.isDehydrated){var c=tc(b.pendingLanes);0!==c&&(Cc(b,c|1),Dk(b,B()),0===(K&6)&&(Gj=B()+500,jg()))}break;case 13:Rk(function(){var b=ih(a,1);if(null!==b){var c=R();gi(b,a,1,c)}}),il(a,1)}};\nFc=function(a){if(13===a.tag){var b=ih(a,134217728);if(null!==b){var c=R();gi(b,a,134217728,c)}il(a,134217728)}};Gc=function(a){if(13===a.tag){var b=yi(a),c=ih(a,b);if(null!==c){var d=R();gi(c,a,b,d)}il(a,b)}};Hc=function(){return C};Ic=function(a,b){var c=C;try{return C=a,b()}finally{C=c}};\nyb=function(a,b,c){switch(b){case \"input\":bb(a,c);b=c.name;if(\"radio\"===c.type&&null!=b){for(c=a;c.parentNode;)c=c.parentNode;c=c.querySelectorAll(\"input[name=\"+JSON.stringify(\"\"+b)+'][type=\"radio\"]');for(b=0;b<c.length;b++){var d=c[b];if(d!==a&&d.form===a.form){var e=Db(d);if(!e)throw Error(p(90));Wa(d);bb(d,e)}}}break;case \"textarea\":ib(a,c);break;case \"select\":b=c.value,null!=b&&fb(a,!!c.multiple,b,!1)}};Gb=Qk;Hb=Rk;\nvar sl={usingClientEntryPoint:!1,Events:[Cb,ue,Db,Eb,Fb,Qk]},tl={findFiberByHostInstance:Wc,bundleType:0,version:\"18.3.1\",rendererPackageName:\"react-dom\"};\nvar ul={bundleType:tl.bundleType,version:tl.version,rendererPackageName:tl.rendererPackageName,rendererConfig:tl.rendererConfig,overrideHookState:null,overrideHookStateDeletePath:null,overrideHookStateRenamePath:null,overrideProps:null,overridePropsDeletePath:null,overridePropsRenamePath:null,setErrorHandler:null,setSuspenseHandler:null,scheduleUpdate:null,currentDispatcherRef:ua.ReactCurrentDispatcher,findHostInstanceByFiber:function(a){a=Zb(a);return null===a?null:a.stateNode},findFiberByHostInstance:tl.findFiberByHostInstance||\njl,findHostInstancesForRefresh:null,scheduleRefresh:null,scheduleRoot:null,setRefreshHandler:null,getCurrentFiber:null,reconcilerVersion:\"18.3.1-next-f1338f8080-20240426\"};if(\"undefined\"!==typeof __REACT_DEVTOOLS_GLOBAL_HOOK__){var vl=__REACT_DEVTOOLS_GLOBAL_HOOK__;if(!vl.isDisabled&&vl.supportsFiber)try{kc=vl.inject(ul),lc=vl}catch(a){}}exports.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED=sl;\nexports.createPortal=function(a,b){var c=2<arguments.length&&void 0!==arguments[2]?arguments[2]:null;if(!nl(b))throw Error(p(200));return cl(a,b,null,c)};exports.createRoot=function(a,b){if(!nl(a))throw Error(p(299));var c=!1,d=\"\",e=kl;null!==b&&void 0!==b&&(!0===b.unstable_strictMode&&(c=!0),void 0!==b.identifierPrefix&&(d=b.identifierPrefix),void 0!==b.onRecoverableError&&(e=b.onRecoverableError));b=bl(a,1,!1,null,null,c,!1,d,e);a[uf]=b.current;sf(8===a.nodeType?a.parentNode:a);return new ll(b)};\nexports.findDOMNode=function(a){if(null==a)return null;if(1===a.nodeType)return a;var b=a._reactInternals;if(void 0===b){if(\"function\"===typeof a.render)throw Error(p(188));a=Object.keys(a).join(\",\");throw Error(p(268,a));}a=Zb(b);a=null===a?null:a.stateNode;return a};exports.flushSync=function(a){return Rk(a)};exports.hydrate=function(a,b,c){if(!ol(b))throw Error(p(200));return rl(null,a,b,!0,c)};\nexports.hydrateRoot=function(a,b,c){if(!nl(a))throw Error(p(405));var d=null!=c&&c.hydratedSources||null,e=!1,f=\"\",g=kl;null!==c&&void 0!==c&&(!0===c.unstable_strictMode&&(e=!0),void 0!==c.identifierPrefix&&(f=c.identifierPrefix),void 0!==c.onRecoverableError&&(g=c.onRecoverableError));b=el(b,null,a,1,null!=c?c:null,e,!1,f,g);a[uf]=b.current;sf(a);if(d)for(a=0;a<d.length;a++)c=d[a],e=c._getVersion,e=e(c._source),null==b.mutableSourceEagerHydrationData?b.mutableSourceEagerHydrationData=[c,e]:b.mutableSourceEagerHydrationData.push(c,\ne);return new ml(b)};exports.render=function(a,b,c){if(!ol(b))throw Error(p(200));return rl(null,a,b,!1,c)};exports.unmountComponentAtNode=function(a){if(!ol(a))throw Error(p(40));return a._reactRootContainer?(Rk(function(){rl(null,null,a,!1,function(){a._reactRootContainer=null;a[uf]=null})}),!0):!1};exports.unstable_batchedUpdates=Qk;\nexports.unstable_renderSubtreeIntoContainer=function(a,b,c,d){if(!ol(c))throw Error(p(200));if(null==a||void 0===a._reactInternals)throw Error(p(38));return rl(a,b,c,!1,d)};exports.version=\"18.3.1-next-f1338f8080-20240426\";\n","'use strict';\n\nvar m = require('react-dom');\nif (process.env.NODE_ENV === 'production') {\n exports.createRoot = m.createRoot;\n exports.hydrateRoot = m.hydrateRoot;\n} else {\n var i = m.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED;\n exports.createRoot = function(c, o) {\n i.usingClientEntryPoint = true;\n try {\n return m.createRoot(c, o);\n } finally {\n i.usingClientEntryPoint = false;\n }\n };\n exports.hydrateRoot = function(c, h, o) {\n i.usingClientEntryPoint = true;\n try {\n return m.hydrateRoot(c, h, o);\n } finally {\n i.usingClientEntryPoint = false;\n }\n };\n}\n","'use strict';\n\nfunction checkDCE() {\n /* global __REACT_DEVTOOLS_GLOBAL_HOOK__ */\n if (\n typeof __REACT_DEVTOOLS_GLOBAL_HOOK__ === 'undefined' ||\n typeof __REACT_DEVTOOLS_GLOBAL_HOOK__.checkDCE !== 'function'\n ) {\n return;\n }\n if (process.env.NODE_ENV !== 'production') {\n // This branch is unreachable because this function is only called\n // in production, but the condition is true only in development.\n // Therefore if the branch is still here, dead code elimination wasn't\n // properly applied.\n // Don't change the message. React DevTools relies on it. Also make sure\n // this message doesn't occur elsewhere in this function, or it will cause\n // a false positive.\n throw new Error('^_^');\n }\n try {\n // Verify that the code above has been dead code eliminated (DCE'd).\n __REACT_DEVTOOLS_GLOBAL_HOOK__.checkDCE(checkDCE);\n } catch (err) {\n // DevTools shouldn't crash React, no matter what.\n // We should still report in case we break this code.\n console.error(err);\n }\n}\n\nif (process.env.NODE_ENV === 'production') {\n // DCE check should happen before ReactDOM bundle executes so that\n // DevTools can report bad minification during injection.\n checkDCE();\n module.exports = require('./cjs/react-dom.production.min.js');\n} else {\n module.exports = require('./cjs/react-dom.development.js');\n}\n","/**\n * @license React\n * react-is.production.min.js\n *\n * Copyright (c) Facebook, Inc. and its affiliates.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n'use strict';var b=Symbol.for(\"react.element\"),c=Symbol.for(\"react.portal\"),d=Symbol.for(\"react.fragment\"),e=Symbol.for(\"react.strict_mode\"),f=Symbol.for(\"react.profiler\"),g=Symbol.for(\"react.provider\"),h=Symbol.for(\"react.context\"),k=Symbol.for(\"react.server_context\"),l=Symbol.for(\"react.forward_ref\"),m=Symbol.for(\"react.suspense\"),n=Symbol.for(\"react.suspense_list\"),p=Symbol.for(\"react.memo\"),q=Symbol.for(\"react.lazy\"),t=Symbol.for(\"react.offscreen\"),u;u=Symbol.for(\"react.module.reference\");\nfunction v(a){if(\"object\"===typeof a&&null!==a){var r=a.$$typeof;switch(r){case b:switch(a=a.type,a){case d:case f:case e:case m:case n:return a;default:switch(a=a&&a.$$typeof,a){case k:case h:case l:case q:case p:case g:return a;default:return r}}case c:return r}}}exports.ContextConsumer=h;exports.ContextProvider=g;exports.Element=b;exports.ForwardRef=l;exports.Fragment=d;exports.Lazy=q;exports.Memo=p;exports.Portal=c;exports.Profiler=f;exports.StrictMode=e;exports.Suspense=m;\nexports.SuspenseList=n;exports.isAsyncMode=function(){return!1};exports.isConcurrentMode=function(){return!1};exports.isContextConsumer=function(a){return v(a)===h};exports.isContextProvider=function(a){return v(a)===g};exports.isElement=function(a){return\"object\"===typeof a&&null!==a&&a.$$typeof===b};exports.isForwardRef=function(a){return v(a)===l};exports.isFragment=function(a){return v(a)===d};exports.isLazy=function(a){return v(a)===q};exports.isMemo=function(a){return v(a)===p};\nexports.isPortal=function(a){return v(a)===c};exports.isProfiler=function(a){return v(a)===f};exports.isStrictMode=function(a){return v(a)===e};exports.isSuspense=function(a){return v(a)===m};exports.isSuspenseList=function(a){return v(a)===n};\nexports.isValidElementType=function(a){return\"string\"===typeof a||\"function\"===typeof a||a===d||a===f||a===e||a===m||a===n||a===t||\"object\"===typeof a&&null!==a&&(a.$$typeof===q||a.$$typeof===p||a.$$typeof===g||a.$$typeof===h||a.$$typeof===l||a.$$typeof===u||void 0!==a.getModuleId)?!0:!1};exports.typeOf=v;\n","'use strict';\n\nif (process.env.NODE_ENV === 'production') {\n module.exports = require('./cjs/react-is.production.min.js');\n} else {\n module.exports = require('./cjs/react-is.development.js');\n}\n","/**\n * @license React\n * react-jsx-runtime.production.min.js\n *\n * Copyright (c) Facebook, Inc. and its affiliates.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n'use strict';var f=require(\"react\"),k=Symbol.for(\"react.element\"),l=Symbol.for(\"react.fragment\"),m=Object.prototype.hasOwnProperty,n=f.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED.ReactCurrentOwner,p={key:!0,ref:!0,__self:!0,__source:!0};\nfunction q(c,a,g){var b,d={},e=null,h=null;void 0!==g&&(e=\"\"+g);void 0!==a.key&&(e=\"\"+a.key);void 0!==a.ref&&(h=a.ref);for(b in a)m.call(a,b)&&!p.hasOwnProperty(b)&&(d[b]=a[b]);if(c&&c.defaultProps)for(b in a=c.defaultProps,a)void 0===d[b]&&(d[b]=a[b]);return{$$typeof:k,type:c,key:e,ref:h,props:d,_owner:n.current}}exports.Fragment=l;exports.jsx=q;exports.jsxs=q;\n","/**\n * @license React\n * react.production.min.js\n *\n * Copyright (c) Facebook, Inc. and its affiliates.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n'use strict';var l=Symbol.for(\"react.element\"),n=Symbol.for(\"react.portal\"),p=Symbol.for(\"react.fragment\"),q=Symbol.for(\"react.strict_mode\"),r=Symbol.for(\"react.profiler\"),t=Symbol.for(\"react.provider\"),u=Symbol.for(\"react.context\"),v=Symbol.for(\"react.forward_ref\"),w=Symbol.for(\"react.suspense\"),x=Symbol.for(\"react.memo\"),y=Symbol.for(\"react.lazy\"),z=Symbol.iterator;function A(a){if(null===a||\"object\"!==typeof a)return null;a=z&&a[z]||a[\"@@iterator\"];return\"function\"===typeof a?a:null}\nvar B={isMounted:function(){return!1},enqueueForceUpdate:function(){},enqueueReplaceState:function(){},enqueueSetState:function(){}},C=Object.assign,D={};function E(a,b,e){this.props=a;this.context=b;this.refs=D;this.updater=e||B}E.prototype.isReactComponent={};\nE.prototype.setState=function(a,b){if(\"object\"!==typeof a&&\"function\"!==typeof a&&null!=a)throw Error(\"setState(...): takes an object of state variables to update or a function which returns an object of state variables.\");this.updater.enqueueSetState(this,a,b,\"setState\")};E.prototype.forceUpdate=function(a){this.updater.enqueueForceUpdate(this,a,\"forceUpdate\")};function F(){}F.prototype=E.prototype;function G(a,b,e){this.props=a;this.context=b;this.refs=D;this.updater=e||B}var H=G.prototype=new F;\nH.constructor=G;C(H,E.prototype);H.isPureReactComponent=!0;var I=Array.isArray,J=Object.prototype.hasOwnProperty,K={current:null},L={key:!0,ref:!0,__self:!0,__source:!0};\nfunction M(a,b,e){var d,c={},k=null,h=null;if(null!=b)for(d in void 0!==b.ref&&(h=b.ref),void 0!==b.key&&(k=\"\"+b.key),b)J.call(b,d)&&!L.hasOwnProperty(d)&&(c[d]=b[d]);var g=arguments.length-2;if(1===g)c.children=e;else if(1<g){for(var f=Array(g),m=0;m<g;m++)f[m]=arguments[m+2];c.children=f}if(a&&a.defaultProps)for(d in g=a.defaultProps,g)void 0===c[d]&&(c[d]=g[d]);return{$$typeof:l,type:a,key:k,ref:h,props:c,_owner:K.current}}\nfunction N(a,b){return{$$typeof:l,type:a.type,key:b,ref:a.ref,props:a.props,_owner:a._owner}}function O(a){return\"object\"===typeof a&&null!==a&&a.$$typeof===l}function escape(a){var b={\"=\":\"=0\",\":\":\"=2\"};return\"$\"+a.replace(/[=:]/g,function(a){return b[a]})}var P=/\\/+/g;function Q(a,b){return\"object\"===typeof a&&null!==a&&null!=a.key?escape(\"\"+a.key):b.toString(36)}\nfunction R(a,b,e,d,c){var k=typeof a;if(\"undefined\"===k||\"boolean\"===k)a=null;var h=!1;if(null===a)h=!0;else switch(k){case \"string\":case \"number\":h=!0;break;case \"object\":switch(a.$$typeof){case l:case n:h=!0}}if(h)return h=a,c=c(h),a=\"\"===d?\".\"+Q(h,0):d,I(c)?(e=\"\",null!=a&&(e=a.replace(P,\"$&/\")+\"/\"),R(c,b,e,\"\",function(a){return a})):null!=c&&(O(c)&&(c=N(c,e+(!c.key||h&&h.key===c.key?\"\":(\"\"+c.key).replace(P,\"$&/\")+\"/\")+a)),b.push(c)),1;h=0;d=\"\"===d?\".\":d+\":\";if(I(a))for(var g=0;g<a.length;g++){k=\na[g];var f=d+Q(k,g);h+=R(k,b,e,f,c)}else if(f=A(a),\"function\"===typeof f)for(a=f.call(a),g=0;!(k=a.next()).done;)k=k.value,f=d+Q(k,g++),h+=R(k,b,e,f,c);else if(\"object\"===k)throw b=String(a),Error(\"Objects are not valid as a React child (found: \"+(\"[object Object]\"===b?\"object with keys {\"+Object.keys(a).join(\", \")+\"}\":b)+\"). If you meant to render a collection of children, use an array instead.\");return h}\nfunction S(a,b,e){if(null==a)return a;var d=[],c=0;R(a,d,\"\",\"\",function(a){return b.call(e,a,c++)});return d}function T(a){if(-1===a._status){var b=a._result;b=b();b.then(function(b){if(0===a._status||-1===a._status)a._status=1,a._result=b},function(b){if(0===a._status||-1===a._status)a._status=2,a._result=b});-1===a._status&&(a._status=0,a._result=b)}if(1===a._status)return a._result.default;throw a._result;}\nvar U={current:null},V={transition:null},W={ReactCurrentDispatcher:U,ReactCurrentBatchConfig:V,ReactCurrentOwner:K};function X(){throw Error(\"act(...) is not supported in production builds of React.\");}\nexports.Children={map:S,forEach:function(a,b,e){S(a,function(){b.apply(this,arguments)},e)},count:function(a){var b=0;S(a,function(){b++});return b},toArray:function(a){return S(a,function(a){return a})||[]},only:function(a){if(!O(a))throw Error(\"React.Children.only expected to receive a single React element child.\");return a}};exports.Component=E;exports.Fragment=p;exports.Profiler=r;exports.PureComponent=G;exports.StrictMode=q;exports.Suspense=w;\nexports.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED=W;exports.act=X;\nexports.cloneElement=function(a,b,e){if(null===a||void 0===a)throw Error(\"React.cloneElement(...): The argument must be a React element, but you passed \"+a+\".\");var d=C({},a.props),c=a.key,k=a.ref,h=a._owner;if(null!=b){void 0!==b.ref&&(k=b.ref,h=K.current);void 0!==b.key&&(c=\"\"+b.key);if(a.type&&a.type.defaultProps)var g=a.type.defaultProps;for(f in b)J.call(b,f)&&!L.hasOwnProperty(f)&&(d[f]=void 0===b[f]&&void 0!==g?g[f]:b[f])}var f=arguments.length-2;if(1===f)d.children=e;else if(1<f){g=Array(f);\nfor(var m=0;m<f;m++)g[m]=arguments[m+2];d.children=g}return{$$typeof:l,type:a.type,key:c,ref:k,props:d,_owner:h}};exports.createContext=function(a){a={$$typeof:u,_currentValue:a,_currentValue2:a,_threadCount:0,Provider:null,Consumer:null,_defaultValue:null,_globalName:null};a.Provider={$$typeof:t,_context:a};return a.Consumer=a};exports.createElement=M;exports.createFactory=function(a){var b=M.bind(null,a);b.type=a;return b};exports.createRef=function(){return{current:null}};\nexports.forwardRef=function(a){return{$$typeof:v,render:a}};exports.isValidElement=O;exports.lazy=function(a){return{$$typeof:y,_payload:{_status:-1,_result:a},_init:T}};exports.memo=function(a,b){return{$$typeof:x,type:a,compare:void 0===b?null:b}};exports.startTransition=function(a){var b=V.transition;V.transition={};try{a()}finally{V.transition=b}};exports.unstable_act=X;exports.useCallback=function(a,b){return U.current.useCallback(a,b)};exports.useContext=function(a){return U.current.useContext(a)};\nexports.useDebugValue=function(){};exports.useDeferredValue=function(a){return U.current.useDeferredValue(a)};exports.useEffect=function(a,b){return U.current.useEffect(a,b)};exports.useId=function(){return U.current.useId()};exports.useImperativeHandle=function(a,b,e){return U.current.useImperativeHandle(a,b,e)};exports.useInsertionEffect=function(a,b){return U.current.useInsertionEffect(a,b)};exports.useLayoutEffect=function(a,b){return U.current.useLayoutEffect(a,b)};\nexports.useMemo=function(a,b){return U.current.useMemo(a,b)};exports.useReducer=function(a,b,e){return U.current.useReducer(a,b,e)};exports.useRef=function(a){return U.current.useRef(a)};exports.useState=function(a){return U.current.useState(a)};exports.useSyncExternalStore=function(a,b,e){return U.current.useSyncExternalStore(a,b,e)};exports.useTransition=function(){return U.current.useTransition()};exports.version=\"18.3.1\";\n","'use strict';\n\nif (process.env.NODE_ENV === 'production') {\n module.exports = require('./cjs/react.production.min.js');\n} else {\n module.exports = require('./cjs/react.development.js');\n}\n","'use strict';\n\nif (process.env.NODE_ENV === 'production') {\n module.exports = require('./cjs/react-jsx-runtime.production.min.js');\n} else {\n module.exports = require('./cjs/react-jsx-runtime.development.js');\n}\n","'use strict'\n\n/* global window, self */\n\n// istanbul ignore next - Don't allow Prism to run on page load in browser or\n// to start messaging from workers.\nvar ctx =\n typeof globalThis === 'object'\n ? globalThis\n : typeof self === 'object'\n ? self\n : typeof window === 'object'\n ? window\n : typeof global === 'object'\n ? global\n : {}\n\nvar restore = capture()\n\nctx.Prism = {manual: true, disableWorkerMessageHandler: true}\n\n// Load all stuff in `prism.js` itself, except for `prism-file-highlight.js`.\n// The wrapped non-leaky grammars are loaded instead of Prism’s originals.\nvar h = require('hastscript')\nvar decode = require('parse-entities')\nvar Prism = require('prismjs/components/prism-core')\nvar markup = require('./lang/markup')\nvar css = require('./lang/css')\nvar clike = require('./lang/clike')\nvar js = require('./lang/javascript')\n\nrestore()\n\nvar own = {}.hasOwnProperty\n\n// Inherit.\nfunction Refractor() {}\n\nRefractor.prototype = Prism\n\n// Construct.\nvar refract = new Refractor()\n\n// Expose.\nmodule.exports = refract\n\n// Create.\nrefract.highlight = highlight\nrefract.register = register\nrefract.alias = alias\nrefract.registered = registered\nrefract.listLanguages = listLanguages\n\n// Register bundled grammars.\nregister(markup)\nregister(css)\nregister(clike)\nregister(js)\n\nrefract.util.encode = encode\nrefract.Token.stringify = stringify\n\nfunction register(grammar) {\n if (typeof grammar !== 'function' || !grammar.displayName) {\n throw new Error('Expected `function` for `grammar`, got `' + grammar + '`')\n }\n\n // Do not duplicate registrations.\n if (refract.languages[grammar.displayName] === undefined) {\n grammar(refract)\n }\n}\n\nfunction alias(name, alias) {\n var languages = refract.languages\n var map = name\n var key\n var list\n var length\n var index\n\n if (alias) {\n map = {}\n map[name] = alias\n }\n\n for (key in map) {\n list = map[key]\n list = typeof list === 'string' ? [list] : list\n length = list.length\n index = -1\n\n while (++index < length) {\n languages[list[index]] = languages[key]\n }\n }\n}\n\nfunction highlight(value, name) {\n var sup = Prism.highlight\n var grammar\n\n if (typeof value !== 'string') {\n throw new Error('Expected `string` for `value`, got `' + value + '`')\n }\n\n // `name` is a grammar object.\n if (refract.util.type(name) === 'Object') {\n grammar = name\n name = null\n } else {\n if (typeof name !== 'string') {\n throw new Error('Expected `string` for `name`, got `' + name + '`')\n }\n\n if (own.call(refract.languages, name)) {\n grammar = refract.languages[name]\n } else {\n throw new Error('Unknown language: `' + name + '` is not registered')\n }\n }\n\n return sup.call(this, value, grammar, name)\n}\n\nfunction registered(language) {\n if (typeof language !== 'string') {\n throw new Error('Expected `string` for `language`, got `' + language + '`')\n }\n\n return own.call(refract.languages, language)\n}\n\nfunction listLanguages() {\n var languages = refract.languages\n var list = []\n var language\n\n for (language in languages) {\n if (\n own.call(languages, language) &&\n typeof languages[language] === 'object'\n ) {\n list.push(language)\n }\n }\n\n return list\n}\n\nfunction stringify(value, language, parent) {\n var env\n\n if (typeof value === 'string') {\n return {type: 'text', value: value}\n }\n\n if (refract.util.type(value) === 'Array') {\n return stringifyAll(value, language)\n }\n\n env = {\n type: value.type,\n content: refract.Token.stringify(value.content, language, parent),\n tag: 'span',\n classes: ['token', value.type],\n attributes: {},\n language: language,\n parent: parent\n }\n\n if (value.alias) {\n env.classes = env.classes.concat(value.alias)\n }\n\n refract.hooks.run('wrap', env)\n\n return h(\n env.tag + '.' + env.classes.join('.'),\n attributes(env.attributes),\n env.content\n )\n}\n\nfunction stringifyAll(values, language) {\n var result = []\n var length = values.length\n var index = -1\n var value\n\n while (++index < length) {\n value = values[index]\n\n if (value !== '' && value !== null && value !== undefined) {\n result.push(value)\n }\n }\n\n index = -1\n length = result.length\n\n while (++index < length) {\n value = result[index]\n result[index] = refract.Token.stringify(value, language, result)\n }\n\n return result\n}\n\nfunction encode(tokens) {\n return tokens\n}\n\nfunction attributes(attrs) {\n var key\n\n for (key in attrs) {\n attrs[key] = decode(attrs[key])\n }\n\n return attrs\n}\n\nfunction capture() {\n var defined = 'Prism' in ctx\n /* istanbul ignore next */\n var current = defined ? ctx.Prism : undefined\n\n return restore\n\n function restore() {\n /* istanbul ignore else - Clean leaks after Prism. */\n if (defined) {\n ctx.Prism = current\n } else {\n delete ctx.Prism\n }\n\n defined = undefined\n current = undefined\n }\n}\n","'use strict'\n\nvar refractor = require('./core.js')\n\nmodule.exports = refractor\n\nrefractor.register(require('./lang/abap.js'))\nrefractor.register(require('./lang/abnf.js'))\nrefractor.register(require('./lang/actionscript.js'))\nrefractor.register(require('./lang/ada.js'))\nrefractor.register(require('./lang/agda.js'))\nrefractor.register(require('./lang/al.js'))\nrefractor.register(require('./lang/antlr4.js'))\nrefractor.register(require('./lang/apacheconf.js'))\nrefractor.register(require('./lang/apex.js'))\nrefractor.register(require('./lang/apl.js'))\nrefractor.register(require('./lang/applescript.js'))\nrefractor.register(require('./lang/aql.js'))\nrefractor.register(require('./lang/arduino.js'))\nrefractor.register(require('./lang/arff.js'))\nrefractor.register(require('./lang/asciidoc.js'))\nrefractor.register(require('./lang/asm6502.js'))\nrefractor.register(require('./lang/asmatmel.js'))\nrefractor.register(require('./lang/aspnet.js'))\nrefractor.register(require('./lang/autohotkey.js'))\nrefractor.register(require('./lang/autoit.js'))\nrefractor.register(require('./lang/avisynth.js'))\nrefractor.register(require('./lang/avro-idl.js'))\nrefractor.register(require('./lang/bash.js'))\nrefractor.register(require('./lang/basic.js'))\nrefractor.register(require('./lang/batch.js'))\nrefractor.register(require('./lang/bbcode.js'))\nrefractor.register(require('./lang/bicep.js'))\nrefractor.register(require('./lang/birb.js'))\nrefractor.register(require('./lang/bison.js'))\nrefractor.register(require('./lang/bnf.js'))\nrefractor.register(require('./lang/brainfuck.js'))\nrefractor.register(require('./lang/brightscript.js'))\nrefractor.register(require('./lang/bro.js'))\nrefractor.register(require('./lang/bsl.js'))\nrefractor.register(require('./lang/c.js'))\nrefractor.register(require('./lang/cfscript.js'))\nrefractor.register(require('./lang/chaiscript.js'))\nrefractor.register(require('./lang/cil.js'))\nrefractor.register(require('./lang/clojure.js'))\nrefractor.register(require('./lang/cmake.js'))\nrefractor.register(require('./lang/cobol.js'))\nrefractor.register(require('./lang/coffeescript.js'))\nrefractor.register(require('./lang/concurnas.js'))\nrefractor.register(require('./lang/coq.js'))\nrefractor.register(require('./lang/cpp.js'))\nrefractor.register(require('./lang/crystal.js'))\nrefractor.register(require('./lang/csharp.js'))\nrefractor.register(require('./lang/cshtml.js'))\nrefractor.register(require('./lang/csp.js'))\nrefractor.register(require('./lang/css-extras.js'))\nrefractor.register(require('./lang/csv.js'))\nrefractor.register(require('./lang/cypher.js'))\nrefractor.register(require('./lang/d.js'))\nrefractor.register(require('./lang/dart.js'))\nrefractor.register(require('./lang/dataweave.js'))\nrefractor.register(require('./lang/dax.js'))\nrefractor.register(require('./lang/dhall.js'))\nrefractor.register(require('./lang/diff.js'))\nrefractor.register(require('./lang/django.js'))\nrefractor.register(require('./lang/dns-zone-file.js'))\nrefractor.register(require('./lang/docker.js'))\nrefractor.register(require('./lang/dot.js'))\nrefractor.register(require('./lang/ebnf.js'))\nrefractor.register(require('./lang/editorconfig.js'))\nrefractor.register(require('./lang/eiffel.js'))\nrefractor.register(require('./lang/ejs.js'))\nrefractor.register(require('./lang/elixir.js'))\nrefractor.register(require('./lang/elm.js'))\nrefractor.register(require('./lang/erb.js'))\nrefractor.register(require('./lang/erlang.js'))\nrefractor.register(require('./lang/etlua.js'))\nrefractor.register(require('./lang/excel-formula.js'))\nrefractor.register(require('./lang/factor.js'))\nrefractor.register(require('./lang/false.js'))\nrefractor.register(require('./lang/firestore-security-rules.js'))\nrefractor.register(require('./lang/flow.js'))\nrefractor.register(require('./lang/fortran.js'))\nrefractor.register(require('./lang/fsharp.js'))\nrefractor.register(require('./lang/ftl.js'))\nrefractor.register(require('./lang/gap.js'))\nrefractor.register(require('./lang/gcode.js'))\nrefractor.register(require('./lang/gdscript.js'))\nrefractor.register(require('./lang/gedcom.js'))\nrefractor.register(require('./lang/gherkin.js'))\nrefractor.register(require('./lang/git.js'))\nrefractor.register(require('./lang/glsl.js'))\nrefractor.register(require('./lang/gml.js'))\nrefractor.register(require('./lang/gn.js'))\nrefractor.register(require('./lang/go-module.js'))\nrefractor.register(require('./lang/go.js'))\nrefractor.register(require('./lang/graphql.js'))\nrefractor.register(require('./lang/groovy.js'))\nrefractor.register(require('./lang/haml.js'))\nrefractor.register(require('./lang/handlebars.js'))\nrefractor.register(require('./lang/haskell.js'))\nrefractor.register(require('./lang/haxe.js'))\nrefractor.register(require('./lang/hcl.js'))\nrefractor.register(require('./lang/hlsl.js'))\nrefractor.register(require('./lang/hoon.js'))\nrefractor.register(require('./lang/hpkp.js'))\nrefractor.register(require('./lang/hsts.js'))\nrefractor.register(require('./lang/http.js'))\nrefractor.register(require('./lang/ichigojam.js'))\nrefractor.register(require('./lang/icon.js'))\nrefractor.register(require('./lang/icu-message-format.js'))\nrefractor.register(require('./lang/idris.js'))\nrefractor.register(require('./lang/iecst.js'))\nrefractor.register(require('./lang/ignore.js'))\nrefractor.register(require('./lang/inform7.js'))\nrefractor.register(require('./lang/ini.js'))\nrefractor.register(require('./lang/io.js'))\nrefractor.register(require('./lang/j.js'))\nrefractor.register(require('./lang/java.js'))\nrefractor.register(require('./lang/javadoc.js'))\nrefractor.register(require('./lang/javadoclike.js'))\nrefractor.register(require('./lang/javastacktrace.js'))\nrefractor.register(require('./lang/jexl.js'))\nrefractor.register(require('./lang/jolie.js'))\nrefractor.register(require('./lang/jq.js'))\nrefractor.register(require('./lang/js-extras.js'))\nrefractor.register(require('./lang/js-templates.js'))\nrefractor.register(require('./lang/jsdoc.js'))\nrefractor.register(require('./lang/json.js'))\nrefractor.register(require('./lang/json5.js'))\nrefractor.register(require('./lang/jsonp.js'))\nrefractor.register(require('./lang/jsstacktrace.js'))\nrefractor.register(require('./lang/jsx.js'))\nrefractor.register(require('./lang/julia.js'))\nrefractor.register(require('./lang/keepalived.js'))\nrefractor.register(require('./lang/keyman.js'))\nrefractor.register(require('./lang/kotlin.js'))\nrefractor.register(require('./lang/kumir.js'))\nrefractor.register(require('./lang/kusto.js'))\nrefractor.register(require('./lang/latex.js'))\nrefractor.register(require('./lang/latte.js'))\nrefractor.register(require('./lang/less.js'))\nrefractor.register(require('./lang/lilypond.js'))\nrefractor.register(require('./lang/liquid.js'))\nrefractor.register(require('./lang/lisp.js'))\nrefractor.register(require('./lang/livescript.js'))\nrefractor.register(require('./lang/llvm.js'))\nrefractor.register(require('./lang/log.js'))\nrefractor.register(require('./lang/lolcode.js'))\nrefractor.register(require('./lang/lua.js'))\nrefractor.register(require('./lang/magma.js'))\nrefractor.register(require('./lang/makefile.js'))\nrefractor.register(require('./lang/markdown.js'))\nrefractor.register(require('./lang/markup-templating.js'))\nrefractor.register(require('./lang/matlab.js'))\nrefractor.register(require('./lang/maxscript.js'))\nrefractor.register(require('./lang/mel.js'))\nrefractor.register(require('./lang/mermaid.js'))\nrefractor.register(require('./lang/mizar.js'))\nrefractor.register(require('./lang/mongodb.js'))\nrefractor.register(require('./lang/monkey.js'))\nrefractor.register(require('./lang/moonscript.js'))\nrefractor.register(require('./lang/n1ql.js'))\nrefractor.register(require('./lang/n4js.js'))\nrefractor.register(require('./lang/nand2tetris-hdl.js'))\nrefractor.register(require('./lang/naniscript.js'))\nrefractor.register(require('./lang/nasm.js'))\nrefractor.register(require('./lang/neon.js'))\nrefractor.register(require('./lang/nevod.js'))\nrefractor.register(require('./lang/nginx.js'))\nrefractor.register(require('./lang/nim.js'))\nrefractor.register(require('./lang/nix.js'))\nrefractor.register(require('./lang/nsis.js'))\nrefractor.register(require('./lang/objectivec.js'))\nrefractor.register(require('./lang/ocaml.js'))\nrefractor.register(require('./lang/opencl.js'))\nrefractor.register(require('./lang/openqasm.js'))\nrefractor.register(require('./lang/oz.js'))\nrefractor.register(require('./lang/parigp.js'))\nrefractor.register(require('./lang/parser.js'))\nrefractor.register(require('./lang/pascal.js'))\nrefractor.register(require('./lang/pascaligo.js'))\nrefractor.register(require('./lang/pcaxis.js'))\nrefractor.register(require('./lang/peoplecode.js'))\nrefractor.register(require('./lang/perl.js'))\nrefractor.register(require('./lang/php-extras.js'))\nrefractor.register(require('./lang/php.js'))\nrefractor.register(require('./lang/phpdoc.js'))\nrefractor.register(require('./lang/plsql.js'))\nrefractor.register(require('./lang/powerquery.js'))\nrefractor.register(require('./lang/powershell.js'))\nrefractor.register(require('./lang/processing.js'))\nrefractor.register(require('./lang/prolog.js'))\nrefractor.register(require('./lang/promql.js'))\nrefractor.register(require('./lang/properties.js'))\nrefractor.register(require('./lang/protobuf.js'))\nrefractor.register(require('./lang/psl.js'))\nrefractor.register(require('./lang/pug.js'))\nrefractor.register(require('./lang/puppet.js'))\nrefractor.register(require('./lang/pure.js'))\nrefractor.register(require('./lang/purebasic.js'))\nrefractor.register(require('./lang/purescript.js'))\nrefractor.register(require('./lang/python.js'))\nrefractor.register(require('./lang/q.js'))\nrefractor.register(require('./lang/qml.js'))\nrefractor.register(require('./lang/qore.js'))\nrefractor.register(require('./lang/qsharp.js'))\nrefractor.register(require('./lang/r.js'))\nrefractor.register(require('./lang/racket.js'))\nrefractor.register(require('./lang/reason.js'))\nrefractor.register(require('./lang/regex.js'))\nrefractor.register(require('./lang/rego.js'))\nrefractor.register(require('./lang/renpy.js'))\nrefractor.register(require('./lang/rest.js'))\nrefractor.register(require('./lang/rip.js'))\nrefractor.register(require('./lang/roboconf.js'))\nrefractor.register(require('./lang/robotframework.js'))\nrefractor.register(require('./lang/ruby.js'))\nrefractor.register(require('./lang/rust.js'))\nrefractor.register(require('./lang/sas.js'))\nrefractor.register(require('./lang/sass.js'))\nrefractor.register(require('./lang/scala.js'))\nrefractor.register(require('./lang/scheme.js'))\nrefractor.register(require('./lang/scss.js'))\nrefractor.register(require('./lang/shell-session.js'))\nrefractor.register(require('./lang/smali.js'))\nrefractor.register(require('./lang/smalltalk.js'))\nrefractor.register(require('./lang/smarty.js'))\nrefractor.register(require('./lang/sml.js'))\nrefractor.register(require('./lang/solidity.js'))\nrefractor.register(require('./lang/solution-file.js'))\nrefractor.register(require('./lang/soy.js'))\nrefractor.register(require('./lang/sparql.js'))\nrefractor.register(require('./lang/splunk-spl.js'))\nrefractor.register(require('./lang/sqf.js'))\nrefractor.register(require('./lang/sql.js'))\nrefractor.register(require('./lang/squirrel.js'))\nrefractor.register(require('./lang/stan.js'))\nrefractor.register(require('./lang/stylus.js'))\nrefractor.register(require('./lang/swift.js'))\nrefractor.register(require('./lang/systemd.js'))\nrefractor.register(require('./lang/t4-cs.js'))\nrefractor.register(require('./lang/t4-templating.js'))\nrefractor.register(require('./lang/t4-vb.js'))\nrefractor.register(require('./lang/tap.js'))\nrefractor.register(require('./lang/tcl.js'))\nrefractor.register(require('./lang/textile.js'))\nrefractor.register(require('./lang/toml.js'))\nrefractor.register(require('./lang/tremor.js'))\nrefractor.register(require('./lang/tsx.js'))\nrefractor.register(require('./lang/tt2.js'))\nrefractor.register(require('./lang/turtle.js'))\nrefractor.register(require('./lang/twig.js'))\nrefractor.register(require('./lang/typescript.js'))\nrefractor.register(require('./lang/typoscript.js'))\nrefractor.register(require('./lang/unrealscript.js'))\nrefractor.register(require('./lang/uorazor.js'))\nrefractor.register(require('./lang/uri.js'))\nrefractor.register(require('./lang/v.js'))\nrefractor.register(require('./lang/vala.js'))\nrefractor.register(require('./lang/vbnet.js'))\nrefractor.register(require('./lang/velocity.js'))\nrefractor.register(require('./lang/verilog.js'))\nrefractor.register(require('./lang/vhdl.js'))\nrefractor.register(require('./lang/vim.js'))\nrefractor.register(require('./lang/visual-basic.js'))\nrefractor.register(require('./lang/warpscript.js'))\nrefractor.register(require('./lang/wasm.js'))\nrefractor.register(require('./lang/web-idl.js'))\nrefractor.register(require('./lang/wiki.js'))\nrefractor.register(require('./lang/wolfram.js'))\nrefractor.register(require('./lang/wren.js'))\nrefractor.register(require('./lang/xeora.js'))\nrefractor.register(require('./lang/xml-doc.js'))\nrefractor.register(require('./lang/xojo.js'))\nrefractor.register(require('./lang/xquery.js'))\nrefractor.register(require('./lang/yaml.js'))\nrefractor.register(require('./lang/yang.js'))\nrefractor.register(require('./lang/zig.js'))\n","'use strict'\n\nmodule.exports = abap\nabap.displayName = 'abap'\nabap.aliases = []\nfunction abap(Prism) {\n Prism.languages.abap = {\n comment: /^\\*.*/m,\n string: /(`|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n 'string-template': {\n pattern: /([|}])(?:\\\\.|[^\\\\|{\\r\\n])*(?=[|{])/,\n lookbehind: true,\n alias: 'string'\n },\n /* End Of Line comments should not interfere with strings when the\nquote character occurs within them. We assume a string being highlighted\ninside an EOL comment is more acceptable than the opposite.\n*/\n 'eol-comment': {\n pattern: /(^|\\s)\".*/m,\n lookbehind: true,\n alias: 'comment'\n },\n keyword: {\n pattern:\n /(\\s|\\.|^)(?:SCIENTIFIC_WITH_LEADING_ZERO|SCALE_PRESERVING_SCIENTIFIC|RMC_COMMUNICATION_FAILURE|END-ENHANCEMENT-SECTION|MULTIPLY-CORRESPONDING|SUBTRACT-CORRESPONDING|VERIFICATION-MESSAGE|DIVIDE-CORRESPONDING|ENHANCEMENT-SECTION|CURRENCY_CONVERSION|RMC_SYSTEM_FAILURE|START-OF-SELECTION|MOVE-CORRESPONDING|RMC_INVALID_STATUS|CUSTOMER-FUNCTION|END-OF-DEFINITION|ENHANCEMENT-POINT|SYSTEM-EXCEPTIONS|ADD-CORRESPONDING|SCALE_PRESERVING|SELECTION-SCREEN|CURSOR-SELECTION|END-OF-SELECTION|LOAD-OF-PROGRAM|SCROLL-BOUNDARY|SELECTION-TABLE|EXCEPTION-TABLE|IMPLEMENTATIONS|PARAMETER-TABLE|RIGHT-JUSTIFIED|UNIT_CONVERSION|AUTHORITY-CHECK|LIST-PROCESSING|SIGN_AS_POSTFIX|COL_BACKGROUND|IMPLEMENTATION|INTERFACE-POOL|TRANSFORMATION|IDENTIFICATION|ENDENHANCEMENT|LINE-SELECTION|INITIALIZATION|LEFT-JUSTIFIED|SELECT-OPTIONS|SELECTION-SETS|COMMUNICATION|CORRESPONDING|DECIMAL_SHIFT|PRINT-CONTROL|VALUE-REQUEST|CHAIN-REQUEST|FUNCTION-POOL|FIELD-SYMBOLS|FUNCTIONALITY|INVERTED-DATE|SELECTION-SET|CLASS-METHODS|OUTPUT-LENGTH|CLASS-CODING|COL_NEGATIVE|ERRORMESSAGE|FIELD-GROUPS|HELP-REQUEST|NO-EXTENSION|NO-TOPOFPAGE|REDEFINITION|DISPLAY-MODE|ENDINTERFACE|EXIT-COMMAND|FIELD-SYMBOL|NO-SCROLLING|SHORTDUMP-ID|ACCESSPOLICY|CLASS-EVENTS|COL_POSITIVE|DECLARATIONS|ENHANCEMENTS|FILTER-TABLE|SWITCHSTATES|SYNTAX-CHECK|TRANSPORTING|ASYNCHRONOUS|SYNTAX-TRACE|TOKENIZATION|USER-COMMAND|WITH-HEADING|ABAP-SOURCE|BREAK-POINT|CHAIN-INPUT|COMPRESSION|FIXED-POINT|NEW-SECTION|NON-UNICODE|OCCURRENCES|RESPONSIBLE|SYSTEM-CALL|TRACE-TABLE|ABBREVIATED|CHAR-TO-HEX|END-OF-FILE|ENDFUNCTION|ENVIRONMENT|ASSOCIATION|COL_HEADING|EDITOR-CALL|END-OF-PAGE|ENGINEERING|IMPLEMENTED|INTENSIFIED|RADIOBUTTON|SYSTEM-EXIT|TOP-OF-PAGE|TRANSACTION|APPLICATION|CONCATENATE|DESTINATION|ENHANCEMENT|IMMEDIATELY|NO-GROUPING|PRECOMPILED|REPLACEMENT|TITLE-LINES|ACTIVATION|BYTE-ORDER|CLASS-POOL|CONNECTION|CONVERSION|DEFINITION|DEPARTMENT|EXPIRATION|INHERITING|MESSAGE-ID|NO-HEADING|PERFORMING|QUEUE-ONLY|RIGHTSPACE|SCIENTIFIC|STATUSINFO|STRUCTURES|SYNCPOINTS|WITH-TITLE|ATTRIBUTES|BOUNDARIES|CLASS-DATA|COL_NORMAL|DD\\/MM\\/YYYY|DESCENDING|INTERFACES|LINE-COUNT|MM\\/DD\\/YYYY|NON-UNIQUE|PRESERVING|SELECTIONS|STATEMENTS|SUBROUTINE|TRUNCATION|TYPE-POOLS|ARITHMETIC|BACKGROUND|ENDPROVIDE|EXCEPTIONS|IDENTIFIER|INDEX-LINE|OBLIGATORY|PARAMETERS|PERCENTAGE|PUSHBUTTON|RESOLUTION|COMPONENTS|DEALLOCATE|DISCONNECT|DUPLICATES|FIRST-LINE|HEAD-LINES|NO-DISPLAY|OCCURRENCE|RESPECTING|RETURNCODE|SUBMATCHES|TRACE-FILE|ASCENDING|BYPASSING|ENDMODULE|EXCEPTION|EXCLUDING|EXPORTING|INCREMENT|MATCHCODE|PARAMETER|PARTIALLY|PREFERRED|REFERENCE|REPLACING|RETURNING|SELECTION|SEPARATED|SPECIFIED|STATEMENT|TIMESTAMP|TYPE-POOL|ACCEPTING|APPENDAGE|ASSIGNING|COL_GROUP|COMPARING|CONSTANTS|DANGEROUS|IMPORTING|INSTANCES|LEFTSPACE|LOG-POINT|QUICKINFO|READ-ONLY|SCROLLING|SQLSCRIPT|STEP-LOOP|TOP-LINES|TRANSLATE|APPENDING|AUTHORITY|CHARACTER|COMPONENT|CONDITION|DIRECTORY|DUPLICATE|MESSAGING|RECEIVING|SUBSCREEN|ACCORDING|COL_TOTAL|END-LINES|ENDMETHOD|ENDSELECT|EXPANDING|EXTENSION|INCLUDING|INFOTYPES|INTERFACE|INTERVALS|LINE-SIZE|PF-STATUS|PROCEDURE|PROTECTED|REQUESTED|RESUMABLE|RIGHTPLUS|SAP-SPOOL|SECONDARY|STRUCTURE|SUBSTRING|TABLEVIEW|NUMOFCHAR|ADJACENT|ANALYSIS|ASSIGNED|BACKWARD|CHANNELS|CHECKBOX|CONTINUE|CRITICAL|DATAINFO|DD\\/MM\\/YY|DURATION|ENCODING|ENDCLASS|FUNCTION|LEFTPLUS|LINEFEED|MM\\/DD\\/YY|OVERFLOW|RECEIVED|SKIPPING|SORTABLE|STANDARD|SUBTRACT|SUPPRESS|TABSTRIP|TITLEBAR|TRUNCATE|UNASSIGN|WHENEVER|ANALYZER|COALESCE|COMMENTS|CONDENSE|DECIMALS|DEFERRED|ENDWHILE|EXPLICIT|KEYWORDS|MESSAGES|POSITION|PRIORITY|RECEIVER|RENAMING|TIMEZONE|TRAILING|ALLOCATE|CENTERED|CIRCULAR|CONTROLS|CURRENCY|DELETING|DESCRIBE|DISTANCE|ENDCATCH|EXPONENT|EXTENDED|GENERATE|IGNORING|INCLUDES|INTERNAL|MAJOR-ID|MODIFIER|NEW-LINE|OPTIONAL|PROPERTY|ROLLBACK|STARTING|SUPPLIED|ABSTRACT|CHANGING|CONTEXTS|CREATING|CUSTOMER|DATABASE|DAYLIGHT|DEFINING|DISTINCT|DIVISION|ENABLING|ENDCHAIN|ESCAPING|HARMLESS|IMPLICIT|INACTIVE|LANGUAGE|MINOR-ID|MULTIPLY|NEW-PAGE|NO-TITLE|POS_HIGH|SEPARATE|TEXTPOOL|TRANSFER|SELECTOR|DBMAXLEN|ITERATOR|ARCHIVE|BIT-XOR|BYTE-CO|COLLECT|COMMENT|CURRENT|DEFAULT|DISPLAY|ENDFORM|EXTRACT|LEADING|LISTBOX|LOCATOR|MEMBERS|METHODS|NESTING|POS_LOW|PROCESS|PROVIDE|RAISING|RESERVE|SECONDS|SUMMARY|VISIBLE|BETWEEN|BIT-AND|BYTE-CS|CLEANUP|COMPUTE|CONTROL|CONVERT|DATASET|ENDCASE|FORWARD|HEADERS|HOTSPOT|INCLUDE|INVERSE|KEEPING|NO-ZERO|OBJECTS|OVERLAY|PADDING|PATTERN|PROGRAM|REFRESH|SECTION|SUMMING|TESTING|VERSION|WINDOWS|WITHOUT|BIT-NOT|BYTE-CA|BYTE-NA|CASTING|CONTEXT|COUNTRY|DYNAMIC|ENABLED|ENDLOOP|EXECUTE|FRIENDS|HANDLER|HEADING|INITIAL|\\*-INPUT|LOGFILE|MAXIMUM|MINIMUM|NO-GAPS|NO-SIGN|PRAGMAS|PRIMARY|PRIVATE|REDUCED|REPLACE|REQUEST|RESULTS|UNICODE|WARNING|ALIASES|BYTE-CN|BYTE-NS|CALLING|COL_KEY|COLUMNS|CONNECT|ENDEXEC|ENTRIES|EXCLUDE|FILTERS|FURTHER|HELP-ID|LOGICAL|MAPPING|MESSAGE|NAMETAB|OPTIONS|PACKAGE|PERFORM|RECEIVE|STATICS|VARYING|BINDING|CHARLEN|GREATER|XSTRLEN|ACCEPT|APPEND|DETAIL|ELSEIF|ENDING|ENDTRY|FORMAT|FRAMES|GIVING|HASHED|HEADER|IMPORT|INSERT|MARGIN|MODULE|NATIVE|OBJECT|OFFSET|REMOTE|RESUME|SAVING|SIMPLE|SUBMIT|TABBED|TOKENS|UNIQUE|UNPACK|UPDATE|WINDOW|YELLOW|ACTUAL|ASPECT|CENTER|CURSOR|DELETE|DIALOG|DIVIDE|DURING|ERRORS|EVENTS|EXTEND|FILTER|HANDLE|HAVING|IGNORE|LITTLE|MEMORY|NO-GAP|OCCURS|OPTION|PERSON|PLACES|PUBLIC|REDUCE|REPORT|RESULT|SINGLE|SORTED|SWITCH|SYNTAX|TARGET|VALUES|WRITER|ASSERT|BLOCKS|BOUNDS|BUFFER|CHANGE|COLUMN|COMMIT|CONCAT|COPIES|CREATE|DDMMYY|DEFINE|ENDIAN|ESCAPE|EXPAND|KERNEL|LAYOUT|LEGACY|LEVELS|MMDDYY|NUMBER|OUTPUT|RANGES|READER|RETURN|SCREEN|SEARCH|SELECT|SHARED|SOURCE|STABLE|STATIC|SUBKEY|SUFFIX|TABLES|UNWIND|YYMMDD|ASSIGN|BACKUP|BEFORE|BINARY|BIT-OR|BLANKS|CLIENT|CODING|COMMON|DEMAND|DYNPRO|EXCEPT|EXISTS|EXPORT|FIELDS|GLOBAL|GROUPS|LENGTH|LOCALE|MEDIUM|METHOD|MODIFY|NESTED|OTHERS|REJECT|SCROLL|SUPPLY|SYMBOL|ENDFOR|STRLEN|ALIGN|BEGIN|BOUND|ENDAT|ENTRY|EVENT|FINAL|FLUSH|GRANT|INNER|SHORT|USING|WRITE|AFTER|BLACK|BLOCK|CLOCK|COLOR|COUNT|DUMMY|EMPTY|ENDDO|ENDON|GREEN|INDEX|INOUT|LEAVE|LEVEL|LINES|MODIF|ORDER|OUTER|RANGE|RESET|RETRY|RIGHT|SMART|SPLIT|STYLE|TABLE|THROW|UNDER|UNTIL|UPPER|UTF-8|WHERE|ALIAS|BLANK|CLEAR|CLOSE|EXACT|FETCH|FIRST|FOUND|GROUP|LLANG|LOCAL|OTHER|REGEX|SPOOL|TITLE|TYPES|VALID|WHILE|ALPHA|BOXED|CATCH|CHAIN|CHECK|CLASS|COVER|ENDIF|EQUIV|FIELD|FLOOR|FRAME|INPUT|LOWER|MATCH|NODES|PAGES|PRINT|RAISE|ROUND|SHIFT|SPACE|SPOTS|STAMP|STATE|TASKS|TIMES|TRMAC|ULINE|UNION|VALUE|WIDTH|EQUAL|LOG10|TRUNC|BLOB|CASE|CEIL|CLOB|COND|EXIT|FILE|GAPS|HOLD|INCL|INTO|KEEP|KEYS|LAST|LINE|LONG|LPAD|MAIL|MODE|OPEN|PINK|READ|ROWS|TEST|THEN|ZERO|AREA|BACK|BADI|BYTE|CAST|EDIT|EXEC|FAIL|FIND|FKEQ|FONT|FREE|GKEQ|HIDE|INIT|ITNO|LATE|LOOP|MAIN|MARK|MOVE|NEXT|NULL|RISK|ROLE|UNIT|WAIT|ZONE|BASE|CALL|CODE|DATA|DATE|FKGE|GKGE|HIGH|KIND|LEFT|LIST|MASK|MESH|NAME|NODE|PACK|PAGE|POOL|SEND|SIGN|SIZE|SOME|STOP|TASK|TEXT|TIME|USER|VARY|WITH|WORD|BLUE|CONV|COPY|DEEP|ELSE|FORM|FROM|HINT|ICON|JOIN|LIKE|LOAD|ONLY|PART|SCAN|SKIP|SORT|TYPE|UNIX|VIEW|WHEN|WORK|ACOS|ASIN|ATAN|COSH|EACH|FRAC|LESS|RTTI|SINH|SQRT|TANH|AVG|BIT|DIV|ISO|LET|OUT|PAD|SQL|ALL|CI_|CPI|END|LOB|LPI|MAX|MIN|NEW|OLE|RUN|SET|\\?TO|YES|ABS|ADD|AND|BIG|FOR|HDB|JOB|LOW|NOT|SAP|TRY|VIA|XML|ANY|GET|IDS|KEY|MOD|OFF|PUT|RAW|RED|REF|SUM|TAB|XSD|CNT|COS|EXP|LOG|SIN|TAN|XOR|AT|CO|CP|DO|GT|ID|IF|NS|OR|BT|CA|CS|GE|NA|NB|EQ|IN|LT|NE|NO|OF|ON|PF|TO|AS|BY|CN|IS|LE|NP|UP|E|I|M|O|Z|C|X)\\b/i,\n lookbehind: true\n },\n /* Numbers can be only integers. Decimal or Hex appear only as strings */\n number: /\\b\\d+\\b/,\n /* Operators must always be surrounded by whitespace, they cannot be put\nadjacent to operands.\n*/\n operator: {\n pattern: /(\\s)(?:\\*\\*?|<[=>]?|>=?|\\?=|[-+\\/=])(?=\\s)/,\n lookbehind: true\n },\n 'string-operator': {\n pattern: /(\\s)&&?(?=\\s)/,\n lookbehind: true,\n /* The official editor highlights */\n alias: 'keyword'\n },\n 'token-operator': [\n {\n /* Special operators used to access structure components, class methods/attributes, etc. */\n pattern: /(\\w)(?:->?|=>|[~|{}])(?=\\w)/,\n lookbehind: true,\n alias: 'punctuation'\n },\n {\n /* Special tokens used do delimit string templates */\n pattern: /[|{}]/,\n alias: 'punctuation'\n }\n ],\n punctuation: /[,.:()]/\n }\n}\n","'use strict'\n\nmodule.exports = abnf\nabnf.displayName = 'abnf'\nabnf.aliases = []\nfunction abnf(Prism) {\n ;(function (Prism) {\n var coreRules =\n '(?:ALPHA|BIT|CHAR|CR|CRLF|CTL|DIGIT|DQUOTE|HEXDIG|HTAB|LF|LWSP|OCTET|SP|VCHAR|WSP)'\n Prism.languages.abnf = {\n comment: /;.*/,\n string: {\n pattern: /(?:%[is])?\"[^\"\\n\\r]*\"/,\n greedy: true,\n inside: {\n punctuation: /^%[is]/\n }\n },\n range: {\n pattern: /%(?:b[01]+-[01]+|d\\d+-\\d+|x[A-F\\d]+-[A-F\\d]+)/i,\n alias: 'number'\n },\n terminal: {\n pattern:\n /%(?:b[01]+(?:\\.[01]+)*|d\\d+(?:\\.\\d+)*|x[A-F\\d]+(?:\\.[A-F\\d]+)*)/i,\n alias: 'number'\n },\n repetition: {\n pattern: /(^|[^\\w-])(?:\\d*\\*\\d*|\\d+)/,\n lookbehind: true,\n alias: 'operator'\n },\n definition: {\n pattern: /(^[ \\t]*)(?:[a-z][\\w-]*|<[^<>\\r\\n]*>)(?=\\s*=)/m,\n lookbehind: true,\n alias: 'keyword',\n inside: {\n punctuation: /<|>/\n }\n },\n 'core-rule': {\n pattern: RegExp(\n '(?:(^|[^<\\\\w-])' + coreRules + '|<' + coreRules + '>)(?![\\\\w-])',\n 'i'\n ),\n lookbehind: true,\n alias: ['rule', 'constant'],\n inside: {\n punctuation: /<|>/\n }\n },\n rule: {\n pattern: /(^|[^<\\w-])[a-z][\\w-]*|<[^<>\\r\\n]*>/i,\n lookbehind: true,\n inside: {\n punctuation: /<|>/\n }\n },\n operator: /=\\/?|\\//,\n punctuation: /[()\\[\\]]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = actionscript\nactionscript.displayName = 'actionscript'\nactionscript.aliases = []\nfunction actionscript(Prism) {\n Prism.languages.actionscript = Prism.languages.extend('javascript', {\n keyword:\n /\\b(?:as|break|case|catch|class|const|default|delete|do|dynamic|each|else|extends|final|finally|for|function|get|if|implements|import|in|include|instanceof|interface|internal|is|namespace|native|new|null|override|package|private|protected|public|return|set|static|super|switch|this|throw|try|typeof|use|var|void|while|with)\\b/,\n operator: /\\+\\+|--|(?:[+\\-*\\/%^]|&&?|\\|\\|?|<<?|>>?>?|[!=]=?)=?|[~?@]/\n })\n Prism.languages.actionscript['class-name'].alias = 'function' // doesn't work with AS because AS is too complex\n delete Prism.languages.actionscript['parameter']\n delete Prism.languages.actionscript['literal-property']\n if (Prism.languages.markup) {\n Prism.languages.insertBefore('actionscript', 'string', {\n xml: {\n pattern:\n /(^|[^.])<\\/?\\w+(?:\\s+[^\\s>\\/=]+=(\"|')(?:\\\\[\\s\\S]|(?!\\2)[^\\\\])*\\2)*\\s*\\/?>/,\n lookbehind: true,\n inside: Prism.languages.markup\n }\n })\n }\n}\n","'use strict'\n\nmodule.exports = ada\nada.displayName = 'ada'\nada.aliases = []\nfunction ada(Prism) {\n Prism.languages.ada = {\n comment: /--.*/,\n string: /\"(?:\"\"|[^\"\\r\\f\\n])*\"/,\n number: [\n {\n pattern:\n /\\b\\d(?:_?\\d)*#[\\dA-F](?:_?[\\dA-F])*(?:\\.[\\dA-F](?:_?[\\dA-F])*)?#(?:E[+-]?\\d(?:_?\\d)*)?/i\n },\n {\n pattern: /\\b\\d(?:_?\\d)*(?:\\.\\d(?:_?\\d)*)?(?:E[+-]?\\d(?:_?\\d)*)?\\b/i\n }\n ],\n 'attr-name': /\\b'\\w+/,\n keyword:\n /\\b(?:abort|abs|abstract|accept|access|aliased|all|and|array|at|begin|body|case|constant|declare|delay|delta|digits|do|else|elsif|end|entry|exception|exit|for|function|generic|goto|if|in|interface|is|limited|loop|mod|new|not|null|of|others|out|overriding|package|pragma|private|procedure|protected|raise|range|record|rem|renames|requeue|return|reverse|select|separate|some|subtype|synchronized|tagged|task|terminate|then|type|until|use|when|while|with|xor)\\b/i,\n boolean: /\\b(?:false|true)\\b/i,\n operator: /<[=>]?|>=?|=>?|:=|\\/=?|\\*\\*?|[&+-]/,\n punctuation: /\\.\\.?|[,;():]/,\n char: /'.'/,\n variable: /\\b[a-z](?:\\w)*\\b/i\n }\n}\n","'use strict'\n\nmodule.exports = agda\nagda.displayName = 'agda'\nagda.aliases = []\nfunction agda(Prism) {\n ;(function (Prism) {\n Prism.languages.agda = {\n comment: /\\{-[\\s\\S]*?(?:-\\}|$)|--.*/,\n string: {\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\r\\n\"])*\"/,\n greedy: true\n },\n punctuation: /[(){}⦃⦄.;@]/,\n 'class-name': {\n pattern: /((?:data|record) +)\\S+/,\n lookbehind: true\n },\n function: {\n pattern: /(^[ \\t]*)(?!\\s)[^:\\r\\n]+(?=:)/m,\n lookbehind: true\n },\n operator: {\n pattern: /(^\\s*|\\s)(?:[=|:∀→λ\\\\?_]|->)(?=\\s)/,\n lookbehind: true\n },\n keyword:\n /\\b(?:Set|abstract|constructor|data|eta-equality|field|forall|hiding|import|in|inductive|infix|infixl|infixr|instance|let|macro|module|mutual|no-eta-equality|open|overlap|pattern|postulate|primitive|private|public|quote|quoteContext|quoteGoal|quoteTerm|record|renaming|rewrite|syntax|tactic|unquote|unquoteDecl|unquoteDef|using|variable|where|with)\\b/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = al\nal.displayName = 'al'\nal.aliases = []\nfunction al(Prism) {\n // based on https://github.com/microsoft/AL/blob/master/grammar/alsyntax.tmlanguage\n Prism.languages.al = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n string: {\n pattern: /'(?:''|[^'\\r\\n])*'(?!')|\"(?:\"\"|[^\"\\r\\n])*\"(?!\")/,\n greedy: true\n },\n function: {\n pattern:\n /(\\b(?:event|procedure|trigger)\\s+|(?:^|[^.])\\.\\s*)[a-z_]\\w*(?=\\s*\\()/i,\n lookbehind: true\n },\n keyword: [\n // keywords\n /\\b(?:array|asserterror|begin|break|case|do|downto|else|end|event|exit|for|foreach|function|if|implements|in|indataset|interface|internal|local|of|procedure|program|protected|repeat|runonclient|securityfiltering|suppressdispose|temporary|then|to|trigger|until|var|while|with|withevents)\\b/i, // objects and metadata that are used like keywords\n /\\b(?:action|actions|addafter|addbefore|addfirst|addlast|area|assembly|chartpart|codeunit|column|controladdin|cuegroup|customizes|dataitem|dataset|dotnet|elements|enum|enumextension|extends|field|fieldattribute|fieldelement|fieldgroup|fieldgroups|fields|filter|fixed|grid|group|key|keys|label|labels|layout|modify|moveafter|movebefore|movefirst|movelast|page|pagecustomization|pageextension|part|profile|query|repeater|report|requestpage|schema|separator|systempart|table|tableelement|tableextension|textattribute|textelement|type|usercontrol|value|xmlport)\\b/i\n ],\n number:\n /\\b(?:0x[\\da-f]+|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?)(?:F|LL?|U(?:LL?)?)?\\b/i,\n boolean: /\\b(?:false|true)\\b/i,\n variable: /\\b(?:Curr(?:FieldNo|Page|Report)|x?Rec|RequestOptionsPage)\\b/,\n 'class-name':\n /\\b(?:automation|biginteger|bigtext|blob|boolean|byte|char|clienttype|code|completiontriggererrorlevel|connectiontype|database|dataclassification|datascope|date|dateformula|datetime|decimal|defaultlayout|dialog|dictionary|dotnetassembly|dotnettypedeclaration|duration|errorinfo|errortype|executioncontext|executionmode|fieldclass|fieldref|fieldtype|file|filterpagebuilder|guid|httpclient|httpcontent|httpheaders|httprequestmessage|httpresponsemessage|instream|integer|joker|jsonarray|jsonobject|jsontoken|jsonvalue|keyref|list|moduledependencyinfo|moduleinfo|none|notification|notificationscope|objecttype|option|outstream|pageresult|record|recordid|recordref|reportformat|securityfilter|sessionsettings|tableconnectiontype|tablefilter|testaction|testfield|testfilterfield|testpage|testpermissions|testrequestpage|text|textbuilder|textconst|textencoding|time|transactionmodel|transactiontype|variant|verbosity|version|view|views|webserviceactioncontext|webserviceactionresultcode|xmlattribute|xmlattributecollection|xmlcdata|xmlcomment|xmldeclaration|xmldocument|xmldocumenttype|xmlelement|xmlnamespacemanager|xmlnametable|xmlnode|xmlnodelist|xmlprocessinginstruction|xmlreadoptions|xmltext|xmlwriteoptions)\\b/i,\n operator: /\\.\\.|:[=:]|[-+*/]=?|<>|[<>]=?|=|\\b(?:and|div|mod|not|or|xor)\\b/i,\n punctuation: /[()\\[\\]{}:.;,]/\n }\n}\n","'use strict'\n\nmodule.exports = antlr4\nantlr4.displayName = 'antlr4'\nantlr4.aliases = ['g4']\nfunction antlr4(Prism) {\n Prism.languages.antlr4 = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n string: {\n pattern: /'(?:\\\\.|[^\\\\'\\r\\n])*'/,\n greedy: true\n },\n 'character-class': {\n pattern: /\\[(?:\\\\.|[^\\\\\\]\\r\\n])*\\]/,\n greedy: true,\n alias: 'regex',\n inside: {\n range: {\n pattern: /([^[]|(?:^|[^\\\\])(?:\\\\\\\\)*\\\\\\[)-(?!\\])/,\n lookbehind: true,\n alias: 'punctuation'\n },\n escape:\n /\\\\(?:u(?:[a-fA-F\\d]{4}|\\{[a-fA-F\\d]+\\})|[pP]\\{[=\\w-]+\\}|[^\\r\\nupP])/,\n punctuation: /[\\[\\]]/\n }\n },\n action: {\n pattern: /\\{(?:[^{}]|\\{(?:[^{}]|\\{(?:[^{}]|\\{[^{}]*\\})*\\})*\\})*\\}/,\n greedy: true,\n inside: {\n content: {\n // this might be C, C++, Python, Java, C#, or any other language ANTLR4 compiles to\n pattern: /(\\{)[\\s\\S]+(?=\\})/,\n lookbehind: true\n },\n punctuation: /[{}]/\n }\n },\n command: {\n pattern:\n /(->\\s*(?!\\s))(?:\\s*(?:,\\s*)?\\b[a-z]\\w*(?:\\s*\\([^()\\r\\n]*\\))?)+(?=\\s*;)/i,\n lookbehind: true,\n inside: {\n function: /\\b\\w+(?=\\s*(?:[,(]|$))/,\n punctuation: /[,()]/\n }\n },\n annotation: {\n pattern: /@\\w+(?:::\\w+)*/,\n alias: 'keyword'\n },\n label: {\n pattern: /#[ \\t]*\\w+/,\n alias: 'punctuation'\n },\n keyword:\n /\\b(?:catch|channels|finally|fragment|grammar|import|lexer|locals|mode|options|parser|returns|throws|tokens)\\b/,\n definition: [\n {\n pattern: /\\b[a-z]\\w*(?=\\s*:)/,\n alias: ['rule', 'class-name']\n },\n {\n pattern: /\\b[A-Z]\\w*(?=\\s*:)/,\n alias: ['token', 'constant']\n }\n ],\n constant: /\\b[A-Z][A-Z_]*\\b/,\n operator: /\\.\\.|->|[|~]|[*+?]\\??/,\n punctuation: /[;:()=]/\n }\n Prism.languages.g4 = Prism.languages.antlr4\n}\n","'use strict'\n\nmodule.exports = apacheconf\napacheconf.displayName = 'apacheconf'\napacheconf.aliases = []\nfunction apacheconf(Prism) {\n Prism.languages.apacheconf = {\n comment: /#.*/,\n 'directive-inline': {\n pattern:\n /(^[\\t ]*)\\b(?:AcceptFilter|AcceptPathInfo|AccessFileName|Action|Add(?:Alt|AltByEncoding|AltByType|Charset|DefaultCharset|Description|Encoding|Handler|Icon|IconByEncoding|IconByType|InputFilter|Language|ModuleInfo|OutputFilter|OutputFilterByType|Type)|Alias|AliasMatch|Allow(?:CONNECT|EncodedSlashes|Methods|Override|OverrideList)?|Anonymous(?:_LogEmail|_MustGiveEmail|_NoUserID|_VerifyEmail)?|AsyncRequestWorkerFactor|Auth(?:BasicAuthoritative|BasicFake|BasicProvider|BasicUseDigestAlgorithm|DBDUserPWQuery|DBDUserRealmQuery|DBMGroupFile|DBMType|DBMUserFile|Digest(?:Algorithm|Domain|NonceLifetime|Provider|Qop|ShmemSize)|Form(?:Authoritative|Body|DisableNoStore|FakeBasicAuth|Location|LoginRequiredLocation|LoginSuccessLocation|LogoutLocation|Method|Mimetype|Password|Provider|SitePassphrase|Size|Username)|GroupFile|LDAP(?:AuthorizePrefix|BindAuthoritative|BindDN|BindPassword|CharsetConfig|CompareAsUser|CompareDNOnServer|DereferenceAliases|GroupAttribute|GroupAttributeIsDN|InitialBindAsUser|InitialBindPattern|MaxSubGroupDepth|RemoteUserAttribute|RemoteUserIsDN|SearchAsUser|SubGroupAttribute|SubGroupClass|Url)|Merging|Name|nCache(?:Context|Enable|ProvideFor|SOCache|Timeout)|nzFcgiCheckAuthnProvider|nzFcgiDefineProvider|Type|UserFile|zDBDLoginToReferer|zDBDQuery|zDBDRedirectQuery|zDBMType|zSendForbiddenOnFailure)|BalancerGrowth|BalancerInherit|BalancerMember|BalancerPersist|BrowserMatch|BrowserMatchNoCase|BufferedLogs|BufferSize|Cache(?:DefaultExpire|DetailHeader|DirLength|DirLevels|Disable|Enable|File|Header|IgnoreCacheControl|IgnoreHeaders|IgnoreNoLastMod|IgnoreQueryString|IgnoreURLSessionIdentifiers|KeyBaseURL|LastModifiedFactor|Lock|LockMaxAge|LockPath|MaxExpire|MaxFileSize|MinExpire|MinFileSize|NegotiatedDocs|QuickHandler|ReadSize|ReadTime|Root|Socache(?:MaxSize|MaxTime|MinTime|ReadSize|ReadTime)?|StaleOnError|StoreExpired|StoreNoStore|StorePrivate)|CGIDScriptTimeout|CGIMapExtension|CharsetDefault|CharsetOptions|CharsetSourceEnc|CheckCaseOnly|CheckSpelling|ChrootDir|ContentDigest|CookieDomain|CookieExpires|CookieName|CookieStyle|CookieTracking|CoreDumpDirectory|CustomLog|Dav|DavDepthInfinity|DavGenericLockDB|DavLockDB|DavMinTimeout|DBDExptime|DBDInitSQL|DBDKeep|DBDMax|DBDMin|DBDParams|DBDPersist|DBDPrepareSQL|DBDriver|DefaultIcon|DefaultLanguage|DefaultRuntimeDir|DefaultType|Define|Deflate(?:BufferSize|CompressionLevel|FilterNote|InflateLimitRequestBody|InflateRatio(?:Burst|Limit)|MemLevel|WindowSize)|Deny|DirectoryCheckHandler|DirectoryIndex|DirectoryIndexRedirect|DirectorySlash|DocumentRoot|DTracePrivileges|DumpIOInput|DumpIOOutput|EnableExceptionHook|EnableMMAP|EnableSendfile|Error|ErrorDocument|ErrorLog|ErrorLogFormat|Example|ExpiresActive|ExpiresByType|ExpiresDefault|ExtendedStatus|ExtFilterDefine|ExtFilterOptions|FallbackResource|FileETag|FilterChain|FilterDeclare|FilterProtocol|FilterProvider|FilterTrace|ForceLanguagePriority|ForceType|ForensicLog|GprofDir|GracefulShutdownTimeout|Group|Header|HeaderName|Heartbeat(?:Address|Listen|MaxServers|Storage)|HostnameLookups|IdentityCheck|IdentityCheckTimeout|ImapBase|ImapDefault|ImapMenu|Include|IncludeOptional|Index(?:HeadInsert|Ignore|IgnoreReset|Options|OrderDefault|StyleSheet)|InputSed|ISAPI(?:AppendLogToErrors|AppendLogToQuery|CacheFile|FakeAsync|LogNotSupported|ReadAheadBuffer)|KeepAlive|KeepAliveTimeout|KeptBodySize|LanguagePriority|LDAP(?:CacheEntries|CacheTTL|ConnectionPoolTTL|ConnectionTimeout|LibraryDebug|OpCacheEntries|OpCacheTTL|ReferralHopLimit|Referrals|Retries|RetryDelay|SharedCacheFile|SharedCacheSize|Timeout|TrustedClientCert|TrustedGlobalCert|TrustedMode|VerifyServerCert)|Limit(?:InternalRecursion|Request(?:Body|Fields|FieldSize|Line)|XMLRequestBody)|Listen|ListenBackLog|LoadFile|LoadModule|LogFormat|LogLevel|LogMessage|LuaAuthzProvider|LuaCodeCache|Lua(?:Hook(?:AccessChecker|AuthChecker|CheckUserID|Fixups|InsertFilter|Log|MapToStorage|TranslateName|TypeChecker)|Inherit|InputFilter|MapHandler|OutputFilter|PackageCPath|PackagePath|QuickHandler|Root|Scope)|Max(?:ConnectionsPerChild|KeepAliveRequests|MemFree|RangeOverlaps|RangeReversals|Ranges|RequestWorkers|SpareServers|SpareThreads|Threads)|MergeTrailers|MetaDir|MetaFiles|MetaSuffix|MimeMagicFile|MinSpareServers|MinSpareThreads|MMapFile|ModemStandard|ModMimeUsePathInfo|MultiviewsMatch|Mutex|NameVirtualHost|NoProxy|NWSSLTrustedCerts|NWSSLUpgradeable|Options|Order|OutputSed|PassEnv|PidFile|PrivilegesMode|Protocol|ProtocolEcho|Proxy(?:AddHeaders|BadHeader|Block|Domain|ErrorOverride|ExpressDBMFile|ExpressDBMType|ExpressEnable|FtpDirCharset|FtpEscapeWildcards|FtpListOnWildcard|HTML(?:BufSize|CharsetOut|DocType|Enable|Events|Extended|Fixups|Interp|Links|Meta|StripComments|URLMap)|IOBufferSize|MaxForwards|Pass(?:Inherit|InterpolateEnv|Match|Reverse|ReverseCookieDomain|ReverseCookiePath)?|PreserveHost|ReceiveBufferSize|Remote|RemoteMatch|Requests|SCGIInternalRedirect|SCGISendfile|Set|SourceAddress|Status|Timeout|Via)|ReadmeName|ReceiveBufferSize|Redirect|RedirectMatch|RedirectPermanent|RedirectTemp|ReflectorHeader|RemoteIP(?:Header|InternalProxy|InternalProxyList|ProxiesHeader|TrustedProxy|TrustedProxyList)|RemoveCharset|RemoveEncoding|RemoveHandler|RemoveInputFilter|RemoveLanguage|RemoveOutputFilter|RemoveType|RequestHeader|RequestReadTimeout|Require|Rewrite(?:Base|Cond|Engine|Map|Options|Rule)|RLimitCPU|RLimitMEM|RLimitNPROC|Satisfy|ScoreBoardFile|Script(?:Alias|AliasMatch|InterpreterSource|Log|LogBuffer|LogLength|Sock)?|SecureListen|SeeRequestTail|SendBufferSize|Server(?:Admin|Alias|Limit|Name|Path|Root|Signature|Tokens)|Session(?:Cookie(?:Name|Name2|Remove)|Crypto(?:Cipher|Driver|Passphrase|PassphraseFile)|DBD(?:CookieName|CookieName2|CookieRemove|DeleteLabel|InsertLabel|PerUser|SelectLabel|UpdateLabel)|Env|Exclude|Header|Include|MaxAge)?|SetEnv|SetEnvIf|SetEnvIfExpr|SetEnvIfNoCase|SetHandler|SetInputFilter|SetOutputFilter|SSIEndTag|SSIErrorMsg|SSIETag|SSILastModified|SSILegacyExprParser|SSIStartTag|SSITimeFormat|SSIUndefinedEcho|SSL(?:CACertificateFile|CACertificatePath|CADNRequestFile|CADNRequestPath|CARevocationCheck|CARevocationFile|CARevocationPath|CertificateChainFile|CertificateFile|CertificateKeyFile|CipherSuite|Compression|CryptoDevice|Engine|FIPS|HonorCipherOrder|InsecureRenegotiation|OCSP(?:DefaultResponder|Enable|OverrideResponder|ResponderTimeout|ResponseMaxAge|ResponseTimeSkew|UseRequestNonce)|OpenSSLConfCmd|Options|PassPhraseDialog|Protocol|Proxy(?:CACertificateFile|CACertificatePath|CARevocation(?:Check|File|Path)|CheckPeer(?:CN|Expire|Name)|CipherSuite|Engine|MachineCertificate(?:ChainFile|File|Path)|Protocol|Verify|VerifyDepth)|RandomSeed|RenegBufferSize|Require|RequireSSL|Session(?:Cache|CacheTimeout|TicketKeyFile|Tickets)|SRPUnknownUserSeed|SRPVerifierFile|Stapling(?:Cache|ErrorCacheTimeout|FakeTryLater|ForceURL|ResponderTimeout|ResponseMaxAge|ResponseTimeSkew|ReturnResponderErrors|StandardCacheTimeout)|StrictSNIVHostCheck|UserName|UseStapling|VerifyClient|VerifyDepth)|StartServers|StartThreads|Substitute|Suexec|SuexecUserGroup|ThreadLimit|ThreadsPerChild|ThreadStackSize|TimeOut|TraceEnable|TransferLog|TypesConfig|UnDefine|UndefMacro|UnsetEnv|Use|UseCanonicalName|UseCanonicalPhysicalPort|User|UserDir|VHostCGIMode|VHostCGIPrivs|VHostGroup|VHostPrivs|VHostSecure|VHostUser|Virtual(?:DocumentRoot|ScriptAlias)(?:IP)?|WatchdogInterval|XBitHack|xml2EncAlias|xml2EncDefault|xml2StartParse)\\b/im,\n lookbehind: true,\n alias: 'property'\n },\n 'directive-block': {\n pattern:\n /<\\/?\\b(?:Auth[nz]ProviderAlias|Directory|DirectoryMatch|Else|ElseIf|Files|FilesMatch|If|IfDefine|IfModule|IfVersion|Limit|LimitExcept|Location|LocationMatch|Macro|Proxy|Require(?:All|Any|None)|VirtualHost)\\b.*>/i,\n inside: {\n 'directive-block': {\n pattern: /^<\\/?\\w+/,\n inside: {\n punctuation: /^<\\/?/\n },\n alias: 'tag'\n },\n 'directive-block-parameter': {\n pattern: /.*[^>]/,\n inside: {\n punctuation: /:/,\n string: {\n pattern: /(\"|').*\\1/,\n inside: {\n variable: /[$%]\\{?(?:\\w\\.?[-+:]?)+\\}?/\n }\n }\n },\n alias: 'attr-value'\n },\n punctuation: />/\n },\n alias: 'tag'\n },\n 'directive-flags': {\n pattern: /\\[(?:[\\w=],?)+\\]/,\n alias: 'keyword'\n },\n string: {\n pattern: /(\"|').*\\1/,\n inside: {\n variable: /[$%]\\{?(?:\\w\\.?[-+:]?)+\\}?/\n }\n },\n variable: /[$%]\\{?(?:\\w\\.?[-+:]?)+\\}?/,\n regex: /\\^?.*\\$|\\^.*\\$?/\n }\n}\n","'use strict'\nvar refractorSql = require('./sql.js')\nmodule.exports = apex\napex.displayName = 'apex'\napex.aliases = []\nfunction apex(Prism) {\n Prism.register(refractorSql)\n ;(function (Prism) {\n var keywords =\n /\\b(?:(?:after|before)(?=\\s+[a-z])|abstract|activate|and|any|array|as|asc|autonomous|begin|bigdecimal|blob|boolean|break|bulk|by|byte|case|cast|catch|char|class|collect|commit|const|continue|currency|date|datetime|decimal|default|delete|desc|do|double|else|end|enum|exception|exit|export|extends|final|finally|float|for|from|get(?=\\s*[{};])|global|goto|group|having|hint|if|implements|import|in|inner|insert|instanceof|int|integer|interface|into|join|like|limit|list|long|loop|map|merge|new|not|null|nulls|number|object|of|on|or|outer|override|package|parallel|pragma|private|protected|public|retrieve|return|rollback|select|set|short|sObject|sort|static|string|super|switch|synchronized|system|testmethod|then|this|throw|time|transaction|transient|trigger|try|undelete|update|upsert|using|virtual|void|webservice|when|where|while|(?:inherited|with|without)\\s+sharing)\\b/i\n var className =\n /\\b(?:(?=[a-z_]\\w*\\s*[<\\[])|(?!<keyword>))[A-Z_]\\w*(?:\\s*\\.\\s*[A-Z_]\\w*)*\\b(?:\\s*(?:\\[\\s*\\]|<(?:[^<>]|<(?:[^<>]|<[^<>]*>)*>)*>))*/.source.replace(\n /<keyword>/g,\n function () {\n return keywords.source\n }\n )\n /** @param {string} pattern */\n function insertClassName(pattern) {\n return RegExp(\n pattern.replace(/<CLASS-NAME>/g, function () {\n return className\n }),\n 'i'\n )\n }\n var classNameInside = {\n keyword: keywords,\n punctuation: /[()\\[\\]{};,:.<>]/\n }\n Prism.languages.apex = {\n comment: Prism.languages.clike.comment,\n string: Prism.languages.clike.string,\n sql: {\n pattern: /((?:[=,({:]|\\breturn)\\s*)\\[[^\\[\\]]*\\]/i,\n lookbehind: true,\n greedy: true,\n alias: 'language-sql',\n inside: Prism.languages.sql\n },\n annotation: {\n pattern: /@\\w+\\b/,\n alias: 'punctuation'\n },\n 'class-name': [\n {\n pattern: insertClassName(\n /(\\b(?:class|enum|extends|implements|instanceof|interface|new|trigger\\s+\\w+\\s+on)\\s+)<CLASS-NAME>/\n .source\n ),\n lookbehind: true,\n inside: classNameInside\n },\n {\n // cast\n pattern: insertClassName(\n /(\\(\\s*)<CLASS-NAME>(?=\\s*\\)\\s*[\\w(])/.source\n ),\n lookbehind: true,\n inside: classNameInside\n },\n {\n // variable/parameter declaration and return types\n pattern: insertClassName(/<CLASS-NAME>(?=\\s*\\w+\\s*[;=,(){:])/.source),\n inside: classNameInside\n }\n ],\n trigger: {\n pattern: /(\\btrigger\\s+)\\w+\\b/i,\n lookbehind: true,\n alias: 'class-name'\n },\n keyword: keywords,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n boolean: /\\b(?:false|true)\\b/i,\n number: /(?:\\B\\.\\d+|\\b\\d+(?:\\.\\d+|L)?)\\b/i,\n operator:\n /[!=](?:==?)?|\\?\\.?|&&|\\|\\||--|\\+\\+|[-+*/^&|]=?|:|<<?=?|>{1,3}=?/,\n punctuation: /[()\\[\\]{};,.]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = apl\napl.displayName = 'apl'\napl.aliases = []\nfunction apl(Prism) {\n Prism.languages.apl = {\n comment: /(?:⍝|#[! ]).*$/m,\n string: {\n pattern: /'(?:[^'\\r\\n]|'')*'/,\n greedy: true\n },\n number:\n /¯?(?:\\d*\\.?\\b\\d+(?:e[+¯]?\\d+)?|¯|∞)(?:j¯?(?:(?:\\d+(?:\\.\\d+)?|\\.\\d+)(?:e[+¯]?\\d+)?|¯|∞))?/i,\n statement: /:[A-Z][a-z][A-Za-z]*\\b/,\n 'system-function': {\n pattern: /⎕[A-Z]+/i,\n alias: 'function'\n },\n constant: /[⍬⌾#⎕⍞]/,\n function: /[-+×÷⌈⌊∣|⍳⍸?*⍟○!⌹<≤=>≥≠≡≢∊⍷∪∩~∨∧⍱⍲⍴,⍪⌽⊖⍉↑↓⊂⊃⊆⊇⌷⍋⍒⊤⊥⍕⍎⊣⊢⍁⍂≈⍯↗¤→]/,\n 'monadic-operator': {\n pattern: /[\\\\\\/⌿⍀¨⍨⌶&∥]/,\n alias: 'operator'\n },\n 'dyadic-operator': {\n pattern: /[.⍣⍠⍤∘⌸@⌺⍥]/,\n alias: 'operator'\n },\n assignment: {\n pattern: /←/,\n alias: 'keyword'\n },\n punctuation: /[\\[;\\]()◇⋄]/,\n dfn: {\n pattern: /[{}⍺⍵⍶⍹∇⍫:]/,\n alias: 'builtin'\n }\n }\n}\n","'use strict'\n\nmodule.exports = applescript\napplescript.displayName = 'applescript'\napplescript.aliases = []\nfunction applescript(Prism) {\n Prism.languages.applescript = {\n comment: [\n // Allow one level of nesting\n /\\(\\*(?:\\(\\*(?:[^*]|\\*(?!\\)))*\\*\\)|(?!\\(\\*)[\\s\\S])*?\\*\\)/,\n /--.+/,\n /#.+/\n ],\n string: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n number: /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e-?\\d+)?\\b/i,\n operator: [\n /[&=≠≤≥*+\\-\\/÷^]|[<>]=?/,\n /\\b(?:(?:begin|end|start)s? with|(?:contains?|(?:does not|doesn't) contain)|(?:is|isn't|is not) (?:contained by|in)|(?:(?:is|isn't|is not) )?(?:greater|less) than(?: or equal)?(?: to)?|(?:comes|(?:does not|doesn't) come) (?:after|before)|(?:is|isn't|is not) equal(?: to)?|(?:(?:does not|doesn't) equal|equal to|equals|is not|isn't)|(?:a )?(?:ref(?: to)?|reference to)|(?:and|as|div|mod|not|or))\\b/\n ],\n keyword:\n /\\b(?:about|above|after|against|apart from|around|aside from|at|back|before|beginning|behind|below|beneath|beside|between|but|by|considering|continue|copy|does|eighth|else|end|equal|error|every|exit|false|fifth|first|for|fourth|from|front|get|given|global|if|ignoring|in|instead of|into|is|it|its|last|local|me|middle|my|ninth|of|on|onto|out of|over|prop|property|put|repeat|return|returning|second|set|seventh|since|sixth|some|tell|tenth|that|the|then|third|through|thru|timeout|times|to|transaction|true|try|until|where|while|whose|with|without)\\b/,\n 'class-name':\n /\\b(?:POSIX file|RGB color|alias|application|boolean|centimeters|centimetres|class|constant|cubic centimeters|cubic centimetres|cubic feet|cubic inches|cubic meters|cubic metres|cubic yards|date|degrees Celsius|degrees Fahrenheit|degrees Kelvin|feet|file|gallons|grams|inches|integer|kilograms|kilometers|kilometres|list|liters|litres|meters|metres|miles|number|ounces|pounds|quarts|real|record|reference|script|square feet|square kilometers|square kilometres|square meters|square metres|square miles|square yards|text|yards)\\b/,\n punctuation: /[{}():,¬«»《》]/\n }\n}\n","'use strict'\n\nmodule.exports = aql\naql.displayName = 'aql'\naql.aliases = []\nfunction aql(Prism) {\n Prism.languages.aql = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n property: {\n pattern:\n /([{,]\\s*)(?:(?!\\d)\\w+|([\"'´`])(?:(?!\\2)[^\\\\\\r\\n]|\\\\.)*\\2)(?=\\s*:)/,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /([\"'])(?:(?!\\1)[^\\\\\\r\\n]|\\\\.)*\\1/,\n greedy: true\n },\n identifier: {\n pattern: /([´`])(?:(?!\\1)[^\\\\\\r\\n]|\\\\.)*\\1/,\n greedy: true\n },\n variable: /@@?\\w+/,\n keyword: [\n {\n pattern: /(\\bWITH\\s+)COUNT(?=\\s+INTO\\b)/i,\n lookbehind: true\n },\n /\\b(?:AGGREGATE|ALL|AND|ANY|ASC|COLLECT|DESC|DISTINCT|FILTER|FOR|GRAPH|IN|INBOUND|INSERT|INTO|K_PATHS|K_SHORTEST_PATHS|LET|LIKE|LIMIT|NONE|NOT|NULL|OR|OUTBOUND|REMOVE|REPLACE|RETURN|SHORTEST_PATH|SORT|UPDATE|UPSERT|WINDOW|WITH)\\b/i, // pseudo keywords get a lookbehind to avoid false positives\n {\n pattern: /(^|[^\\w.[])(?:KEEP|PRUNE|SEARCH|TO)\\b/i,\n lookbehind: true\n },\n {\n pattern: /(^|[^\\w.[])(?:CURRENT|NEW|OLD)\\b/,\n lookbehind: true\n },\n {\n pattern: /\\bOPTIONS(?=\\s*\\{)/i\n }\n ],\n function: /\\b(?!\\d)\\w+(?=\\s*\\()/,\n boolean: /\\b(?:false|true)\\b/i,\n range: {\n pattern: /\\.\\./,\n alias: 'operator'\n },\n number: [\n /\\b0b[01]+/i,\n /\\b0x[0-9a-f]+/i,\n /(?:\\B\\.\\d+|\\b(?:0|[1-9]\\d*)(?:\\.\\d+)?)(?:e[+-]?\\d+)?/i\n ],\n operator: /\\*{2,}|[=!]~|[!=<>]=?|&&|\\|\\||[-+*/%]/,\n punctuation: /::|[?.:,;()[\\]{}]/\n }\n}\n","'use strict'\nvar refractorCpp = require('./cpp.js')\nmodule.exports = arduino\narduino.displayName = 'arduino'\narduino.aliases = ['ino']\nfunction arduino(Prism) {\n Prism.register(refractorCpp)\n Prism.languages.arduino = Prism.languages.extend('cpp', {\n keyword:\n /\\b(?:String|array|bool|boolean|break|byte|case|catch|continue|default|do|double|else|finally|for|function|goto|if|in|instanceof|int|integer|long|loop|new|null|return|setup|string|switch|throw|try|void|while|word)\\b/,\n constant:\n /\\b(?:ANALOG_MESSAGE|DEFAULT|DIGITAL_MESSAGE|EXTERNAL|FIRMATA_STRING|HIGH|INPUT|INPUT_PULLUP|INTERNAL|INTERNAL1V1|INTERNAL2V56|LED_BUILTIN|LOW|OUTPUT|REPORT_ANALOG|REPORT_DIGITAL|SET_PIN_MODE|SYSEX_START|SYSTEM_RESET)\\b/,\n builtin:\n /\\b(?:Audio|BSSID|Bridge|Client|Console|EEPROM|Esplora|EsploraTFT|Ethernet|EthernetClient|EthernetServer|EthernetUDP|File|FileIO|FileSystem|Firmata|GPRS|GSM|GSMBand|GSMClient|GSMModem|GSMPIN|GSMScanner|GSMServer|GSMVoiceCall|GSM_SMS|HttpClient|IPAddress|IRread|Keyboard|KeyboardController|LiquidCrystal|LiquidCrystal_I2C|Mailbox|Mouse|MouseController|PImage|Process|RSSI|RobotControl|RobotMotor|SD|SPI|SSID|Scheduler|Serial|Server|Servo|SoftwareSerial|Stepper|Stream|TFT|Task|USBHost|WiFi|WiFiClient|WiFiServer|WiFiUDP|Wire|YunClient|YunServer|abs|addParameter|analogRead|analogReadResolution|analogReference|analogWrite|analogWriteResolution|answerCall|attach|attachGPRS|attachInterrupt|attached|autoscroll|available|background|beep|begin|beginPacket|beginSD|beginSMS|beginSpeaker|beginTFT|beginTransmission|beginWrite|bit|bitClear|bitRead|bitSet|bitWrite|blink|blinkVersion|buffer|changePIN|checkPIN|checkPUK|checkReg|circle|cityNameRead|cityNameWrite|clear|clearScreen|click|close|compassRead|config|connect|connected|constrain|cos|countryNameRead|countryNameWrite|createChar|cursor|debugPrint|delay|delayMicroseconds|detach|detachInterrupt|digitalRead|digitalWrite|disconnect|display|displayLogos|drawBMP|drawCompass|encryptionType|end|endPacket|endSMS|endTransmission|endWrite|exists|exitValue|fill|find|findUntil|flush|gatewayIP|get|getAsynchronously|getBand|getButton|getCurrentCarrier|getIMEI|getKey|getModifiers|getOemKey|getPINUsed|getResult|getSignalStrength|getSocket|getVoiceCallStatus|getXChange|getYChange|hangCall|height|highByte|home|image|interrupts|isActionDone|isDirectory|isListening|isPIN|isPressed|isValid|keyPressed|keyReleased|keyboardRead|knobRead|leftToRight|line|lineFollowConfig|listen|listenOnLocalhost|loadImage|localIP|lowByte|macAddress|maintain|map|max|messageAvailable|micros|millis|min|mkdir|motorsStop|motorsWrite|mouseDragged|mouseMoved|mousePressed|mouseReleased|move|noAutoscroll|noBlink|noBuffer|noCursor|noDisplay|noFill|noInterrupts|noListenOnLocalhost|noStroke|noTone|onReceive|onRequest|open|openNextFile|overflow|parseCommand|parseFloat|parseInt|parsePacket|pauseMode|peek|pinMode|playFile|playMelody|point|pointTo|position|pow|prepare|press|print|printFirmwareVersion|printVersion|println|process|processInput|pulseIn|put|random|randomSeed|read|readAccelerometer|readBlue|readButton|readBytes|readBytesUntil|readGreen|readJoystickButton|readJoystickSwitch|readJoystickX|readJoystickY|readLightSensor|readMessage|readMicrophone|readNetworks|readRed|readSlider|readString|readStringUntil|readTemperature|ready|rect|release|releaseAll|remoteIP|remoteNumber|remotePort|remove|requestFrom|retrieveCallingNumber|rewindDirectory|rightToLeft|rmdir|robotNameRead|robotNameWrite|run|runAsynchronously|runShellCommand|runShellCommandAsynchronously|running|scanNetworks|scrollDisplayLeft|scrollDisplayRight|seek|sendAnalog|sendDigitalPortPair|sendDigitalPorts|sendString|sendSysex|serialEvent|setBand|setBitOrder|setClockDivider|setCursor|setDNS|setDataMode|setFirmwareVersion|setMode|setPINUsed|setSpeed|setTextSize|setTimeout|shiftIn|shiftOut|shutdown|sin|size|sqrt|startLoop|step|stop|stroke|subnetMask|switchPIN|tan|tempoWrite|text|tone|transfer|tuneWrite|turn|updateIR|userNameRead|userNameWrite|voiceCall|waitContinue|width|write|writeBlue|writeGreen|writeJSON|writeMessage|writeMicroseconds|writeRGB|writeRed|yield)\\b/\n })\n Prism.languages.ino = Prism.languages.arduino\n}\n","'use strict'\n\nmodule.exports = arff\narff.displayName = 'arff'\narff.aliases = []\nfunction arff(Prism) {\n Prism.languages.arff = {\n comment: /%.*/,\n string: {\n pattern: /([\"'])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n keyword: /@(?:attribute|data|end|relation)\\b/i,\n number: /\\b\\d+(?:\\.\\d+)?\\b/,\n punctuation: /[{},]/\n }\n}\n","'use strict'\n\nmodule.exports = asciidoc\nasciidoc.displayName = 'asciidoc'\nasciidoc.aliases = ['adoc']\nfunction asciidoc(Prism) {\n ;(function (Prism) {\n var attributes = {\n pattern:\n /(^[ \\t]*)\\[(?!\\[)(?:([\"'$`])(?:(?!\\2)[^\\\\]|\\\\.)*\\2|\\[(?:[^\\[\\]\\\\]|\\\\.)*\\]|[^\\[\\]\\\\\"'$`]|\\\\.)*\\]/m,\n lookbehind: true,\n inside: {\n quoted: {\n pattern: /([$`])(?:(?!\\1)[^\\\\]|\\\\.)*\\1/,\n inside: {\n punctuation: /^[$`]|[$`]$/\n }\n },\n interpreted: {\n pattern: /'(?:[^'\\\\]|\\\\.)*'/,\n inside: {\n punctuation: /^'|'$/ // See rest below\n }\n },\n string: /\"(?:[^\"\\\\]|\\\\.)*\"/,\n variable: /\\w+(?==)/,\n punctuation: /^\\[|\\]$|,/,\n operator: /=/,\n // The negative look-ahead prevents blank matches\n 'attr-value': /(?!^\\s+$).+/\n }\n }\n var asciidoc = (Prism.languages.asciidoc = {\n 'comment-block': {\n pattern: /^(\\/{4,})(?:\\r?\\n|\\r)(?:[\\s\\S]*(?:\\r?\\n|\\r))??\\1/m,\n alias: 'comment'\n },\n table: {\n pattern: /^\\|={3,}(?:(?:\\r?\\n|\\r(?!\\n)).*)*?(?:\\r?\\n|\\r)\\|={3,}$/m,\n inside: {\n specifiers: {\n pattern:\n /(?:(?:(?:\\d+(?:\\.\\d+)?|\\.\\d+)[+*](?:[<^>](?:\\.[<^>])?|\\.[<^>])?|[<^>](?:\\.[<^>])?|\\.[<^>])[a-z]*|[a-z]+)(?=\\|)/,\n alias: 'attr-value'\n },\n punctuation: {\n pattern: /(^|[^\\\\])[|!]=*/,\n lookbehind: true\n } // See rest below\n }\n },\n 'passthrough-block': {\n pattern: /^(\\+{4,})(?:\\r?\\n|\\r)(?:[\\s\\S]*(?:\\r?\\n|\\r))??\\1$/m,\n inside: {\n punctuation: /^\\++|\\++$/ // See rest below\n }\n },\n // Literal blocks and listing blocks\n 'literal-block': {\n pattern: /^(-{4,}|\\.{4,})(?:\\r?\\n|\\r)(?:[\\s\\S]*(?:\\r?\\n|\\r))??\\1$/m,\n inside: {\n punctuation: /^(?:-+|\\.+)|(?:-+|\\.+)$/ // See rest below\n }\n },\n // Sidebar blocks, quote blocks, example blocks and open blocks\n 'other-block': {\n pattern:\n /^(--|\\*{4,}|_{4,}|={4,})(?:\\r?\\n|\\r)(?:[\\s\\S]*(?:\\r?\\n|\\r))??\\1$/m,\n inside: {\n punctuation: /^(?:-+|\\*+|_+|=+)|(?:-+|\\*+|_+|=+)$/ // See rest below\n }\n },\n // list-punctuation and list-label must appear before indented-block\n 'list-punctuation': {\n pattern:\n /(^[ \\t]*)(?:-|\\*{1,5}|\\.{1,5}|(?:[a-z]|\\d+)\\.|[xvi]+\\))(?= )/im,\n lookbehind: true,\n alias: 'punctuation'\n },\n 'list-label': {\n pattern: /(^[ \\t]*)[a-z\\d].+(?::{2,4}|;;)(?=\\s)/im,\n lookbehind: true,\n alias: 'symbol'\n },\n 'indented-block': {\n pattern: /((\\r?\\n|\\r)\\2)([ \\t]+)\\S.*(?:(?:\\r?\\n|\\r)\\3.+)*(?=\\2{2}|$)/,\n lookbehind: true\n },\n comment: /^\\/\\/.*/m,\n title: {\n pattern:\n /^.+(?:\\r?\\n|\\r)(?:={3,}|-{3,}|~{3,}|\\^{3,}|\\+{3,})$|^={1,5} .+|^\\.(?![\\s.]).*/m,\n alias: 'important',\n inside: {\n punctuation: /^(?:\\.|=+)|(?:=+|-+|~+|\\^+|\\++)$/ // See rest below\n }\n },\n 'attribute-entry': {\n pattern: /^:[^:\\r\\n]+:(?: .*?(?: \\+(?:\\r?\\n|\\r).*?)*)?$/m,\n alias: 'tag'\n },\n attributes: attributes,\n hr: {\n pattern: /^'{3,}$/m,\n alias: 'punctuation'\n },\n 'page-break': {\n pattern: /^<{3,}$/m,\n alias: 'punctuation'\n },\n admonition: {\n pattern: /^(?:CAUTION|IMPORTANT|NOTE|TIP|WARNING):/m,\n alias: 'keyword'\n },\n callout: [\n {\n pattern: /(^[ \\t]*)<?\\d*>/m,\n lookbehind: true,\n alias: 'symbol'\n },\n {\n pattern: /<\\d+>/,\n alias: 'symbol'\n }\n ],\n macro: {\n pattern:\n /\\b[a-z\\d][a-z\\d-]*::?(?:[^\\s\\[\\]]*\\[(?:[^\\]\\\\\"']|([\"'])(?:(?!\\1)[^\\\\]|\\\\.)*\\1|\\\\.)*\\])/,\n inside: {\n function: /^[a-z\\d-]+(?=:)/,\n punctuation: /^::?/,\n attributes: {\n pattern: /(?:\\[(?:[^\\]\\\\\"']|([\"'])(?:(?!\\1)[^\\\\]|\\\\.)*\\1|\\\\.)*\\])/,\n inside: attributes.inside\n }\n }\n },\n inline: {\n /*\nThe initial look-behind prevents the highlighting of escaped quoted text.\nQuoted text can be multi-line but cannot span an empty line.\nAll quoted text can have attributes before [foobar, 'foobar', baz=\"bar\"].\nFirst, we handle the constrained quotes.\nThose must be bounded by non-word chars and cannot have spaces between the delimiter and the first char.\nThey are, in order: _emphasis_, ``double quotes'', `single quotes', `monospace`, 'emphasis', *strong*, +monospace+ and #unquoted#\nThen we handle the unconstrained quotes.\nThose do not have the restrictions of the constrained quotes.\nThey are, in order: __emphasis__, **strong**, ++monospace++, +++passthrough+++, ##unquoted##, $$passthrough$$, ~subscript~, ^superscript^, {attribute-reference}, [[anchor]], [[[bibliography anchor]]], <<xref>>, (((indexes))) and ((indexes))\n*/\n pattern:\n /(^|[^\\\\])(?:(?:\\B\\[(?:[^\\]\\\\\"']|([\"'])(?:(?!\\2)[^\\\\]|\\\\.)*\\2|\\\\.)*\\])?(?:\\b_(?!\\s)(?: _|[^_\\\\\\r\\n]|\\\\.)+(?:(?:\\r?\\n|\\r)(?: _|[^_\\\\\\r\\n]|\\\\.)+)*_\\b|\\B``(?!\\s).+?(?:(?:\\r?\\n|\\r).+?)*''\\B|\\B`(?!\\s)(?:[^`'\\s]|\\s+\\S)+['`]\\B|\\B(['*+#])(?!\\s)(?: \\3|(?!\\3)[^\\\\\\r\\n]|\\\\.)+(?:(?:\\r?\\n|\\r)(?: \\3|(?!\\3)[^\\\\\\r\\n]|\\\\.)+)*\\3\\B)|(?:\\[(?:[^\\]\\\\\"']|([\"'])(?:(?!\\4)[^\\\\]|\\\\.)*\\4|\\\\.)*\\])?(?:(__|\\*\\*|\\+\\+\\+?|##|\\$\\$|[~^]).+?(?:(?:\\r?\\n|\\r).+?)*\\5|\\{[^}\\r\\n]+\\}|\\[\\[\\[?.+?(?:(?:\\r?\\n|\\r).+?)*\\]?\\]\\]|<<.+?(?:(?:\\r?\\n|\\r).+?)*>>|\\(\\(\\(?.+?(?:(?:\\r?\\n|\\r).+?)*\\)?\\)\\)))/m,\n lookbehind: true,\n inside: {\n attributes: attributes,\n url: {\n pattern: /^(?:\\[\\[\\[?.+?\\]?\\]\\]|<<.+?>>)$/,\n inside: {\n punctuation: /^(?:\\[\\[\\[?|<<)|(?:\\]\\]\\]?|>>)$/\n }\n },\n 'attribute-ref': {\n pattern: /^\\{.+\\}$/,\n inside: {\n variable: {\n pattern: /(^\\{)[a-z\\d,+_-]+/,\n lookbehind: true\n },\n operator: /^[=?!#%@$]|!(?=[:}])/,\n punctuation: /^\\{|\\}$|::?/\n }\n },\n italic: {\n pattern: /^(['_])[\\s\\S]+\\1$/,\n inside: {\n punctuation: /^(?:''?|__?)|(?:''?|__?)$/\n }\n },\n bold: {\n pattern: /^\\*[\\s\\S]+\\*$/,\n inside: {\n punctuation: /^\\*\\*?|\\*\\*?$/\n }\n },\n punctuation:\n /^(?:``?|\\+{1,3}|##?|\\$\\$|[~^]|\\(\\(\\(?)|(?:''?|\\+{1,3}|##?|\\$\\$|[~^`]|\\)?\\)\\))$/\n }\n },\n replacement: {\n pattern: /\\((?:C|R|TM)\\)/,\n alias: 'builtin'\n },\n entity: /&#?[\\da-z]{1,8};/i,\n 'line-continuation': {\n pattern: /(^| )\\+$/m,\n lookbehind: true,\n alias: 'punctuation'\n }\n }) // Allow some nesting. There is no recursion though, so cloning should not be needed.\n function copyFromAsciiDoc(keys) {\n keys = keys.split(' ')\n var o = {}\n for (var i = 0, l = keys.length; i < l; i++) {\n o[keys[i]] = asciidoc[keys[i]]\n }\n return o\n }\n attributes.inside['interpreted'].inside.rest = copyFromAsciiDoc(\n 'macro inline replacement entity'\n )\n asciidoc['passthrough-block'].inside.rest = copyFromAsciiDoc('macro')\n asciidoc['literal-block'].inside.rest = copyFromAsciiDoc('callout')\n asciidoc['table'].inside.rest = copyFromAsciiDoc(\n 'comment-block passthrough-block literal-block other-block list-punctuation indented-block comment title attribute-entry attributes hr page-break admonition list-label callout macro inline replacement entity line-continuation'\n )\n asciidoc['other-block'].inside.rest = copyFromAsciiDoc(\n 'table list-punctuation indented-block comment attribute-entry attributes hr page-break admonition list-label macro inline replacement entity line-continuation'\n )\n asciidoc['title'].inside.rest = copyFromAsciiDoc(\n 'macro inline replacement entity'\n ) // Plugin to make entity title show the real entity, idea by Roman Komarov\n Prism.hooks.add('wrap', function (env) {\n if (env.type === 'entity') {\n env.attributes['title'] = env.content.value.replace(/&/, '&')\n }\n })\n Prism.languages.adoc = Prism.languages.asciidoc\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = asm6502\nasm6502.displayName = 'asm6502'\nasm6502.aliases = []\nfunction asm6502(Prism) {\n Prism.languages.asm6502 = {\n comment: /;.*/,\n directive: {\n pattern: /\\.\\w+(?= )/,\n alias: 'property'\n },\n string: /([\"'`])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n 'op-code': {\n pattern:\n /\\b(?:ADC|AND|ASL|BCC|BCS|BEQ|BIT|BMI|BNE|BPL|BRK|BVC|BVS|CLC|CLD|CLI|CLV|CMP|CPX|CPY|DEC|DEX|DEY|EOR|INC|INX|INY|JMP|JSR|LDA|LDX|LDY|LSR|NOP|ORA|PHA|PHP|PLA|PLP|ROL|ROR|RTI|RTS|SBC|SEC|SED|SEI|STA|STX|STY|TAX|TAY|TSX|TXA|TXS|TYA|adc|and|asl|bcc|bcs|beq|bit|bmi|bne|bpl|brk|bvc|bvs|clc|cld|cli|clv|cmp|cpx|cpy|dec|dex|dey|eor|inc|inx|iny|jmp|jsr|lda|ldx|ldy|lsr|nop|ora|pha|php|pla|plp|rol|ror|rti|rts|sbc|sec|sed|sei|sta|stx|sty|tax|tay|tsx|txa|txs|tya)\\b/,\n alias: 'keyword'\n },\n 'hex-number': {\n pattern: /#?\\$[\\da-f]{1,4}\\b/i,\n alias: 'number'\n },\n 'binary-number': {\n pattern: /#?%[01]+\\b/,\n alias: 'number'\n },\n 'decimal-number': {\n pattern: /#?\\b\\d+\\b/,\n alias: 'number'\n },\n register: {\n pattern: /\\b[xya]\\b/i,\n alias: 'variable'\n },\n punctuation: /[(),:]/\n }\n}\n","'use strict'\n\nmodule.exports = asmatmel\nasmatmel.displayName = 'asmatmel'\nasmatmel.aliases = []\nfunction asmatmel(Prism) {\n Prism.languages.asmatmel = {\n comment: {\n pattern: /;.*/,\n greedy: true\n },\n string: {\n pattern: /([\"'`])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n constant: /\\b(?:PORT[A-Z]|DDR[A-Z]|(?:DD|P)[A-Z](?:\\d|[0-2]\\d|3[01]))\\b/,\n directive: {\n pattern: /\\.\\w+(?= )/,\n alias: 'property'\n },\n 'r-register': {\n pattern: /\\br(?:\\d|[12]\\d|3[01])\\b/,\n alias: 'variable'\n },\n 'op-code': {\n pattern:\n /\\b(?:ADC|ADD|ADIW|AND|ANDI|ASR|BCLR|BLD|BRBC|BRBS|BRCC|BRCS|BREAK|BREQ|BRGE|BRHC|BRHS|BRID|BRIE|BRLO|BRLT|BRMI|BRNE|BRPL|BRSH|BRTC|BRTS|BRVC|BRVS|BSET|BST|CALL|CBI|CBR|CLC|CLH|CLI|CLN|CLR|CLS|CLT|CLV|CLZ|COM|CP|CPC|CPI|CPSE|DEC|DES|EICALL|EIJMP|ELPM|EOR|FMUL|FMULS|FMULSU|ICALL|IJMP|IN|INC|JMP|LAC|LAS|LAT|LD|LD[A-Za-z0-9]|LPM|LSL|LSR|MOV|MOVW|MUL|MULS|MULSU|NEG|NOP|OR|ORI|OUT|POP|PUSH|RCALL|RET|RETI|RJMP|ROL|ROR|SBC|SBCI|SBI|SBIC|SBIS|SBIW|SBR|SBRC|SBRS|SEC|SEH|SEI|SEN|SER|SES|SET|SEV|SEZ|SLEEP|SPM|ST|ST[A-Z0-9]|SUB|SUBI|SWAP|TST|WDR|XCH|adc|add|adiw|and|andi|asr|bclr|bld|brbc|brbs|brcc|brcs|break|breq|brge|brhc|brhs|brid|brie|brlo|brlt|brmi|brne|brpl|brsh|brtc|brts|brvc|brvs|bset|bst|call|cbi|cbr|clc|clh|cli|cln|clr|cls|clt|clv|clz|com|cp|cpc|cpi|cpse|dec|des|eicall|eijmp|elpm|eor|fmul|fmuls|fmulsu|icall|ijmp|in|inc|jmp|lac|las|lat|ld|ld[a-z0-9]|lpm|lsl|lsr|mov|movw|mul|muls|mulsu|neg|nop|or|ori|out|pop|push|rcall|ret|reti|rjmp|rol|ror|sbc|sbci|sbi|sbic|sbis|sbiw|sbr|sbrc|sbrs|sec|seh|sei|sen|ser|ses|set|sev|sez|sleep|spm|st|st[a-zA-Z0-9]|sub|subi|swap|tst|wdr|xch)\\b/,\n alias: 'keyword'\n },\n 'hex-number': {\n pattern: /#?\\$[\\da-f]{2,4}\\b/i,\n alias: 'number'\n },\n 'binary-number': {\n pattern: /#?%[01]+\\b/,\n alias: 'number'\n },\n 'decimal-number': {\n pattern: /#?\\b\\d+\\b/,\n alias: 'number'\n },\n register: {\n pattern: /\\b[acznvshtixy]\\b/i,\n alias: 'variable'\n },\n operator: />>=?|<<=?|&&?|\\|\\|?|[-+*/%&|^!=<>?]=?/,\n punctuation: /[(),:]/\n }\n}\n","'use strict'\nvar refractorCsharp = require('./csharp.js')\nmodule.exports = aspnet\naspnet.displayName = 'aspnet'\naspnet.aliases = []\nfunction aspnet(Prism) {\n Prism.register(refractorCsharp)\n Prism.languages.aspnet = Prism.languages.extend('markup', {\n 'page-directive': {\n pattern: /<%\\s*@.*%>/,\n alias: 'tag',\n inside: {\n 'page-directive': {\n pattern:\n /<%\\s*@\\s*(?:Assembly|Control|Implements|Import|Master(?:Type)?|OutputCache|Page|PreviousPageType|Reference|Register)?|%>/i,\n alias: 'tag'\n },\n rest: Prism.languages.markup.tag.inside\n }\n },\n directive: {\n pattern: /<%.*%>/,\n alias: 'tag',\n inside: {\n directive: {\n pattern: /<%\\s*?[$=%#:]{0,2}|%>/,\n alias: 'tag'\n },\n rest: Prism.languages.csharp\n }\n }\n }) // Regexp copied from prism-markup, with a negative look-ahead added\n Prism.languages.aspnet.tag.pattern =\n /<(?!%)\\/?[^\\s>\\/]+(?:\\s+[^\\s>\\/=]+(?:=(?:(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+))?)*\\s*\\/?>/ // match directives of attribute value foo=\"<% Bar %>\"\n Prism.languages.insertBefore(\n 'inside',\n 'punctuation',\n {\n directive: Prism.languages.aspnet['directive']\n },\n Prism.languages.aspnet.tag.inside['attr-value']\n )\n Prism.languages.insertBefore('aspnet', 'comment', {\n 'asp-comment': {\n pattern: /<%--[\\s\\S]*?--%>/,\n alias: ['asp', 'comment']\n }\n }) // script runat=\"server\" contains csharp, not javascript\n Prism.languages.insertBefore(\n 'aspnet',\n Prism.languages.javascript ? 'script' : 'tag',\n {\n 'asp-script': {\n pattern:\n /(<script(?=.*runat=['\"]?server\\b)[^>]*>)[\\s\\S]*?(?=<\\/script>)/i,\n lookbehind: true,\n alias: ['asp', 'script'],\n inside: Prism.languages.csharp || {}\n }\n }\n )\n}\n","'use strict'\n\nmodule.exports = autohotkey\nautohotkey.displayName = 'autohotkey'\nautohotkey.aliases = []\nfunction autohotkey(Prism) {\n // NOTES - follows first-first highlight method, block is locked after highlight, different from SyntaxHl\n Prism.languages.autohotkey = {\n comment: [\n {\n pattern: /(^|\\s);.*/,\n lookbehind: true\n },\n {\n pattern:\n /(^[\\t ]*)\\/\\*(?:[\\r\\n](?![ \\t]*\\*\\/)|[^\\r\\n])*(?:[\\r\\n][ \\t]*\\*\\/)?/m,\n lookbehind: true,\n greedy: true\n }\n ],\n tag: {\n // labels\n pattern: /^([ \\t]*)[^\\s,`\":]+(?=:[ \\t]*$)/m,\n lookbehind: true\n },\n string: /\"(?:[^\"\\n\\r]|\"\")*\"/,\n variable: /%\\w+%/,\n number: /\\b0x[\\dA-Fa-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee]-?\\d+)?/,\n operator:\n /\\?|\\/\\/?=?|:=|\\|[=|]?|&[=&]?|\\+[=+]?|-[=-]?|\\*[=*]?|<(?:<=?|>|=)?|>>?=?|[.^!=~]=?|\\b(?:AND|NOT|OR)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n selector:\n /\\b(?:AutoTrim|BlockInput|Break|Click|ClipWait|Continue|Control|ControlClick|ControlFocus|ControlGet|ControlGetFocus|ControlGetPos|ControlGetText|ControlMove|ControlSend|ControlSendRaw|ControlSetText|CoordMode|Critical|DetectHiddenText|DetectHiddenWindows|Drive|DriveGet|DriveSpaceFree|EnvAdd|EnvDiv|EnvGet|EnvMult|EnvSet|EnvSub|EnvUpdate|Exit|ExitApp|FileAppend|FileCopy|FileCopyDir|FileCreateDir|FileCreateShortcut|FileDelete|FileEncoding|FileGetAttrib|FileGetShortcut|FileGetSize|FileGetTime|FileGetVersion|FileInstall|FileMove|FileMoveDir|FileRead|FileReadLine|FileRecycle|FileRecycleEmpty|FileRemoveDir|FileSelectFile|FileSelectFolder|FileSetAttrib|FileSetTime|FormatTime|GetKeyState|Gosub|Goto|GroupActivate|GroupAdd|GroupClose|GroupDeactivate|Gui|GuiControl|GuiControlGet|Hotkey|ImageSearch|IniDelete|IniRead|IniWrite|Input|InputBox|KeyWait|ListHotkeys|ListLines|ListVars|Loop|Menu|MouseClick|MouseClickDrag|MouseGetPos|MouseMove|MsgBox|OnExit|OutputDebug|Pause|PixelGetColor|PixelSearch|PostMessage|Process|Progress|Random|RegDelete|RegRead|RegWrite|Reload|Repeat|Return|Run|RunAs|RunWait|Send|SendEvent|SendInput|SendMessage|SendMode|SendPlay|SendRaw|SetBatchLines|SetCapslockState|SetControlDelay|SetDefaultMouseSpeed|SetEnv|SetFormat|SetKeyDelay|SetMouseDelay|SetNumlockState|SetRegView|SetScrollLockState|SetStoreCapslockMode|SetTimer|SetTitleMatchMode|SetWinDelay|SetWorkingDir|Shutdown|Sleep|Sort|SoundBeep|SoundGet|SoundGetWaveVolume|SoundPlay|SoundSet|SoundSetWaveVolume|SplashImage|SplashTextOff|SplashTextOn|SplitPath|StatusBarGetText|StatusBarWait|StringCaseSense|StringGetPos|StringLeft|StringLen|StringLower|StringMid|StringReplace|StringRight|StringSplit|StringTrimLeft|StringTrimRight|StringUpper|Suspend|SysGet|Thread|ToolTip|Transform|TrayTip|URLDownloadToFile|WinActivate|WinActivateBottom|WinClose|WinGet|WinGetActiveStats|WinGetActiveTitle|WinGetClass|WinGetPos|WinGetText|WinGetTitle|WinHide|WinKill|WinMaximize|WinMenuSelectItem|WinMinimize|WinMinimizeAll|WinMinimizeAllUndo|WinMove|WinRestore|WinSet|WinSetTitle|WinShow|WinWait|WinWaitActive|WinWaitClose|WinWaitNotActive)\\b/i,\n constant:\n /\\b(?:a_ahkpath|a_ahkversion|a_appdata|a_appdatacommon|a_autotrim|a_batchlines|a_caretx|a_carety|a_computername|a_controldelay|a_cursor|a_dd|a_ddd|a_dddd|a_defaultmousespeed|a_desktop|a_desktopcommon|a_detecthiddentext|a_detecthiddenwindows|a_endchar|a_eventinfo|a_exitreason|a_fileencoding|a_formatfloat|a_formatinteger|a_gui|a_guicontrol|a_guicontrolevent|a_guievent|a_guiheight|a_guiwidth|a_guix|a_guiy|a_hour|a_iconfile|a_iconhidden|a_iconnumber|a_icontip|a_index|a_ipaddress1|a_ipaddress2|a_ipaddress3|a_ipaddress4|a_is64bitos|a_isadmin|a_iscompiled|a_iscritical|a_ispaused|a_issuspended|a_isunicode|a_keydelay|a_language|a_lasterror|a_linefile|a_linenumber|a_loopfield|a_loopfileattrib|a_loopfiledir|a_loopfileext|a_loopfilefullpath|a_loopfilelongpath|a_loopfilename|a_loopfileshortname|a_loopfileshortpath|a_loopfilesize|a_loopfilesizekb|a_loopfilesizemb|a_loopfiletimeaccessed|a_loopfiletimecreated|a_loopfiletimemodified|a_loopreadline|a_loopregkey|a_loopregname|a_loopregsubkey|a_loopregtimemodified|a_loopregtype|a_mday|a_min|a_mm|a_mmm|a_mmmm|a_mon|a_mousedelay|a_msec|a_mydocuments|a_now|a_nowutc|a_numbatchlines|a_ostype|a_osversion|a_priorhotkey|a_priorkey|a_programfiles|a_programs|a_programscommon|a_ptrsize|a_regview|a_screendpi|a_screenheight|a_screenwidth|a_scriptdir|a_scriptfullpath|a_scripthwnd|a_scriptname|a_sec|a_space|a_startmenu|a_startmenucommon|a_startup|a_startupcommon|a_stringcasesense|a_tab|a_temp|a_thisfunc|a_thishotkey|a_thislabel|a_thismenu|a_thismenuitem|a_thismenuitempos|a_tickcount|a_timeidle|a_timeidlephysical|a_timesincepriorhotkey|a_timesincethishotkey|a_titlematchmode|a_titlematchmodespeed|a_username|a_wday|a_windelay|a_windir|a_workingdir|a_yday|a_year|a_yweek|a_yyyy|clipboard|clipboardall|comspec|errorlevel|programfiles)\\b/i,\n builtin:\n /\\b(?:abs|acos|asc|asin|atan|ceil|chr|class|comobjactive|comobjarray|comobjconnect|comobjcreate|comobjerror|comobjflags|comobjget|comobjquery|comobjtype|comobjvalue|cos|dllcall|exp|fileexist|Fileopen|floor|format|il_add|il_create|il_destroy|instr|isfunc|islabel|IsObject|ln|log|ltrim|lv_add|lv_delete|lv_deletecol|lv_getcount|lv_getnext|lv_gettext|lv_insert|lv_insertcol|lv_modify|lv_modifycol|lv_setimagelist|mod|numget|numput|onmessage|regexmatch|regexreplace|registercallback|round|rtrim|sb_seticon|sb_setparts|sb_settext|sin|sqrt|strlen|strreplace|strsplit|substr|tan|tv_add|tv_delete|tv_get|tv_getchild|tv_getcount|tv_getnext|tv_getparent|tv_getprev|tv_getselection|tv_gettext|tv_modify|varsetcapacity|winactive|winexist|__Call|__Get|__New|__Set)\\b/i,\n symbol:\n /\\b(?:alt|altdown|altup|appskey|backspace|browser_back|browser_favorites|browser_forward|browser_home|browser_refresh|browser_search|browser_stop|bs|capslock|ctrl|ctrlbreak|ctrldown|ctrlup|del|delete|down|end|enter|esc|escape|f1|f10|f11|f12|f13|f14|f15|f16|f17|f18|f19|f2|f20|f21|f22|f23|f24|f3|f4|f5|f6|f7|f8|f9|home|ins|insert|joy1|joy10|joy11|joy12|joy13|joy14|joy15|joy16|joy17|joy18|joy19|joy2|joy20|joy21|joy22|joy23|joy24|joy25|joy26|joy27|joy28|joy29|joy3|joy30|joy31|joy32|joy4|joy5|joy6|joy7|joy8|joy9|joyaxes|joybuttons|joyinfo|joyname|joypov|joyr|joyu|joyv|joyx|joyy|joyz|lalt|launch_app1|launch_app2|launch_mail|launch_media|lbutton|lcontrol|lctrl|left|lshift|lwin|lwindown|lwinup|mbutton|media_next|media_play_pause|media_prev|media_stop|numlock|numpad0|numpad1|numpad2|numpad3|numpad4|numpad5|numpad6|numpad7|numpad8|numpad9|numpadadd|numpadclear|numpaddel|numpaddiv|numpaddot|numpaddown|numpadend|numpadenter|numpadhome|numpadins|numpadleft|numpadmult|numpadpgdn|numpadpgup|numpadright|numpadsub|numpadup|pgdn|pgup|printscreen|ralt|rbutton|rcontrol|rctrl|right|rshift|rwin|rwindown|rwinup|scrolllock|shift|shiftdown|shiftup|space|tab|up|volume_down|volume_mute|volume_up|wheeldown|wheelleft|wheelright|wheelup|xbutton1|xbutton2)\\b/i,\n important:\n /#\\b(?:AllowSameLineComments|ClipboardTimeout|CommentFlag|DerefChar|ErrorStdOut|EscapeChar|HotkeyInterval|HotkeyModifierTimeout|Hotstring|If|IfTimeout|IfWinActive|IfWinExist|IfWinNotActive|IfWinNotExist|Include|IncludeAgain|InputLevel|InstallKeybdHook|InstallMouseHook|KeyHistory|MaxHotkeysPerInterval|MaxMem|MaxThreads|MaxThreadsBuffer|MaxThreadsPerHotkey|MenuMaskKey|NoEnv|NoTrayIcon|Persistent|SingleInstance|UseHook|Warn|WinActivateForce)\\b/i,\n keyword:\n /\\b(?:Abort|AboveNormal|Add|ahk_class|ahk_exe|ahk_group|ahk_id|ahk_pid|All|Alnum|Alpha|AltSubmit|AltTab|AltTabAndMenu|AltTabMenu|AltTabMenuDismiss|AlwaysOnTop|AutoSize|Background|BackgroundTrans|BelowNormal|between|BitAnd|BitNot|BitOr|BitShiftLeft|BitShiftRight|BitXOr|Bold|Border|Button|ByRef|Catch|Checkbox|Checked|CheckedGray|Choose|ChooseString|Close|Color|ComboBox|Contains|ControlList|Count|Date|DateTime|Days|DDL|Default|DeleteAll|Delimiter|Deref|Destroy|Digit|Disable|Disabled|DropDownList|Edit|Eject|Else|Enable|Enabled|Error|Exist|Expand|ExStyle|FileSystem|Finally|First|Flash|Float|FloatFast|Focus|Font|for|global|Grid|Group|GroupBox|GuiClose|GuiContextMenu|GuiDropFiles|GuiEscape|GuiSize|Hdr|Hidden|Hide|High|HKCC|HKCR|HKCU|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_LOCAL_MACHINE|HKEY_USERS|HKLM|HKU|Hours|HScroll|Icon|IconSmall|ID|IDLast|If|IfEqual|IfExist|IfGreater|IfGreaterOrEqual|IfInString|IfLess|IfLessOrEqual|IfMsgBox|IfNotEqual|IfNotExist|IfNotInString|IfWinActive|IfWinExist|IfWinNotActive|IfWinNotExist|Ignore|ImageList|in|Integer|IntegerFast|Interrupt|is|italic|Join|Label|LastFound|LastFoundExist|Limit|Lines|List|ListBox|ListView|local|Lock|Logoff|Low|Lower|Lowercase|MainWindow|Margin|Maximize|MaximizeBox|MaxSize|Minimize|MinimizeBox|MinMax|MinSize|Minutes|MonthCal|Mouse|Move|Multi|NA|No|NoActivate|NoDefault|NoHide|NoIcon|NoMainWindow|norm|Normal|NoSort|NoSortHdr|NoStandard|Not|NoTab|NoTimers|Number|Off|Ok|On|OwnDialogs|Owner|Parse|Password|Picture|Pixel|Pos|Pow|Priority|ProcessName|Radio|Range|Read|ReadOnly|Realtime|Redraw|Region|REG_BINARY|REG_DWORD|REG_EXPAND_SZ|REG_MULTI_SZ|REG_SZ|Relative|Rename|Report|Resize|Restore|Retry|RGB|Screen|Seconds|Section|Serial|SetLabel|ShiftAltTab|Show|Single|Slider|SortDesc|Standard|static|Status|StatusBar|StatusCD|strike|Style|Submit|SysMenu|Tab2|TabStop|Text|Theme|Throw|Tile|ToggleCheck|ToggleEnable|ToolWindow|Top|Topmost|TransColor|Transparent|Tray|TreeView|Try|TryAgain|Type|UnCheck|underline|Unicode|Unlock|Until|UpDown|Upper|Uppercase|UseErrorLevel|Vis|VisFirst|Visible|VScroll|Wait|WaitClose|WantCtrlA|WantF2|WantReturn|While|Wrap|Xdigit|xm|xp|xs|Yes|ym|yp|ys)\\b/i,\n function: /[^(); \\t,\\n+*\\-=?>:\\\\\\/<&%\\[\\]]+(?=\\()/,\n punctuation: /[{}[\\]():,]/\n }\n}\n","'use strict'\n\nmodule.exports = autoit\nautoit.displayName = 'autoit'\nautoit.aliases = []\nfunction autoit(Prism) {\n Prism.languages.autoit = {\n comment: [\n /;.*/,\n {\n // The multi-line comments delimiters can actually be commented out with \";\"\n pattern:\n /(^[\\t ]*)#(?:comments-start|cs)[\\s\\S]*?^[ \\t]*#(?:ce|comments-end)/m,\n lookbehind: true\n }\n ],\n url: {\n pattern: /(^[\\t ]*#include\\s+)(?:<[^\\r\\n>]+>|\"[^\\r\\n\"]+\")/m,\n lookbehind: true\n },\n string: {\n pattern: /([\"'])(?:\\1\\1|(?!\\1)[^\\r\\n])*\\1/,\n greedy: true,\n inside: {\n variable: /([%$@])\\w+\\1/\n }\n },\n directive: {\n pattern: /(^[\\t ]*)#[\\w-]+/m,\n lookbehind: true,\n alias: 'keyword'\n },\n function: /\\b\\w+(?=\\()/,\n // Variables and macros\n variable: /[$@]\\w+/,\n keyword:\n /\\b(?:Case|Const|Continue(?:Case|Loop)|Default|Dim|Do|Else(?:If)?|End(?:Func|If|Select|Switch|With)|Enum|Exit(?:Loop)?|For|Func|Global|If|In|Local|Next|Null|ReDim|Select|Static|Step|Switch|Then|To|Until|Volatile|WEnd|While|With)\\b/i,\n number: /\\b(?:0x[\\da-f]+|\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?)\\b/i,\n boolean: /\\b(?:False|True)\\b/i,\n operator: /<[=>]?|[-+*\\/=&>]=?|[?^]|\\b(?:And|Not|Or)\\b/i,\n punctuation: /[\\[\\]().,:]/\n }\n}\n","'use strict'\n\nmodule.exports = avisynth\navisynth.displayName = 'avisynth'\navisynth.aliases = ['avs']\nfunction avisynth(Prism) {\n // http://avisynth.nl/index.php/The_full_AviSynth_grammar\n ;(function (Prism) {\n function replace(pattern, replacements) {\n return pattern.replace(/<<(\\d+)>>/g, function (m, index) {\n return replacements[+index]\n })\n }\n function re(pattern, replacements, flags) {\n return RegExp(replace(pattern, replacements), flags || '')\n }\n var types = /bool|clip|float|int|string|val/.source\n var internals = [\n // bools\n /is(?:bool|clip|float|int|string)|defined|(?:(?:internal)?function|var)?exists?/\n .source, // control\n /apply|assert|default|eval|import|nop|select|undefined/.source, // global\n /opt_(?:allowfloataudio|avipadscanlines|dwchannelmask|enable_(?:b64a|planartopackedrgb|v210|y3_10_10|y3_10_16)|usewaveextensible|vdubplanarhack)|set(?:cachemode|maxcpu|memorymax|planarlegacyalignment|workingdir)/\n .source, // conv\n /hex(?:value)?|value/.source, // numeric\n /abs|ceil|continued(?:denominator|numerator)?|exp|floor|fmod|frac|log(?:10)?|max|min|muldiv|pi|pow|rand|round|sign|spline|sqrt/\n .source, // trig\n /a?sinh?|a?cosh?|a?tan[2h]?/.source, // bit\n /(?:bit(?:and|not|x?or|[lr]?shift[aslu]?|sh[lr]|sa[lr]|[lr]rotatel?|ro[rl]|te?st|set(?:count)?|cl(?:ea)?r|ch(?:an)?ge?))/\n .source, // runtime\n /average(?:[bgr]|chroma[uv]|luma)|(?:[rgb]|chroma[uv]|luma|rgb|[yuv](?=difference(?:fromprevious|tonext)))difference(?:fromprevious|tonext)?|[yuvrgb]plane(?:median|min|max|minmaxdifference)/\n .source, // script\n /getprocessinfo|logmsg|script(?:dir(?:utf8)?|file(?:utf8)?|name(?:utf8)?)|setlogparams/\n .source, // string\n /chr|(?:fill|find|left|mid|replace|rev|right)str|format|[lu]case|ord|str(?:cmpi?|fromutf8|len|toutf8)|time|trim(?:all|left|right)/\n .source, // version\n /isversionorgreater|version(?:number|string)/.source, // helper\n /buildpixeltype|colorspacenametopixeltype/.source, // avsplus\n /addautoloaddir|on(?:cpu|cuda)|prefetch|setfiltermtmode/.source\n ].join('|')\n var properties = [\n // content\n /has(?:audio|video)/.source, // resolution\n /height|width/.source, // framerate\n /frame(?:count|rate)|framerate(?:denominator|numerator)/.source, // interlacing\n /getparity|is(?:field|frame)based/.source, // color format\n /bitspercomponent|componentsize|hasalpha|is(?:planar(?:rgba?)?|interleaved|rgb(?:24|32|48|64)?|y(?:8|u(?:va?|y2))?|yv(?:12|16|24|411)|420|422|444|packedrgb)|numcomponents|pixeltype/\n .source, // audio\n /audio(?:bits|channels|duration|length(?:[fs]|hi|lo)?|rate)|isaudio(?:float|int)/\n .source\n ].join('|')\n var filters = [\n // source\n /avi(?:file)?source|directshowsource|image(?:reader|source|sourceanim)|opendmlsource|segmented(?:avisource|directshowsource)|wavsource/\n .source, // color\n /coloryuv|convertbacktoyuy2|convertto(?:RGB(?:24|32|48|64)|(?:planar)?RGBA?|Y8?|YV(?:12|16|24|411)|YUVA?(?:411|420|422|444)|YUY2)|fixluminance|gr[ae]yscale|invert|levels|limiter|mergea?rgb|merge(?:chroma|luma)|rgbadjust|show(?:alpha|blue|green|red)|swapuv|tweak|[uv]toy8?|ytouv/\n .source, // overlay\n /(?:colorkey|reset)mask|layer|mask(?:hs)?|merge|overlay|subtract/.source, // geometry\n /addborders|(?:bicubic|bilinear|blackman|gauss|lanczos4|lanczos|point|sinc|spline(?:16|36|64))resize|crop(?:bottom)?|flip(?:horizontal|vertical)|(?:horizontal|vertical)?reduceby2|letterbox|skewrows|turn(?:180|left|right)/\n .source, // pixel\n /blur|fixbrokenchromaupsampling|generalconvolution|(?:spatial|temporal)soften|sharpen/\n .source, // timeline\n /trim|(?:un)?alignedsplice|(?:assume|assumescaled|change|convert)FPS|(?:delete|duplicate)frame|dissolve|fade(?:in|io|out)[02]?|freezeframe|interleave|loop|reverse|select(?:even|odd|(?:range)?every)/\n .source, // interlace\n /assume[bt]ff|assume(?:field|frame)based|bob|complementparity|doubleweave|peculiarblend|pulldown|separate(?:columns|fields|rows)|swapfields|weave(?:columns|rows)?/\n .source, // audio\n /amplify(?:db)?|assumesamplerate|audiodub(?:ex)?|audiotrim|convertaudioto(?:(?:8|16|24|32)bit|float)|converttomono|delayaudio|ensurevbrmp3sync|get(?:left|right)?channel|kill(?:audio|video)|mergechannels|mixaudio|monotostereo|normalize|resampleaudio|ssrc|supereq|timestretch/\n .source, // conditional\n /animate|applyrange|conditional(?:filter|reader|select)|frameevaluate|scriptclip|tcp(?:server|source)|writefile(?:end|if|start)?/\n .source, // export\n /imagewriter/.source, // debug\n /blackness|blankclip|colorbars(?:hd)?|compare|dumpfiltergraph|echo|histogram|info|messageclip|preroll|setgraphanalysis|show(?:framenumber|smpte|time)|showfiveversions|stack(?:horizontal|vertical)|subtitle|tone|version/\n .source\n ].join('|')\n var allinternals = [internals, properties, filters].join('|')\n Prism.languages.avisynth = {\n comment: [\n {\n // Matches [* *] nestable block comments, but only supports 1 level of nested comments\n // /\\[\\*(?:[^\\[*]|\\[(?!\\*)|\\*(?!\\])|<self>)*\\*\\]/\n pattern:\n /(^|[^\\\\])\\[\\*(?:[^\\[*]|\\[(?!\\*)|\\*(?!\\])|\\[\\*(?:[^\\[*]|\\[(?!\\*)|\\*(?!\\]))*\\*\\])*\\*\\]/,\n lookbehind: true,\n greedy: true\n },\n {\n // Matches /* */ block comments\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true,\n greedy: true\n },\n {\n // Matches # comments\n pattern: /(^|[^\\\\$])#.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n // Handle before strings because optional arguments are surrounded by double quotes\n argument: {\n pattern: re(/\\b(?:<<0>>)\\s+(\"?)\\w+\\1/.source, [types], 'i'),\n inside: {\n keyword: /^\\w+/\n }\n },\n // Optional argument assignment\n 'argument-label': {\n pattern: /([,(][\\s\\\\]*)\\w+\\s*=(?!=)/,\n lookbehind: true,\n inside: {\n 'argument-name': {\n pattern: /^\\w+/,\n alias: 'punctuation'\n },\n punctuation: /=$/\n }\n },\n string: [\n {\n // triple double-quoted\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true\n },\n {\n // single double-quoted\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"/,\n greedy: true,\n inside: {\n constant: {\n // These *are* case-sensitive!\n pattern:\n /\\b(?:DEFAULT_MT_MODE|(?:MAINSCRIPT|PROGRAM|SCRIPT)DIR|(?:MACHINE|USER)_(?:CLASSIC|PLUS)_PLUGINS)\\b/\n }\n }\n }\n ],\n // The special \"last\" variable that takes the value of the last implicitly returned clip\n variable: /\\b(?:last)\\b/i,\n boolean: /\\b(?:false|no|true|yes)\\b/i,\n keyword:\n /\\b(?:catch|else|for|function|global|if|return|try|while|__END__)\\b/i,\n constant: /\\bMT_(?:MULTI_INSTANCE|NICE_FILTER|SERIALIZED|SPECIAL_MT)\\b/,\n // AviSynth's internal functions, filters, and properties\n 'builtin-function': {\n pattern: re(/\\b(?:<<0>>)\\b/.source, [allinternals], 'i'),\n alias: 'function'\n },\n 'type-cast': {\n pattern: re(/\\b(?:<<0>>)(?=\\s*\\()/.source, [types], 'i'),\n alias: 'keyword'\n },\n // External/user-defined filters\n function: {\n pattern: /\\b[a-z_]\\w*(?=\\s*\\()|(\\.)[a-z_]\\w*\\b/i,\n lookbehind: true\n },\n // Matches a \\ as the first or last character on a line\n 'line-continuation': {\n pattern: /(^[ \\t]*)\\\\|\\\\(?=[ \\t]*$)/m,\n lookbehind: true,\n alias: 'punctuation'\n },\n number:\n /\\B\\$(?:[\\da-f]{6}|[\\da-f]{8})\\b|(?:(?:\\b|\\B-)\\d+(?:\\.\\d*)?\\b|\\B\\.\\d+\\b)/i,\n operator: /\\+\\+?|[!=<>]=?|&&|\\|\\||[?:*/%-]/,\n punctuation: /[{}\\[\\]();,.]/\n }\n Prism.languages.avs = Prism.languages.avisynth\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = avroIdl\navroIdl.displayName = 'avroIdl'\navroIdl.aliases = []\nfunction avroIdl(Prism) {\n // GitHub: https://github.com/apache/avro\n // Docs: https://avro.apache.org/docs/current/idl.html\n Prism.languages['avro-idl'] = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n string: {\n pattern: /(^|[^\\\\])\"(?:[^\\r\\n\"\\\\]|\\\\.)*\"/,\n lookbehind: true,\n greedy: true\n },\n annotation: {\n pattern: /@(?:[$\\w.-]|`[^\\r\\n`]+`)+/,\n greedy: true,\n alias: 'function'\n },\n 'function-identifier': {\n pattern: /`[^\\r\\n`]+`(?=\\s*\\()/,\n greedy: true,\n alias: 'function'\n },\n identifier: {\n pattern: /`[^\\r\\n`]+`/,\n greedy: true\n },\n 'class-name': {\n pattern: /(\\b(?:enum|error|protocol|record|throws)\\b\\s+)[$\\w]+/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /\\b(?:array|boolean|bytes|date|decimal|double|enum|error|false|fixed|float|idl|import|int|local_timestamp_ms|long|map|null|oneway|protocol|record|schema|string|throws|time_ms|timestamp_ms|true|union|uuid|void)\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n number: [\n {\n pattern:\n /(^|[^\\w.])-?(?:(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?|0x(?:[a-f0-9]+(?:\\.[a-f0-9]*)?|\\.[a-f0-9]+)(?:p[+-]?\\d+)?)[dfl]?(?![\\w.])/i,\n lookbehind: true\n },\n /-?\\b(?:Infinity|NaN)\\b/\n ],\n operator: /=/,\n punctuation: /[()\\[\\]{}<>.:,;-]/\n }\n Prism.languages.avdl = Prism.languages['avro-idl']\n}\n","'use strict'\n\nmodule.exports = bash\nbash.displayName = 'bash'\nbash.aliases = ['shell']\nfunction bash(Prism) {\n ;(function (Prism) {\n // $ set | grep '^[A-Z][^[:space:]]*=' | cut -d= -f1 | tr '\\n' '|'\n // + LC_ALL, RANDOM, REPLY, SECONDS.\n // + make sure PS1..4 are here as they are not always set,\n // - some useless things.\n var envVars =\n '\\\\b(?:BASH|BASHOPTS|BASH_ALIASES|BASH_ARGC|BASH_ARGV|BASH_CMDS|BASH_COMPLETION_COMPAT_DIR|BASH_LINENO|BASH_REMATCH|BASH_SOURCE|BASH_VERSINFO|BASH_VERSION|COLORTERM|COLUMNS|COMP_WORDBREAKS|DBUS_SESSION_BUS_ADDRESS|DEFAULTS_PATH|DESKTOP_SESSION|DIRSTACK|DISPLAY|EUID|GDMSESSION|GDM_LANG|GNOME_KEYRING_CONTROL|GNOME_KEYRING_PID|GPG_AGENT_INFO|GROUPS|HISTCONTROL|HISTFILE|HISTFILESIZE|HISTSIZE|HOME|HOSTNAME|HOSTTYPE|IFS|INSTANCE|JOB|LANG|LANGUAGE|LC_ADDRESS|LC_ALL|LC_IDENTIFICATION|LC_MEASUREMENT|LC_MONETARY|LC_NAME|LC_NUMERIC|LC_PAPER|LC_TELEPHONE|LC_TIME|LESSCLOSE|LESSOPEN|LINES|LOGNAME|LS_COLORS|MACHTYPE|MAILCHECK|MANDATORY_PATH|NO_AT_BRIDGE|OLDPWD|OPTERR|OPTIND|ORBIT_SOCKETDIR|OSTYPE|PAPERSIZE|PATH|PIPESTATUS|PPID|PS1|PS2|PS3|PS4|PWD|RANDOM|REPLY|SECONDS|SELINUX_INIT|SESSION|SESSIONTYPE|SESSION_MANAGER|SHELL|SHELLOPTS|SHLVL|SSH_AUTH_SOCK|TERM|UID|UPSTART_EVENTS|UPSTART_INSTANCE|UPSTART_JOB|UPSTART_SESSION|USER|WINDOWID|XAUTHORITY|XDG_CONFIG_DIRS|XDG_CURRENT_DESKTOP|XDG_DATA_DIRS|XDG_GREETER_DATA_DIR|XDG_MENU_PREFIX|XDG_RUNTIME_DIR|XDG_SEAT|XDG_SEAT_PATH|XDG_SESSION_DESKTOP|XDG_SESSION_ID|XDG_SESSION_PATH|XDG_SESSION_TYPE|XDG_VTNR|XMODIFIERS)\\\\b'\n var commandAfterHeredoc = {\n pattern: /(^([\"']?)\\w+\\2)[ \\t]+\\S.*/,\n lookbehind: true,\n alias: 'punctuation',\n // this looks reasonably well in all themes\n inside: null // see below\n }\n var insideString = {\n bash: commandAfterHeredoc,\n environment: {\n pattern: RegExp('\\\\$' + envVars),\n alias: 'constant'\n },\n variable: [\n // [0]: Arithmetic Environment\n {\n pattern: /\\$?\\(\\([\\s\\S]+?\\)\\)/,\n greedy: true,\n inside: {\n // If there is a $ sign at the beginning highlight $(( and )) as variable\n variable: [\n {\n pattern: /(^\\$\\(\\([\\s\\S]+)\\)\\)/,\n lookbehind: true\n },\n /^\\$\\(\\(/\n ],\n number:\n /\\b0x[\\dA-Fa-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee]-?\\d+)?/,\n // Operators according to https://www.gnu.org/software/bash/manual/bashref.html#Shell-Arithmetic\n operator:\n /--|\\+\\+|\\*\\*=?|<<=?|>>=?|&&|\\|\\||[=!+\\-*/%<>^&|]=?|[?~:]/,\n // If there is no $ sign at the beginning highlight (( and )) as punctuation\n punctuation: /\\(\\(?|\\)\\)?|,|;/\n }\n }, // [1]: Command Substitution\n {\n pattern: /\\$\\((?:\\([^)]+\\)|[^()])+\\)|`[^`]+`/,\n greedy: true,\n inside: {\n variable: /^\\$\\(|^`|\\)$|`$/\n }\n }, // [2]: Brace expansion\n {\n pattern: /\\$\\{[^}]+\\}/,\n greedy: true,\n inside: {\n operator: /:[-=?+]?|[!\\/]|##?|%%?|\\^\\^?|,,?/,\n punctuation: /[\\[\\]]/,\n environment: {\n pattern: RegExp('(\\\\{)' + envVars),\n lookbehind: true,\n alias: 'constant'\n }\n }\n },\n /\\$(?:\\w+|[#?*!@$])/\n ],\n // Escape sequences from echo and printf's manuals, and escaped quotes.\n entity:\n /\\\\(?:[abceEfnrtv\\\\\"]|O?[0-7]{1,3}|U[0-9a-fA-F]{8}|u[0-9a-fA-F]{4}|x[0-9a-fA-F]{1,2})/\n }\n Prism.languages.bash = {\n shebang: {\n pattern: /^#!\\s*\\/.*/,\n alias: 'important'\n },\n comment: {\n pattern: /(^|[^\"{\\\\$])#.*/,\n lookbehind: true\n },\n 'function-name': [\n // a) function foo {\n // b) foo() {\n // c) function foo() {\n // but not “foo {”\n {\n // a) and c)\n pattern: /(\\bfunction\\s+)[\\w-]+(?=(?:\\s*\\(?:\\s*\\))?\\s*\\{)/,\n lookbehind: true,\n alias: 'function'\n },\n {\n // b)\n pattern: /\\b[\\w-]+(?=\\s*\\(\\s*\\)\\s*\\{)/,\n alias: 'function'\n }\n ],\n // Highlight variable names as variables in for and select beginnings.\n 'for-or-select': {\n pattern: /(\\b(?:for|select)\\s+)\\w+(?=\\s+in\\s)/,\n alias: 'variable',\n lookbehind: true\n },\n // Highlight variable names as variables in the left-hand part\n // of assignments (“=” and “+=”).\n 'assign-left': {\n pattern: /(^|[\\s;|&]|[<>]\\()\\w+(?=\\+?=)/,\n inside: {\n environment: {\n pattern: RegExp('(^|[\\\\s;|&]|[<>]\\\\()' + envVars),\n lookbehind: true,\n alias: 'constant'\n }\n },\n alias: 'variable',\n lookbehind: true\n },\n string: [\n // Support for Here-documents https://en.wikipedia.org/wiki/Here_document\n {\n pattern: /((?:^|[^<])<<-?\\s*)(\\w+)\\s[\\s\\S]*?(?:\\r?\\n|\\r)\\2/,\n lookbehind: true,\n greedy: true,\n inside: insideString\n }, // Here-document with quotes around the tag\n // → No expansion (so no “inside”).\n {\n pattern: /((?:^|[^<])<<-?\\s*)([\"'])(\\w+)\\2\\s[\\s\\S]*?(?:\\r?\\n|\\r)\\3/,\n lookbehind: true,\n greedy: true,\n inside: {\n bash: commandAfterHeredoc\n }\n }, // “Normal” string\n {\n // https://www.gnu.org/software/bash/manual/html_node/Double-Quotes.html\n pattern:\n /(^|[^\\\\](?:\\\\\\\\)*)\"(?:\\\\[\\s\\S]|\\$\\([^)]+\\)|\\$(?!\\()|`[^`]+`|[^\"\\\\`$])*\"/,\n lookbehind: true,\n greedy: true,\n inside: insideString\n },\n {\n // https://www.gnu.org/software/bash/manual/html_node/Single-Quotes.html\n pattern: /(^|[^$\\\\])'[^']*'/,\n lookbehind: true,\n greedy: true\n },\n {\n // https://www.gnu.org/software/bash/manual/html_node/ANSI_002dC-Quoting.html\n pattern: /\\$'(?:[^'\\\\]|\\\\[\\s\\S])*'/,\n greedy: true,\n inside: {\n entity: insideString.entity\n }\n }\n ],\n environment: {\n pattern: RegExp('\\\\$?' + envVars),\n alias: 'constant'\n },\n variable: insideString.variable,\n function: {\n pattern:\n /(^|[\\s;|&]|[<>]\\()(?:add|apropos|apt|apt-cache|apt-get|aptitude|aspell|automysqlbackup|awk|basename|bash|bc|bconsole|bg|bzip2|cal|cat|cfdisk|chgrp|chkconfig|chmod|chown|chroot|cksum|clear|cmp|column|comm|composer|cp|cron|crontab|csplit|curl|cut|date|dc|dd|ddrescue|debootstrap|df|diff|diff3|dig|dir|dircolors|dirname|dirs|dmesg|docker|docker-compose|du|egrep|eject|env|ethtool|expand|expect|expr|fdformat|fdisk|fg|fgrep|file|find|fmt|fold|format|free|fsck|ftp|fuser|gawk|git|gparted|grep|groupadd|groupdel|groupmod|groups|grub-mkconfig|gzip|halt|head|hg|history|host|hostname|htop|iconv|id|ifconfig|ifdown|ifup|import|install|ip|jobs|join|kill|killall|less|link|ln|locate|logname|logrotate|look|lpc|lpr|lprint|lprintd|lprintq|lprm|ls|lsof|lynx|make|man|mc|mdadm|mkconfig|mkdir|mke2fs|mkfifo|mkfs|mkisofs|mknod|mkswap|mmv|more|most|mount|mtools|mtr|mutt|mv|nano|nc|netstat|nice|nl|node|nohup|notify-send|npm|nslookup|op|open|parted|passwd|paste|pathchk|ping|pkill|pnpm|podman|podman-compose|popd|pr|printcap|printenv|ps|pushd|pv|quota|quotacheck|quotactl|ram|rar|rcp|reboot|remsync|rename|renice|rev|rm|rmdir|rpm|rsync|scp|screen|sdiff|sed|sendmail|seq|service|sftp|sh|shellcheck|shuf|shutdown|sleep|slocate|sort|split|ssh|stat|strace|su|sudo|sum|suspend|swapon|sync|tac|tail|tar|tee|time|timeout|top|touch|tr|traceroute|tsort|tty|umount|uname|unexpand|uniq|units|unrar|unshar|unzip|update-grub|uptime|useradd|userdel|usermod|users|uudecode|uuencode|v|vcpkg|vdir|vi|vim|virsh|vmstat|wait|watch|wc|wget|whereis|which|who|whoami|write|xargs|xdg-open|yarn|yes|zenity|zip|zsh|zypper)(?=$|[)\\s;|&])/,\n lookbehind: true\n },\n keyword: {\n pattern:\n /(^|[\\s;|&]|[<>]\\()(?:case|do|done|elif|else|esac|fi|for|function|if|in|select|then|until|while)(?=$|[)\\s;|&])/,\n lookbehind: true\n },\n // https://www.gnu.org/software/bash/manual/html_node/Shell-Builtin-Commands.html\n builtin: {\n pattern:\n /(^|[\\s;|&]|[<>]\\()(?:\\.|:|alias|bind|break|builtin|caller|cd|command|continue|declare|echo|enable|eval|exec|exit|export|getopts|hash|help|let|local|logout|mapfile|printf|pwd|read|readarray|readonly|return|set|shift|shopt|source|test|times|trap|type|typeset|ulimit|umask|unalias|unset)(?=$|[)\\s;|&])/,\n lookbehind: true,\n // Alias added to make those easier to distinguish from strings.\n alias: 'class-name'\n },\n boolean: {\n pattern: /(^|[\\s;|&]|[<>]\\()(?:false|true)(?=$|[)\\s;|&])/,\n lookbehind: true\n },\n 'file-descriptor': {\n pattern: /\\B&\\d\\b/,\n alias: 'important'\n },\n operator: {\n // Lots of redirections here, but not just that.\n pattern:\n /\\d?<>|>\\||\\+=|=[=~]?|!=?|<<[<-]?|[&\\d]?>>|\\d[<>]&?|[<>][&=]?|&[>&]?|\\|[&|]?/,\n inside: {\n 'file-descriptor': {\n pattern: /^\\d/,\n alias: 'important'\n }\n }\n },\n punctuation: /\\$?\\(\\(?|\\)\\)?|\\.\\.|[{}[\\];\\\\]/,\n number: {\n pattern: /(^|\\s)(?:[1-9]\\d*|0)(?:[.,]\\d+)?\\b/,\n lookbehind: true\n }\n }\n commandAfterHeredoc.inside = Prism.languages.bash\n /* Patterns in command substitution. */\n var toBeCopied = [\n 'comment',\n 'function-name',\n 'for-or-select',\n 'assign-left',\n 'string',\n 'environment',\n 'function',\n 'keyword',\n 'builtin',\n 'boolean',\n 'file-descriptor',\n 'operator',\n 'punctuation',\n 'number'\n ]\n var inside = insideString.variable[1].inside\n for (var i = 0; i < toBeCopied.length; i++) {\n inside[toBeCopied[i]] = Prism.languages.bash[toBeCopied[i]]\n }\n Prism.languages.shell = Prism.languages.bash\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = basic\nbasic.displayName = 'basic'\nbasic.aliases = []\nfunction basic(Prism) {\n Prism.languages.basic = {\n comment: {\n pattern: /(?:!|REM\\b).+/i,\n inside: {\n keyword: /^REM/i\n }\n },\n string: {\n pattern: /\"(?:\"\"|[!#$%&'()*,\\/:;<=>?^\\w +\\-.])*\"/,\n greedy: true\n },\n number: /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:E[+-]?\\d+)?/i,\n keyword:\n /\\b(?:AS|BEEP|BLOAD|BSAVE|CALL(?: ABSOLUTE)?|CASE|CHAIN|CHDIR|CLEAR|CLOSE|CLS|COM|COMMON|CONST|DATA|DECLARE|DEF(?: FN| SEG|DBL|INT|LNG|SNG|STR)|DIM|DO|DOUBLE|ELSE|ELSEIF|END|ENVIRON|ERASE|ERROR|EXIT|FIELD|FILES|FOR|FUNCTION|GET|GOSUB|GOTO|IF|INPUT|INTEGER|IOCTL|KEY|KILL|LINE INPUT|LOCATE|LOCK|LONG|LOOP|LSET|MKDIR|NAME|NEXT|OFF|ON(?: COM| ERROR| KEY| TIMER)?|OPEN|OPTION BASE|OUT|POKE|PUT|READ|REDIM|REM|RESTORE|RESUME|RETURN|RMDIR|RSET|RUN|SELECT CASE|SHARED|SHELL|SINGLE|SLEEP|STATIC|STEP|STOP|STRING|SUB|SWAP|SYSTEM|THEN|TIMER|TO|TROFF|TRON|TYPE|UNLOCK|UNTIL|USING|VIEW PRINT|WAIT|WEND|WHILE|WRITE)(?:\\$|\\b)/i,\n function:\n /\\b(?:ABS|ACCESS|ACOS|ANGLE|AREA|ARITHMETIC|ARRAY|ASIN|ASK|AT|ATN|BASE|BEGIN|BREAK|CAUSE|CEIL|CHR|CLIP|COLLATE|COLOR|CON|COS|COSH|COT|CSC|DATE|DATUM|DEBUG|DECIMAL|DEF|DEG|DEGREES|DELETE|DET|DEVICE|DISPLAY|DOT|ELAPSED|EPS|ERASABLE|EXLINE|EXP|EXTERNAL|EXTYPE|FILETYPE|FIXED|FP|GO|GRAPH|HANDLER|IDN|IMAGE|IN|INT|INTERNAL|IP|IS|KEYED|LBOUND|LCASE|LEFT|LEN|LENGTH|LET|LINE|LINES|LOG|LOG10|LOG2|LTRIM|MARGIN|MAT|MAX|MAXNUM|MID|MIN|MISSING|MOD|NATIVE|NUL|NUMERIC|OF|OPTION|ORD|ORGANIZATION|OUTIN|OUTPUT|PI|POINT|POINTER|POINTS|POS|PRINT|PROGRAM|PROMPT|RAD|RADIANS|RANDOMIZE|RECORD|RECSIZE|RECTYPE|RELATIVE|REMAINDER|REPEAT|REST|RETRY|REWRITE|RIGHT|RND|ROUND|RTRIM|SAME|SEC|SELECT|SEQUENTIAL|SET|SETTER|SGN|SIN|SINH|SIZE|SKIP|SQR|STANDARD|STATUS|STR|STREAM|STYLE|TAB|TAN|TANH|TEMPLATE|TEXT|THERE|TIME|TIMEOUT|TRACE|TRANSFORM|TRUNCATE|UBOUND|UCASE|USE|VAL|VARIABLE|VIEWPORT|WHEN|WINDOW|WITH|ZER|ZONEWIDTH)(?:\\$|\\b)/i,\n operator: /<[=>]?|>=?|[+\\-*\\/^=&]|\\b(?:AND|EQV|IMP|NOT|OR|XOR)\\b/i,\n punctuation: /[,;:()]/\n }\n}\n","'use strict'\n\nmodule.exports = batch\nbatch.displayName = 'batch'\nbatch.aliases = []\nfunction batch(Prism) {\n ;(function (Prism) {\n var variable = /%%?[~:\\w]+%?|!\\S+!/\n var parameter = {\n pattern: /\\/[a-z?]+(?=[ :]|$):?|-[a-z]\\b|--[a-z-]+\\b/im,\n alias: 'attr-name',\n inside: {\n punctuation: /:/\n }\n }\n var string = /\"(?:[\\\\\"]\"|[^\"])*\"(?!\")/\n var number = /(?:\\b|-)\\d+\\b/\n Prism.languages.batch = {\n comment: [\n /^::.*/m,\n {\n pattern: /((?:^|[&(])[ \\t]*)rem\\b(?:[^^&)\\r\\n]|\\^(?:\\r\\n|[\\s\\S]))*/im,\n lookbehind: true\n }\n ],\n label: {\n pattern: /^:.*/m,\n alias: 'property'\n },\n command: [\n {\n // FOR command\n pattern:\n /((?:^|[&(])[ \\t]*)for(?: \\/[a-z?](?:[ :](?:\"[^\"]*\"|[^\\s\"/]\\S*))?)* \\S+ in \\([^)]+\\) do/im,\n lookbehind: true,\n inside: {\n keyword: /\\b(?:do|in)\\b|^for\\b/i,\n string: string,\n parameter: parameter,\n variable: variable,\n number: number,\n punctuation: /[()',]/\n }\n },\n {\n // IF command\n pattern:\n /((?:^|[&(])[ \\t]*)if(?: \\/[a-z?](?:[ :](?:\"[^\"]*\"|[^\\s\"/]\\S*))?)* (?:not )?(?:cmdextversion \\d+|defined \\w+|errorlevel \\d+|exist \\S+|(?:\"[^\"]*\"|(?!\")(?:(?!==)\\S)+)?(?:==| (?:equ|geq|gtr|leq|lss|neq) )(?:\"[^\"]*\"|[^\\s\"]\\S*))/im,\n lookbehind: true,\n inside: {\n keyword:\n /\\b(?:cmdextversion|defined|errorlevel|exist|not)\\b|^if\\b/i,\n string: string,\n parameter: parameter,\n variable: variable,\n number: number,\n operator: /\\^|==|\\b(?:equ|geq|gtr|leq|lss|neq)\\b/i\n }\n },\n {\n // ELSE command\n pattern: /((?:^|[&()])[ \\t]*)else\\b/im,\n lookbehind: true,\n inside: {\n keyword: /^else\\b/i\n }\n },\n {\n // SET command\n pattern:\n /((?:^|[&(])[ \\t]*)set(?: \\/[a-z](?:[ :](?:\"[^\"]*\"|[^\\s\"/]\\S*))?)* (?:[^^&)\\r\\n]|\\^(?:\\r\\n|[\\s\\S]))*/im,\n lookbehind: true,\n inside: {\n keyword: /^set\\b/i,\n string: string,\n parameter: parameter,\n variable: [variable, /\\w+(?=(?:[*\\/%+\\-&^|]|<<|>>)?=)/],\n number: number,\n operator: /[*\\/%+\\-&^|]=?|<<=?|>>=?|[!~_=]/,\n punctuation: /[()',]/\n }\n },\n {\n // Other commands\n pattern:\n /((?:^|[&(])[ \\t]*@?)\\w+\\b(?:\"(?:[\\\\\"]\"|[^\"])*\"(?!\")|[^\"^&)\\r\\n]|\\^(?:\\r\\n|[\\s\\S]))*/m,\n lookbehind: true,\n inside: {\n keyword: /^\\w+\\b/,\n string: string,\n parameter: parameter,\n label: {\n pattern: /(^\\s*):\\S+/m,\n lookbehind: true,\n alias: 'property'\n },\n variable: variable,\n number: number,\n operator: /\\^/\n }\n }\n ],\n operator: /[&@]/,\n punctuation: /[()']/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = bbcode\nbbcode.displayName = 'bbcode'\nbbcode.aliases = ['shortcode']\nfunction bbcode(Prism) {\n Prism.languages.bbcode = {\n tag: {\n pattern:\n /\\[\\/?[^\\s=\\]]+(?:\\s*=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\"\\]=]+))?(?:\\s+[^\\s=\\]]+\\s*=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\"\\]=]+))*\\s*\\]/,\n inside: {\n tag: {\n pattern: /^\\[\\/?[^\\s=\\]]+/,\n inside: {\n punctuation: /^\\[\\/?/\n }\n },\n 'attr-value': {\n pattern: /=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\"\\]=]+)/,\n inside: {\n punctuation: [\n /^=/,\n {\n pattern: /^(\\s*)[\"']|[\"']$/,\n lookbehind: true\n }\n ]\n }\n },\n punctuation: /\\]/,\n 'attr-name': /[^\\s=\\]]+/\n }\n }\n }\n Prism.languages.shortcode = Prism.languages.bbcode\n}\n","'use strict'\n\nmodule.exports = bicep\nbicep.displayName = 'bicep'\nbicep.aliases = []\nfunction bicep(Prism) {\n // based loosely upon: https://github.com/Azure/bicep/blob/main/src/textmate/bicep.tmlanguage\n Prism.languages.bicep = {\n comment: [\n {\n // multiline comments eg /* ASDF */\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true,\n greedy: true\n },\n {\n // singleline comments eg // ASDF\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n property: [\n {\n pattern: /([\\r\\n][ \\t]*)[a-z_]\\w*(?=[ \\t]*:)/i,\n lookbehind: true\n },\n {\n pattern: /([\\r\\n][ \\t]*)'(?:\\\\.|\\$(?!\\{)|[^'\\\\\\r\\n$])*'(?=[ \\t]*:)/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: [\n {\n pattern: /'''[^'][\\s\\S]*?'''/,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\'])'(?:\\\\.|\\$(?!\\{)|[^'\\\\\\r\\n$])*'/,\n lookbehind: true,\n greedy: true\n }\n ],\n 'interpolated-string': {\n pattern: /(^|[^\\\\'])'(?:\\\\.|\\$(?:(?!\\{)|\\{[^{}\\r\\n]*\\})|[^'\\\\\\r\\n$])*'/,\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\$\\{[^{}\\r\\n]*\\}/,\n inside: {\n expression: {\n pattern: /(^\\$\\{)[\\s\\S]+(?=\\}$)/,\n lookbehind: true\n },\n punctuation: /^\\$\\{|\\}$/\n }\n },\n string: /[\\s\\S]+/\n }\n },\n datatype: {\n pattern: /(\\b(?:output|param)\\b[ \\t]+\\w+[ \\t]+)\\w+\\b/,\n lookbehind: true,\n alias: 'class-name'\n },\n boolean: /\\b(?:false|true)\\b/,\n // https://github.com/Azure/bicep/blob/114a3251b4e6e30082a58729f19a8cc4e374ffa6/src/textmate/bicep.tmlanguage#L184\n keyword:\n /\\b(?:existing|for|if|in|module|null|output|param|resource|targetScope|var)\\b/,\n decorator: /@\\w+\\b/,\n function: /\\b[a-z_]\\w*(?=[ \\t]*\\()/i,\n number: /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:E[+-]?\\d+)?/i,\n operator:\n /--|\\+\\+|\\*\\*=?|=>|&&=?|\\|\\|=?|[!=]==|<<=?|>>>?=?|[-+*/%&|^!=<>]=?|\\.{3}|\\?\\?=?|\\?\\.?|[~:]/,\n punctuation: /[{}[\\];(),.:]/\n }\n Prism.languages.bicep['interpolated-string'].inside['interpolation'].inside[\n 'expression'\n ].inside = Prism.languages.bicep\n}\n","'use strict'\n\nmodule.exports = birb\nbirb.displayName = 'birb'\nbirb.aliases = []\nfunction birb(Prism) {\n Prism.languages.birb = Prism.languages.extend('clike', {\n string: {\n pattern: /r?(\"|')(?:\\\\.|(?!\\1)[^\\\\])*\\1/,\n greedy: true\n },\n 'class-name': [\n /\\b[A-Z](?:[\\d_]*[a-zA-Z]\\w*)?\\b/, // matches variable and function return types (parameters as well).\n /\\b(?:[A-Z]\\w*|(?!(?:var|void)\\b)[a-z]\\w*)(?=\\s+\\w+\\s*[;,=()])/\n ],\n keyword:\n /\\b(?:assert|break|case|class|const|default|else|enum|final|follows|for|grab|if|nest|new|next|noSeeb|return|static|switch|throw|var|void|while)\\b/,\n operator: /\\+\\+|--|&&|\\|\\||<<=?|>>=?|~(?:\\/=?)?|[+\\-*\\/%&^|=!<>]=?|\\?|:/,\n variable: /\\b[a-z_]\\w*\\b/\n })\n Prism.languages.insertBefore('birb', 'function', {\n metadata: {\n pattern: /<\\w+>/,\n greedy: true,\n alias: 'symbol'\n }\n })\n}\n","'use strict'\nvar refractorC = require('./c.js')\nmodule.exports = bison\nbison.displayName = 'bison'\nbison.aliases = []\nfunction bison(Prism) {\n Prism.register(refractorC)\n Prism.languages.bison = Prism.languages.extend('c', {})\n Prism.languages.insertBefore('bison', 'comment', {\n bison: {\n // This should match all the beginning of the file\n // including the prologue(s), the bison declarations and\n // the grammar rules.\n pattern: /^(?:[^%]|%(?!%))*%%[\\s\\S]*?%%/,\n inside: {\n c: {\n // Allow for one level of nested braces\n pattern: /%\\{[\\s\\S]*?%\\}|\\{(?:\\{[^}]*\\}|[^{}])*\\}/,\n inside: {\n delimiter: {\n pattern: /^%?\\{|%?\\}$/,\n alias: 'punctuation'\n },\n 'bison-variable': {\n pattern: /[$@](?:<[^\\s>]+>)?[\\w$]+/,\n alias: 'variable',\n inside: {\n punctuation: /<|>/\n }\n },\n rest: Prism.languages.c\n }\n },\n comment: Prism.languages.c.comment,\n string: Prism.languages.c.string,\n property: /\\S+(?=:)/,\n keyword: /%\\w+/,\n number: {\n pattern: /(^|[^@])\\b(?:0x[\\da-f]+|\\d+)/i,\n lookbehind: true\n },\n punctuation: /%[%?]|[|:;\\[\\]<>]/\n }\n }\n })\n}\n","'use strict'\n\nmodule.exports = bnf\nbnf.displayName = 'bnf'\nbnf.aliases = ['rbnf']\nfunction bnf(Prism) {\n Prism.languages.bnf = {\n string: {\n pattern: /\"[^\\r\\n\"]*\"|'[^\\r\\n']*'/\n },\n definition: {\n pattern: /<[^<>\\r\\n\\t]+>(?=\\s*::=)/,\n alias: ['rule', 'keyword'],\n inside: {\n punctuation: /^<|>$/\n }\n },\n rule: {\n pattern: /<[^<>\\r\\n\\t]+>/,\n inside: {\n punctuation: /^<|>$/\n }\n },\n operator: /::=|[|()[\\]{}*+?]|\\.{3}/\n }\n Prism.languages.rbnf = Prism.languages.bnf\n}\n","'use strict'\n\nmodule.exports = brainfuck\nbrainfuck.displayName = 'brainfuck'\nbrainfuck.aliases = []\nfunction brainfuck(Prism) {\n Prism.languages.brainfuck = {\n pointer: {\n pattern: /<|>/,\n alias: 'keyword'\n },\n increment: {\n pattern: /\\+/,\n alias: 'inserted'\n },\n decrement: {\n pattern: /-/,\n alias: 'deleted'\n },\n branching: {\n pattern: /\\[|\\]/,\n alias: 'important'\n },\n operator: /[.,]/,\n comment: /\\S+/\n }\n}\n","'use strict'\n\nmodule.exports = brightscript\nbrightscript.displayName = 'brightscript'\nbrightscript.aliases = []\nfunction brightscript(Prism) {\n Prism.languages.brightscript = {\n comment: /(?:\\brem|').*/i,\n 'directive-statement': {\n pattern: /(^[\\t ]*)#(?:const|else(?:[\\t ]+if)?|end[\\t ]+if|error|if).*/im,\n lookbehind: true,\n alias: 'property',\n inside: {\n 'error-message': {\n pattern: /(^#error).+/,\n lookbehind: true\n },\n directive: {\n pattern: /^#(?:const|else(?:[\\t ]+if)?|end[\\t ]+if|error|if)/,\n alias: 'keyword'\n },\n expression: {\n pattern: /[\\s\\S]+/,\n inside: null // see below\n }\n }\n },\n property: {\n pattern:\n /([\\r\\n{,][\\t ]*)(?:(?!\\d)\\w+|\"(?:[^\"\\r\\n]|\"\")*\"(?!\"))(?=[ \\t]*:)/,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /\"(?:[^\"\\r\\n]|\"\")*\"(?!\")/,\n greedy: true\n },\n 'class-name': {\n pattern: /(\\bAs[\\t ]+)\\w+/i,\n lookbehind: true\n },\n keyword:\n /\\b(?:As|Dim|Each|Else|Elseif|End|Exit|For|Function|Goto|If|In|Print|Return|Step|Stop|Sub|Then|To|While)\\b/i,\n boolean: /\\b(?:false|true)\\b/i,\n function: /\\b(?!\\d)\\w+(?=[\\t ]*\\()/,\n number: /(?:\\b\\d+(?:\\.\\d+)?(?:[ed][+-]\\d+)?|&h[a-f\\d]+)\\b[%&!#]?/i,\n operator:\n /--|\\+\\+|>>=?|<<=?|<>|[-+*/\\\\<>]=?|[:^=?]|\\b(?:and|mod|not|or)\\b/i,\n punctuation: /[.,;()[\\]{}]/,\n constant: /\\b(?:LINE_NUM)\\b/i\n }\n Prism.languages.brightscript['directive-statement'].inside.expression.inside =\n Prism.languages.brightscript\n}\n","'use strict'\n\nmodule.exports = bro\nbro.displayName = 'bro'\nbro.aliases = []\nfunction bro(Prism) {\n Prism.languages.bro = {\n comment: {\n pattern: /(^|[^\\\\$])#.*/,\n lookbehind: true,\n inside: {\n italic: /\\b(?:FIXME|TODO|XXX)\\b/\n }\n },\n string: {\n pattern: /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n boolean: /\\b[TF]\\b/,\n function: {\n pattern: /(\\b(?:event|function|hook)[ \\t]+)\\w+(?:::\\w+)?/,\n lookbehind: true\n },\n builtin:\n /(?:@(?:load(?:-(?:plugin|sigs))?|unload|prefixes|ifn?def|else|(?:end)?if|DIR|FILENAME))|(?:&?(?:add_func|create_expire|default|delete_func|encrypt|error_handler|expire_func|group|log|mergeable|optional|persistent|priority|raw_output|read_expire|redef|rotate_interval|rotate_size|synchronized|type_column|write_expire))/,\n constant: {\n pattern: /(\\bconst[ \\t]+)\\w+/i,\n lookbehind: true\n },\n keyword:\n /\\b(?:add|addr|alarm|any|bool|break|const|continue|count|delete|double|else|enum|event|export|file|for|function|global|hook|if|in|int|interval|local|module|next|of|opaque|pattern|port|print|record|return|schedule|set|string|subnet|table|time|timeout|using|vector|when)\\b/,\n operator: /--?|\\+\\+?|!=?=?|<=?|>=?|==?=?|&&|\\|\\|?|\\?|\\*|\\/|~|\\^|%/,\n number: /\\b0x[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?/i,\n punctuation: /[{}[\\];(),.:]/\n }\n}\n","'use strict'\n\nmodule.exports = bsl\nbsl.displayName = 'bsl'\nbsl.aliases = []\nfunction bsl(Prism) {\n /* eslint-disable no-misleading-character-class */\n // 1C:Enterprise\n // https://github.com/Diversus23/\n //\n Prism.languages.bsl = {\n comment: /\\/\\/.*/,\n string: [\n // Строки\n // Strings\n {\n pattern: /\"(?:[^\"]|\"\")*\"(?!\")/,\n greedy: true\n }, // Дата и время\n // Date & time\n {\n pattern: /'(?:[^'\\r\\n\\\\]|\\\\.)*'/\n }\n ],\n keyword: [\n {\n // RU\n pattern:\n /(^|[^\\w\\u0400-\\u0484\\u0487-\\u052f\\u1d2b\\u1d78\\u2de0-\\u2dff\\ua640-\\ua69f\\ufe2e\\ufe2f])(?:пока|для|новый|прервать|попытка|исключение|вызватьисключение|иначе|конецпопытки|неопределено|функция|перем|возврат|конецфункции|если|иначеесли|процедура|конецпроцедуры|тогда|знач|экспорт|конецесли|из|каждого|истина|ложь|по|цикл|конеццикла|выполнить)(?![\\w\\u0400-\\u0484\\u0487-\\u052f\\u1d2b\\u1d78\\u2de0-\\u2dff\\ua640-\\ua69f\\ufe2e\\ufe2f])/i,\n lookbehind: true\n },\n {\n // EN\n pattern:\n /\\b(?:break|do|each|else|elseif|enddo|endfunction|endif|endprocedure|endtry|except|execute|export|false|for|function|if|in|new|null|procedure|raise|return|then|to|true|try|undefined|val|var|while)\\b/i\n }\n ],\n number: {\n pattern:\n /(^(?=\\d)|[^\\w\\u0400-\\u0484\\u0487-\\u052f\\u1d2b\\u1d78\\u2de0-\\u2dff\\ua640-\\ua69f\\ufe2e\\ufe2f])(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:E[+-]?\\d+)?/i,\n lookbehind: true\n },\n operator: [\n /[<>+\\-*/]=?|[%=]/, // RU\n {\n pattern:\n /(^|[^\\w\\u0400-\\u0484\\u0487-\\u052f\\u1d2b\\u1d78\\u2de0-\\u2dff\\ua640-\\ua69f\\ufe2e\\ufe2f])(?:и|или|не)(?![\\w\\u0400-\\u0484\\u0487-\\u052f\\u1d2b\\u1d78\\u2de0-\\u2dff\\ua640-\\ua69f\\ufe2e\\ufe2f])/i,\n lookbehind: true\n }, // EN\n {\n pattern: /\\b(?:and|not|or)\\b/i\n }\n ],\n punctuation: /\\(\\.|\\.\\)|[()\\[\\]:;,.]/,\n directive: [\n // Теги препроцессора вида &Клиент, &Сервер, ...\n // Preprocessor tags of the type &Client, &Server, ...\n {\n pattern: /^([ \\t]*)&.*/m,\n lookbehind: true,\n greedy: true,\n alias: 'important'\n }, // Инструкции препроцессора вида:\n // #Если Сервер Тогда\n // ...\n // #КонецЕсли\n // Preprocessor instructions of the form:\n // #If Server Then\n // ...\n // #EndIf\n {\n pattern: /^([ \\t]*)#.*/gm,\n lookbehind: true,\n greedy: true,\n alias: 'important'\n }\n ]\n }\n Prism.languages.oscript = Prism.languages['bsl']\n}\n","'use strict'\n\nmodule.exports = c\nc.displayName = 'c'\nc.aliases = []\nfunction c(Prism) {\n Prism.languages.c = Prism.languages.extend('clike', {\n comment: {\n pattern:\n /\\/\\/(?:[^\\r\\n\\\\]|\\\\(?:\\r\\n?|\\n|(?![\\r\\n])))*|\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n greedy: true\n },\n string: {\n // https://en.cppreference.com/w/c/language/string_literal\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n 'class-name': {\n pattern:\n /(\\b(?:enum|struct)\\s+(?:__attribute__\\s*\\(\\([\\s\\S]*?\\)\\)\\s*)?)\\w+|\\b[a-z]\\w*_t\\b/,\n lookbehind: true\n },\n keyword:\n /\\b(?:_Alignas|_Alignof|_Atomic|_Bool|_Complex|_Generic|_Imaginary|_Noreturn|_Static_assert|_Thread_local|__attribute__|asm|auto|break|case|char|const|continue|default|do|double|else|enum|extern|float|for|goto|if|inline|int|long|register|return|short|signed|sizeof|static|struct|switch|typedef|typeof|union|unsigned|void|volatile|while)\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n number:\n /(?:\\b0x(?:[\\da-f]+(?:\\.[\\da-f]*)?|\\.[\\da-f]+)(?:p[+-]?\\d+)?|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?)[ful]{0,4}/i,\n operator: />>=?|<<=?|->|([-+&|:])\\1|[?:~]|[-+*/%&|^!=<>]=?/\n })\n Prism.languages.insertBefore('c', 'string', {\n char: {\n // https://en.cppreference.com/w/c/language/character_constant\n pattern: /'(?:\\\\(?:\\r\\n|[\\s\\S])|[^'\\\\\\r\\n]){0,32}'/,\n greedy: true\n }\n })\n Prism.languages.insertBefore('c', 'string', {\n macro: {\n // allow for multiline macro definitions\n // spaces after the # character compile fine with gcc\n pattern:\n /(^[\\t ]*)#\\s*[a-z](?:[^\\r\\n\\\\/]|\\/(?!\\*)|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/|\\\\(?:\\r\\n|[\\s\\S]))*/im,\n lookbehind: true,\n greedy: true,\n alias: 'property',\n inside: {\n string: [\n {\n // highlight the path of the include statement as a string\n pattern: /^(#\\s*include\\s*)<[^>]+>/,\n lookbehind: true\n },\n Prism.languages.c['string']\n ],\n char: Prism.languages.c['char'],\n comment: Prism.languages.c['comment'],\n 'macro-name': [\n {\n pattern: /(^#\\s*define\\s+)\\w+\\b(?!\\()/i,\n lookbehind: true\n },\n {\n pattern: /(^#\\s*define\\s+)\\w+\\b(?=\\()/i,\n lookbehind: true,\n alias: 'function'\n }\n ],\n // highlight macro directives as keywords\n directive: {\n pattern: /^(#\\s*)[a-z]+/,\n lookbehind: true,\n alias: 'keyword'\n },\n 'directive-hash': /^#/,\n punctuation: /##|\\\\(?=[\\r\\n])/,\n expression: {\n pattern: /\\S[\\s\\S]*/,\n inside: Prism.languages.c\n }\n }\n }\n })\n Prism.languages.insertBefore('c', 'function', {\n // highlight predefined macros as constants\n constant:\n /\\b(?:EOF|NULL|SEEK_CUR|SEEK_END|SEEK_SET|__DATE__|__FILE__|__LINE__|__TIMESTAMP__|__TIME__|__func__|stderr|stdin|stdout)\\b/\n })\n delete Prism.languages.c['boolean']\n}\n","'use strict'\n\nmodule.exports = cfscript\ncfscript.displayName = 'cfscript'\ncfscript.aliases = []\nfunction cfscript(Prism) {\n // https://cfdocs.org/script\n Prism.languages.cfscript = Prism.languages.extend('clike', {\n comment: [\n {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true,\n inside: {\n annotation: {\n pattern: /(?:^|[^.])@[\\w\\.]+/,\n alias: 'punctuation'\n }\n }\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n keyword:\n /\\b(?:abstract|break|catch|component|continue|default|do|else|extends|final|finally|for|function|if|in|include|package|private|property|public|remote|required|rethrow|return|static|switch|throw|try|var|while|xml)\\b(?!\\s*=)/,\n operator: [\n /\\+\\+|--|&&|\\|\\||::|=>|[!=]==|<=?|>=?|[-+*/%&|^!=<>]=?|\\?(?:\\.|:)?|[?:]/,\n /\\b(?:and|contains|eq|equal|eqv|gt|gte|imp|is|lt|lte|mod|not|or|xor)\\b/\n ],\n scope: {\n pattern:\n /\\b(?:application|arguments|cgi|client|cookie|local|session|super|this|variables)\\b/,\n alias: 'global'\n },\n type: {\n pattern:\n /\\b(?:any|array|binary|boolean|date|guid|numeric|query|string|struct|uuid|void|xml)\\b/,\n alias: 'builtin'\n }\n })\n Prism.languages.insertBefore('cfscript', 'keyword', {\n // This must be declared before keyword because we use \"function\" inside the lookahead\n 'function-variable': {\n pattern:\n /[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*[=:]\\s*(?:\\bfunction\\b|(?:\\((?:[^()]|\\([^()]*\\))*\\)|(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*)\\s*=>))/,\n alias: 'function'\n }\n })\n delete Prism.languages.cfscript['class-name']\n Prism.languages.cfc = Prism.languages['cfscript']\n}\n","'use strict'\nvar refractorCpp = require('./cpp.js')\nmodule.exports = chaiscript\nchaiscript.displayName = 'chaiscript'\nchaiscript.aliases = []\nfunction chaiscript(Prism) {\n Prism.register(refractorCpp)\n Prism.languages.chaiscript = Prism.languages.extend('clike', {\n string: {\n pattern: /(^|[^\\\\])'(?:[^'\\\\]|\\\\[\\s\\S])*'/,\n lookbehind: true,\n greedy: true\n },\n 'class-name': [\n {\n // e.g. class Rectangle { ... }\n pattern: /(\\bclass\\s+)\\w+/,\n lookbehind: true\n },\n {\n // e.g. attr Rectangle::height, def Rectangle::area() { ... }\n pattern: /(\\b(?:attr|def)\\s+)\\w+(?=\\s*::)/,\n lookbehind: true\n }\n ],\n keyword:\n /\\b(?:attr|auto|break|case|catch|class|continue|def|default|else|finally|for|fun|global|if|return|switch|this|try|var|while)\\b/,\n number: [Prism.languages.cpp.number, /\\b(?:Infinity|NaN)\\b/],\n operator:\n />>=?|<<=?|\\|\\||&&|:[:=]?|--|\\+\\+|[=!<>+\\-*/%|&^]=?|[?~]|`[^`\\r\\n]{1,4}`/\n })\n Prism.languages.insertBefore('chaiscript', 'operator', {\n 'parameter-type': {\n // e.g. def foo(int x, Vector y) {...}\n pattern: /([,(]\\s*)\\w+(?=\\s+\\w)/,\n lookbehind: true,\n alias: 'class-name'\n }\n })\n Prism.languages.insertBefore('chaiscript', 'string', {\n 'string-interpolation': {\n pattern:\n /(^|[^\\\\])\"(?:[^\"$\\\\]|\\\\[\\s\\S]|\\$(?!\\{)|\\$\\{(?:[^{}]|\\{(?:[^{}]|\\{[^{}]*\\})*\\})*\\})*\"/,\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\$\\{(?:[^{}]|\\{(?:[^{}]|\\{[^{}]*\\})*\\})*\\}/,\n lookbehind: true,\n inside: {\n 'interpolation-expression': {\n pattern: /(^\\$\\{)[\\s\\S]+(?=\\}$)/,\n lookbehind: true,\n inside: Prism.languages.chaiscript\n },\n 'interpolation-punctuation': {\n pattern: /^\\$\\{|\\}$/,\n alias: 'punctuation'\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n}\n","'use strict'\n\nmodule.exports = cil\ncil.displayName = 'cil'\ncil.aliases = []\nfunction cil(Prism) {\n Prism.languages.cil = {\n comment: /\\/\\/.*/,\n string: {\n pattern: /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n directive: {\n pattern: /(^|\\W)\\.[a-z]+(?=\\s)/,\n lookbehind: true,\n alias: 'class-name'\n },\n // Actually an assembly reference\n variable: /\\[[\\w\\.]+\\]/,\n keyword:\n /\\b(?:abstract|ansi|assembly|auto|autochar|beforefieldinit|bool|bstr|byvalstr|catch|char|cil|class|currency|date|decimal|default|enum|error|explicit|extends|extern|famandassem|family|famorassem|final(?:ly)?|float32|float64|hidebysig|u?int(?:8|16|32|64)?|iant|idispatch|implements|import|initonly|instance|interface|iunknown|literal|lpstr|lpstruct|lptstr|lpwstr|managed|method|native(?:Type)?|nested|newslot|object(?:ref)?|pinvokeimpl|private|privatescope|public|reqsecobj|rtspecialname|runtime|sealed|sequential|serializable|specialname|static|string|struct|syschar|tbstr|unicode|unmanagedexp|unsigned|value(?:type)?|variant|virtual|void)\\b/,\n function:\n /\\b(?:(?:constrained|no|readonly|tail|unaligned|volatile)\\.)?(?:conv\\.(?:[iu][1248]?|ovf\\.[iu][1248]?(?:\\.un)?|r\\.un|r4|r8)|ldc\\.(?:i4(?:\\.\\d+|\\.[mM]1|\\.s)?|i8|r4|r8)|ldelem(?:\\.[iu][1248]?|\\.r[48]|\\.ref|a)?|ldind\\.(?:[iu][1248]?|r[48]|ref)|stelem\\.?(?:i[1248]?|r[48]|ref)?|stind\\.(?:i[1248]?|r[48]|ref)?|end(?:fault|filter|finally)|ldarg(?:\\.[0-3s]|a(?:\\.s)?)?|ldloc(?:\\.\\d+|\\.s)?|sub(?:\\.ovf(?:\\.un)?)?|mul(?:\\.ovf(?:\\.un)?)?|add(?:\\.ovf(?:\\.un)?)?|stloc(?:\\.[0-3s])?|refany(?:type|val)|blt(?:\\.un)?(?:\\.s)?|ble(?:\\.un)?(?:\\.s)?|bgt(?:\\.un)?(?:\\.s)?|bge(?:\\.un)?(?:\\.s)?|unbox(?:\\.any)?|init(?:blk|obj)|call(?:i|virt)?|brfalse(?:\\.s)?|bne\\.un(?:\\.s)?|ldloca(?:\\.s)?|brzero(?:\\.s)?|brtrue(?:\\.s)?|brnull(?:\\.s)?|brinst(?:\\.s)?|starg(?:\\.s)?|leave(?:\\.s)?|shr(?:\\.un)?|rem(?:\\.un)?|div(?:\\.un)?|clt(?:\\.un)?|alignment|castclass|ldvirtftn|beq(?:\\.s)?|ckfinite|ldsflda|ldtoken|localloc|mkrefany|rethrow|cgt\\.un|arglist|switch|stsfld|sizeof|newobj|newarr|ldsfld|ldnull|ldflda|isinst|throw|stobj|stfld|ldstr|ldobj|ldlen|ldftn|ldfld|cpobj|cpblk|break|br\\.s|xor|shl|ret|pop|not|nop|neg|jmp|dup|cgt|ceq|box|and|or|br)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b-?(?:0x[0-9a-f]+|\\d+)(?:\\.[0-9a-f]+)?\\b/i,\n punctuation: /[{}[\\];(),:=]|IL_[0-9A-Za-z]+/\n }\n}\n","'use strict'\n\nmodule.exports = clike\nclike.displayName = 'clike'\nclike.aliases = []\nfunction clike(Prism) {\n Prism.languages.clike = {\n comment: [\n {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n 'class-name': {\n pattern:\n /(\\b(?:class|extends|implements|instanceof|interface|new|trait)\\s+|\\bcatch\\s+\\()[\\w.\\\\]+/i,\n lookbehind: true,\n inside: {\n punctuation: /[.\\\\]/\n }\n },\n keyword:\n /\\b(?:break|catch|continue|do|else|finally|for|function|if|in|instanceof|new|null|return|throw|try|while)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n function: /\\b\\w+(?=\\()/,\n number: /\\b0x[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?/i,\n operator: /[<>]=?|[!=]=?=?|--?|\\+\\+?|&&?|\\|\\|?|[?*/~^%]/,\n punctuation: /[{}[\\];(),.:]/\n }\n}\n","'use strict'\n\nmodule.exports = clojure\nclojure.displayName = 'clojure'\nclojure.aliases = []\nfunction clojure(Prism) {\n // Copied from https://github.com/jeluard/prism-clojure\n Prism.languages.clojure = {\n comment: {\n pattern: /;.*/,\n greedy: true\n },\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\.)*\"/,\n greedy: true\n },\n char: /\\\\\\w+/,\n symbol: {\n pattern: /(^|[\\s()\\[\\]{},])::?[\\w*+!?'<>=/.-]+/,\n lookbehind: true\n },\n keyword: {\n pattern:\n /(\\()(?:-|->|->>|\\.|\\.\\.|\\*|\\/|\\+|<|<=|=|==|>|>=|accessor|agent|agent-errors|aget|alength|all-ns|alter|and|append-child|apply|array-map|aset|aset-boolean|aset-byte|aset-char|aset-double|aset-float|aset-int|aset-long|aset-short|assert|assoc|await|await-for|bean|binding|bit-and|bit-not|bit-or|bit-shift-left|bit-shift-right|bit-xor|boolean|branch\\?|butlast|byte|cast|char|children|class|clear-agent-errors|comment|commute|comp|comparator|complement|concat|cond|conj|cons|constantly|construct-proxy|contains\\?|count|create-ns|create-struct|cycle|dec|declare|def|def-|definline|definterface|defmacro|defmethod|defmulti|defn|defn-|defonce|defproject|defprotocol|defrecord|defstruct|deftype|deref|difference|disj|dissoc|distinct|do|doall|doc|dorun|doseq|dosync|dotimes|doto|double|down|drop|drop-while|edit|end\\?|ensure|eval|every\\?|false\\?|ffirst|file-seq|filter|find|find-doc|find-ns|find-var|first|float|flush|fn|fnseq|for|frest|gensym|get|get-proxy-class|hash-map|hash-set|identical\\?|identity|if|if-let|if-not|import|in-ns|inc|index|insert-child|insert-left|insert-right|inspect-table|inspect-tree|instance\\?|int|interleave|intersection|into|into-array|iterate|join|key|keys|keyword|keyword\\?|last|lazy-cat|lazy-cons|left|lefts|let|line-seq|list|list\\*|load|load-file|locking|long|loop|macroexpand|macroexpand-1|make-array|make-node|map|map-invert|map\\?|mapcat|max|max-key|memfn|merge|merge-with|meta|min|min-key|monitor-enter|name|namespace|neg\\?|new|newline|next|nil\\?|node|not|not-any\\?|not-every\\?|not=|ns|ns-imports|ns-interns|ns-map|ns-name|ns-publics|ns-refers|ns-resolve|ns-unmap|nth|nthrest|or|parse|partial|path|peek|pop|pos\\?|pr|pr-str|print|print-str|println|println-str|prn|prn-str|project|proxy|proxy-mappings|quot|quote|rand|rand-int|range|re-find|re-groups|re-matcher|re-matches|re-pattern|re-seq|read|read-line|recur|reduce|ref|ref-set|refer|rem|remove|remove-method|remove-ns|rename|rename-keys|repeat|replace|replicate|resolve|rest|resultset-seq|reverse|rfirst|right|rights|root|rrest|rseq|second|select|select-keys|send|send-off|seq|seq-zip|seq\\?|set|set!|short|slurp|some|sort|sort-by|sorted-map|sorted-map-by|sorted-set|special-symbol\\?|split-at|split-with|str|string\\?|struct|struct-map|subs|subvec|symbol|symbol\\?|sync|take|take-nth|take-while|test|throw|time|to-array|to-array-2d|tree-seq|true\\?|try|union|up|update-proxy|val|vals|var|var-get|var-set|var\\?|vector|vector-zip|vector\\?|when|when-first|when-let|when-not|with-local-vars|with-meta|with-open|with-out-str|xml-seq|xml-zip|zero\\?|zipmap|zipper)(?=[\\s)]|$)/,\n lookbehind: true\n },\n boolean: /\\b(?:false|nil|true)\\b/,\n number: {\n pattern:\n /(^|[^\\w$@])(?:\\d+(?:[/.]\\d+)?(?:e[+-]?\\d+)?|0x[a-f0-9]+|[1-9]\\d?r[a-z0-9]+)[lmn]?(?![\\w$@])/i,\n lookbehind: true\n },\n function: {\n pattern: /((?:^|[^'])\\()[\\w*+!?'<>=/.-]+(?=[\\s)]|$)/,\n lookbehind: true\n },\n operator: /[#@^`~]/,\n punctuation: /[{}\\[\\](),]/\n }\n}\n","'use strict'\n\nmodule.exports = cmake\ncmake.displayName = 'cmake'\ncmake.aliases = []\nfunction cmake(Prism) {\n Prism.languages.cmake = {\n comment: /#.*/,\n string: {\n pattern: /\"(?:[^\\\\\"]|\\\\.)*\"/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\$\\{(?:[^{}$]|\\$\\{[^{}$]*\\})*\\}/,\n inside: {\n punctuation: /\\$\\{|\\}/,\n variable: /\\w+/\n }\n }\n }\n },\n variable:\n /\\b(?:CMAKE_\\w+|\\w+_(?:(?:BINARY|SOURCE)_DIR|DESCRIPTION|HOMEPAGE_URL|ROOT|VERSION(?:_MAJOR|_MINOR|_PATCH|_TWEAK)?)|(?:ANDROID|APPLE|BORLAND|BUILD_SHARED_LIBS|CACHE|CPACK_(?:ABSOLUTE_DESTINATION_FILES|COMPONENT_INCLUDE_TOPLEVEL_DIRECTORY|ERROR_ON_ABSOLUTE_INSTALL_DESTINATION|INCLUDE_TOPLEVEL_DIRECTORY|INSTALL_DEFAULT_DIRECTORY_PERMISSIONS|INSTALL_SCRIPT|PACKAGING_INSTALL_PREFIX|SET_DESTDIR|WARN_ON_ABSOLUTE_INSTALL_DESTINATION)|CTEST_(?:BINARY_DIRECTORY|BUILD_COMMAND|BUILD_NAME|BZR_COMMAND|BZR_UPDATE_OPTIONS|CHANGE_ID|CHECKOUT_COMMAND|CONFIGURATION_TYPE|CONFIGURE_COMMAND|COVERAGE_COMMAND|COVERAGE_EXTRA_FLAGS|CURL_OPTIONS|CUSTOM_(?:COVERAGE_EXCLUDE|ERROR_EXCEPTION|ERROR_MATCH|ERROR_POST_CONTEXT|ERROR_PRE_CONTEXT|MAXIMUM_FAILED_TEST_OUTPUT_SIZE|MAXIMUM_NUMBER_OF_(?:ERRORS|WARNINGS)|MAXIMUM_PASSED_TEST_OUTPUT_SIZE|MEMCHECK_IGNORE|POST_MEMCHECK|POST_TEST|PRE_MEMCHECK|PRE_TEST|TESTS_IGNORE|WARNING_EXCEPTION|WARNING_MATCH)|CVS_CHECKOUT|CVS_COMMAND|CVS_UPDATE_OPTIONS|DROP_LOCATION|DROP_METHOD|DROP_SITE|DROP_SITE_CDASH|DROP_SITE_PASSWORD|DROP_SITE_USER|EXTRA_COVERAGE_GLOB|GIT_COMMAND|GIT_INIT_SUBMODULES|GIT_UPDATE_CUSTOM|GIT_UPDATE_OPTIONS|HG_COMMAND|HG_UPDATE_OPTIONS|LABELS_FOR_SUBPROJECTS|MEMORYCHECK_(?:COMMAND|COMMAND_OPTIONS|SANITIZER_OPTIONS|SUPPRESSIONS_FILE|TYPE)|NIGHTLY_START_TIME|P4_CLIENT|P4_COMMAND|P4_OPTIONS|P4_UPDATE_OPTIONS|RUN_CURRENT_SCRIPT|SCP_COMMAND|SITE|SOURCE_DIRECTORY|SUBMIT_URL|SVN_COMMAND|SVN_OPTIONS|SVN_UPDATE_OPTIONS|TEST_LOAD|TEST_TIMEOUT|TRIGGER_SITE|UPDATE_COMMAND|UPDATE_OPTIONS|UPDATE_VERSION_ONLY|USE_LAUNCHERS)|CYGWIN|ENV|EXECUTABLE_OUTPUT_PATH|GHS-MULTI|IOS|LIBRARY_OUTPUT_PATH|MINGW|MSVC(?:10|11|12|14|60|70|71|80|90|_IDE|_TOOLSET_VERSION|_VERSION)?|MSYS|PROJECT_(?:BINARY_DIR|DESCRIPTION|HOMEPAGE_URL|NAME|SOURCE_DIR|VERSION|VERSION_(?:MAJOR|MINOR|PATCH|TWEAK))|UNIX|WIN32|WINCE|WINDOWS_PHONE|WINDOWS_STORE|XCODE|XCODE_VERSION))\\b/,\n property:\n /\\b(?:cxx_\\w+|(?:ARCHIVE_OUTPUT_(?:DIRECTORY|NAME)|COMPILE_DEFINITIONS|COMPILE_PDB_NAME|COMPILE_PDB_OUTPUT_DIRECTORY|EXCLUDE_FROM_DEFAULT_BUILD|IMPORTED_(?:IMPLIB|LIBNAME|LINK_DEPENDENT_LIBRARIES|LINK_INTERFACE_LANGUAGES|LINK_INTERFACE_LIBRARIES|LINK_INTERFACE_MULTIPLICITY|LOCATION|NO_SONAME|OBJECTS|SONAME)|INTERPROCEDURAL_OPTIMIZATION|LIBRARY_OUTPUT_DIRECTORY|LIBRARY_OUTPUT_NAME|LINK_FLAGS|LINK_INTERFACE_LIBRARIES|LINK_INTERFACE_MULTIPLICITY|LOCATION|MAP_IMPORTED_CONFIG|OSX_ARCHITECTURES|OUTPUT_NAME|PDB_NAME|PDB_OUTPUT_DIRECTORY|RUNTIME_OUTPUT_DIRECTORY|RUNTIME_OUTPUT_NAME|STATIC_LIBRARY_FLAGS|VS_CSHARP|VS_DOTNET_REFERENCEPROP|VS_DOTNET_REFERENCE|VS_GLOBAL_SECTION_POST|VS_GLOBAL_SECTION_PRE|VS_GLOBAL|XCODE_ATTRIBUTE)_\\w+|\\w+_(?:CLANG_TIDY|COMPILER_LAUNCHER|CPPCHECK|CPPLINT|INCLUDE_WHAT_YOU_USE|OUTPUT_NAME|POSTFIX|VISIBILITY_PRESET)|ABSTRACT|ADDITIONAL_MAKE_CLEAN_FILES|ADVANCED|ALIASED_TARGET|ALLOW_DUPLICATE_CUSTOM_TARGETS|ANDROID_(?:ANT_ADDITIONAL_OPTIONS|API|API_MIN|ARCH|ASSETS_DIRECTORIES|GUI|JAR_DEPENDENCIES|NATIVE_LIB_DEPENDENCIES|NATIVE_LIB_DIRECTORIES|PROCESS_MAX|PROGUARD|PROGUARD_CONFIG_PATH|SECURE_PROPS_PATH|SKIP_ANT_STEP|STL_TYPE)|ARCHIVE_OUTPUT_DIRECTORY|ATTACHED_FILES|ATTACHED_FILES_ON_FAIL|AUTOGEN_(?:BUILD_DIR|ORIGIN_DEPENDS|PARALLEL|SOURCE_GROUP|TARGETS_FOLDER|TARGET_DEPENDS)|AUTOMOC|AUTOMOC_(?:COMPILER_PREDEFINES|DEPEND_FILTERS|EXECUTABLE|MACRO_NAMES|MOC_OPTIONS|SOURCE_GROUP|TARGETS_FOLDER)|AUTORCC|AUTORCC_EXECUTABLE|AUTORCC_OPTIONS|AUTORCC_SOURCE_GROUP|AUTOUIC|AUTOUIC_EXECUTABLE|AUTOUIC_OPTIONS|AUTOUIC_SEARCH_PATHS|BINARY_DIR|BUILDSYSTEM_TARGETS|BUILD_RPATH|BUILD_RPATH_USE_ORIGIN|BUILD_WITH_INSTALL_NAME_DIR|BUILD_WITH_INSTALL_RPATH|BUNDLE|BUNDLE_EXTENSION|CACHE_VARIABLES|CLEAN_NO_CUSTOM|COMMON_LANGUAGE_RUNTIME|COMPATIBLE_INTERFACE_(?:BOOL|NUMBER_MAX|NUMBER_MIN|STRING)|COMPILE_(?:DEFINITIONS|FEATURES|FLAGS|OPTIONS|PDB_NAME|PDB_OUTPUT_DIRECTORY)|COST|CPACK_DESKTOP_SHORTCUTS|CPACK_NEVER_OVERWRITE|CPACK_PERMANENT|CPACK_STARTUP_SHORTCUTS|CPACK_START_MENU_SHORTCUTS|CPACK_WIX_ACL|CROSSCOMPILING_EMULATOR|CUDA_EXTENSIONS|CUDA_PTX_COMPILATION|CUDA_RESOLVE_DEVICE_SYMBOLS|CUDA_SEPARABLE_COMPILATION|CUDA_STANDARD|CUDA_STANDARD_REQUIRED|CXX_EXTENSIONS|CXX_STANDARD|CXX_STANDARD_REQUIRED|C_EXTENSIONS|C_STANDARD|C_STANDARD_REQUIRED|DEBUG_CONFIGURATIONS|DEFINE_SYMBOL|DEFINITIONS|DEPENDS|DEPLOYMENT_ADDITIONAL_FILES|DEPLOYMENT_REMOTE_DIRECTORY|DISABLED|DISABLED_FEATURES|ECLIPSE_EXTRA_CPROJECT_CONTENTS|ECLIPSE_EXTRA_NATURES|ENABLED_FEATURES|ENABLED_LANGUAGES|ENABLE_EXPORTS|ENVIRONMENT|EXCLUDE_FROM_ALL|EXCLUDE_FROM_DEFAULT_BUILD|EXPORT_NAME|EXPORT_PROPERTIES|EXTERNAL_OBJECT|EchoString|FAIL_REGULAR_EXPRESSION|FIND_LIBRARY_USE_LIB32_PATHS|FIND_LIBRARY_USE_LIB64_PATHS|FIND_LIBRARY_USE_LIBX32_PATHS|FIND_LIBRARY_USE_OPENBSD_VERSIONING|FIXTURES_CLEANUP|FIXTURES_REQUIRED|FIXTURES_SETUP|FOLDER|FRAMEWORK|Fortran_FORMAT|Fortran_MODULE_DIRECTORY|GENERATED|GENERATOR_FILE_NAME|GENERATOR_IS_MULTI_CONFIG|GHS_INTEGRITY_APP|GHS_NO_SOURCE_GROUP_FILE|GLOBAL_DEPENDS_DEBUG_MODE|GLOBAL_DEPENDS_NO_CYCLES|GNUtoMS|HAS_CXX|HEADER_FILE_ONLY|HELPSTRING|IMPLICIT_DEPENDS_INCLUDE_TRANSFORM|IMPORTED|IMPORTED_(?:COMMON_LANGUAGE_RUNTIME|CONFIGURATIONS|GLOBAL|IMPLIB|LIBNAME|LINK_DEPENDENT_LIBRARIES|LINK_INTERFACE_(?:LANGUAGES|LIBRARIES|MULTIPLICITY)|LOCATION|NO_SONAME|OBJECTS|SONAME)|IMPORT_PREFIX|IMPORT_SUFFIX|INCLUDE_DIRECTORIES|INCLUDE_REGULAR_EXPRESSION|INSTALL_NAME_DIR|INSTALL_RPATH|INSTALL_RPATH_USE_LINK_PATH|INTERFACE_(?:AUTOUIC_OPTIONS|COMPILE_DEFINITIONS|COMPILE_FEATURES|COMPILE_OPTIONS|INCLUDE_DIRECTORIES|LINK_DEPENDS|LINK_DIRECTORIES|LINK_LIBRARIES|LINK_OPTIONS|POSITION_INDEPENDENT_CODE|SOURCES|SYSTEM_INCLUDE_DIRECTORIES)|INTERPROCEDURAL_OPTIMIZATION|IN_TRY_COMPILE|IOS_INSTALL_COMBINED|JOB_POOLS|JOB_POOL_COMPILE|JOB_POOL_LINK|KEEP_EXTENSION|LABELS|LANGUAGE|LIBRARY_OUTPUT_DIRECTORY|LINKER_LANGUAGE|LINK_(?:DEPENDS|DEPENDS_NO_SHARED|DIRECTORIES|FLAGS|INTERFACE_LIBRARIES|INTERFACE_MULTIPLICITY|LIBRARIES|OPTIONS|SEARCH_END_STATIC|SEARCH_START_STATIC|WHAT_YOU_USE)|LISTFILE_STACK|LOCATION|MACOSX_BUNDLE|MACOSX_BUNDLE_INFO_PLIST|MACOSX_FRAMEWORK_INFO_PLIST|MACOSX_PACKAGE_LOCATION|MACOSX_RPATH|MACROS|MANUALLY_ADDED_DEPENDENCIES|MEASUREMENT|MODIFIED|NAME|NO_SONAME|NO_SYSTEM_FROM_IMPORTED|OBJECT_DEPENDS|OBJECT_OUTPUTS|OSX_ARCHITECTURES|OUTPUT_NAME|PACKAGES_FOUND|PACKAGES_NOT_FOUND|PARENT_DIRECTORY|PASS_REGULAR_EXPRESSION|PDB_NAME|PDB_OUTPUT_DIRECTORY|POSITION_INDEPENDENT_CODE|POST_INSTALL_SCRIPT|PREDEFINED_TARGETS_FOLDER|PREFIX|PRE_INSTALL_SCRIPT|PRIVATE_HEADER|PROCESSORS|PROCESSOR_AFFINITY|PROJECT_LABEL|PUBLIC_HEADER|REPORT_UNDEFINED_PROPERTIES|REQUIRED_FILES|RESOURCE|RESOURCE_LOCK|RULE_LAUNCH_COMPILE|RULE_LAUNCH_CUSTOM|RULE_LAUNCH_LINK|RULE_MESSAGES|RUNTIME_OUTPUT_DIRECTORY|RUN_SERIAL|SKIP_AUTOGEN|SKIP_AUTOMOC|SKIP_AUTORCC|SKIP_AUTOUIC|SKIP_BUILD_RPATH|SKIP_RETURN_CODE|SOURCES|SOURCE_DIR|SOVERSION|STATIC_LIBRARY_FLAGS|STATIC_LIBRARY_OPTIONS|STRINGS|SUBDIRECTORIES|SUFFIX|SYMBOLIC|TARGET_ARCHIVES_MAY_BE_SHARED_LIBS|TARGET_MESSAGES|TARGET_SUPPORTS_SHARED_LIBS|TESTS|TEST_INCLUDE_FILE|TEST_INCLUDE_FILES|TIMEOUT|TIMEOUT_AFTER_MATCH|TYPE|USE_FOLDERS|VALUE|VARIABLES|VERSION|VISIBILITY_INLINES_HIDDEN|VS_(?:CONFIGURATION_TYPE|COPY_TO_OUT_DIR|DEBUGGER_(?:COMMAND|COMMAND_ARGUMENTS|ENVIRONMENT|WORKING_DIRECTORY)|DEPLOYMENT_CONTENT|DEPLOYMENT_LOCATION|DOTNET_REFERENCES|DOTNET_REFERENCES_COPY_LOCAL|GLOBAL_KEYWORD|GLOBAL_PROJECT_TYPES|GLOBAL_ROOTNAMESPACE|INCLUDE_IN_VSIX|IOT_STARTUP_TASK|KEYWORD|RESOURCE_GENERATOR|SCC_AUXPATH|SCC_LOCALPATH|SCC_PROJECTNAME|SCC_PROVIDER|SDK_REFERENCES|SHADER_(?:DISABLE_OPTIMIZATIONS|ENABLE_DEBUG|ENTRYPOINT|FLAGS|MODEL|OBJECT_FILE_NAME|OUTPUT_HEADER_FILE|TYPE|VARIABLE_NAME)|STARTUP_PROJECT|TOOL_OVERRIDE|USER_PROPS|WINRT_COMPONENT|WINRT_EXTENSIONS|WINRT_REFERENCES|XAML_TYPE)|WILL_FAIL|WIN32_EXECUTABLE|WINDOWS_EXPORT_ALL_SYMBOLS|WORKING_DIRECTORY|WRAP_EXCLUDE|XCODE_(?:EMIT_EFFECTIVE_PLATFORM_NAME|EXPLICIT_FILE_TYPE|FILE_ATTRIBUTES|LAST_KNOWN_FILE_TYPE|PRODUCT_TYPE|SCHEME_(?:ADDRESS_SANITIZER|ADDRESS_SANITIZER_USE_AFTER_RETURN|ARGUMENTS|DISABLE_MAIN_THREAD_CHECKER|DYNAMIC_LIBRARY_LOADS|DYNAMIC_LINKER_API_USAGE|ENVIRONMENT|EXECUTABLE|GUARD_MALLOC|MAIN_THREAD_CHECKER_STOP|MALLOC_GUARD_EDGES|MALLOC_SCRIBBLE|MALLOC_STACK|THREAD_SANITIZER(?:_STOP)?|UNDEFINED_BEHAVIOUR_SANITIZER(?:_STOP)?|ZOMBIE_OBJECTS))|XCTEST)\\b/,\n keyword:\n /\\b(?:add_compile_definitions|add_compile_options|add_custom_command|add_custom_target|add_definitions|add_dependencies|add_executable|add_library|add_link_options|add_subdirectory|add_test|aux_source_directory|break|build_command|build_name|cmake_host_system_information|cmake_minimum_required|cmake_parse_arguments|cmake_policy|configure_file|continue|create_test_sourcelist|ctest_build|ctest_configure|ctest_coverage|ctest_empty_binary_directory|ctest_memcheck|ctest_read_custom_files|ctest_run_script|ctest_sleep|ctest_start|ctest_submit|ctest_test|ctest_update|ctest_upload|define_property|else|elseif|enable_language|enable_testing|endforeach|endfunction|endif|endmacro|endwhile|exec_program|execute_process|export|export_library_dependencies|file|find_file|find_library|find_package|find_path|find_program|fltk_wrap_ui|foreach|function|get_cmake_property|get_directory_property|get_filename_component|get_property|get_source_file_property|get_target_property|get_test_property|if|include|include_directories|include_external_msproject|include_guard|include_regular_expression|install|install_files|install_programs|install_targets|link_directories|link_libraries|list|load_cache|load_command|macro|make_directory|mark_as_advanced|math|message|option|output_required_files|project|qt_wrap_cpp|qt_wrap_ui|remove|remove_definitions|return|separate_arguments|set|set_directory_properties|set_property|set_source_files_properties|set_target_properties|set_tests_properties|site_name|source_group|string|subdir_depends|subdirs|target_compile_definitions|target_compile_features|target_compile_options|target_include_directories|target_link_directories|target_link_libraries|target_link_options|target_sources|try_compile|try_run|unset|use_mangled_mesa|utility_source|variable_requires|variable_watch|while|write_file)(?=\\s*\\()\\b/,\n boolean: /\\b(?:FALSE|OFF|ON|TRUE)\\b/,\n namespace:\n /\\b(?:INTERFACE|PRIVATE|PROPERTIES|PUBLIC|SHARED|STATIC|TARGET_OBJECTS)\\b/,\n operator:\n /\\b(?:AND|DEFINED|EQUAL|GREATER|LESS|MATCHES|NOT|OR|STREQUAL|STRGREATER|STRLESS|VERSION_EQUAL|VERSION_GREATER|VERSION_LESS)\\b/,\n inserted: {\n pattern: /\\b\\w+::\\w+\\b/,\n alias: 'class-name'\n },\n number: /\\b\\d+(?:\\.\\d+)*\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()\\b/i,\n punctuation: /[()>}]|\\$[<{]/\n }\n}\n","'use strict'\n\nmodule.exports = cobol\ncobol.displayName = 'cobol'\ncobol.aliases = []\nfunction cobol(Prism) {\n Prism.languages.cobol = {\n comment: {\n pattern: /\\*>.*|(^[ \\t]*)\\*.*/m,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /[xzgn]?(?:\"(?:[^\\r\\n\"]|\"\")*\"(?!\")|'(?:[^\\r\\n']|'')*'(?!'))/i,\n greedy: true\n },\n level: {\n pattern: /(^[ \\t]*)\\d+\\b/m,\n lookbehind: true,\n greedy: true,\n alias: 'number'\n },\n 'class-name': {\n // https://github.com/antlr/grammars-v4/blob/42edd5b687d183b5fa679e858a82297bd27141e7/cobol85/Cobol85.g4#L1015\n pattern:\n /(\\bpic(?:ture)?\\s+)(?:(?:[-\\w$/,:*+<>]|\\.(?!\\s|$))(?:\\(\\d+\\))?)+/i,\n lookbehind: true,\n inside: {\n number: {\n pattern: /(\\()\\d+/,\n lookbehind: true\n },\n punctuation: /[()]/\n }\n },\n keyword: {\n pattern:\n /(^|[^\\w-])(?:ABORT|ACCEPT|ACCESS|ADD|ADDRESS|ADVANCING|AFTER|ALIGNED|ALL|ALPHABET|ALPHABETIC|ALPHABETIC-LOWER|ALPHABETIC-UPPER|ALPHANUMERIC|ALPHANUMERIC-EDITED|ALSO|ALTER|ALTERNATE|ANY|ARE|AREA|AREAS|AS|ASCENDING|ASCII|ASSIGN|ASSOCIATED-DATA|ASSOCIATED-DATA-LENGTH|AT|ATTRIBUTE|AUTHOR|AUTO|AUTO-SKIP|BACKGROUND-COLOR|BACKGROUND-COLOUR|BASIS|BEEP|BEFORE|BEGINNING|BELL|BINARY|BIT|BLANK|BLINK|BLOCK|BOTTOM|BOUNDS|BY|BYFUNCTION|BYTITLE|CALL|CANCEL|CAPABLE|CCSVERSION|CD|CF|CH|CHAINING|CHANGED|CHANNEL|CHARACTER|CHARACTERS|CLASS|CLASS-ID|CLOCK-UNITS|CLOSE|CLOSE-DISPOSITION|COBOL|CODE|CODE-SET|COL|COLLATING|COLUMN|COM-REG|COMMA|COMMITMENT|COMMON|COMMUNICATION|COMP|COMP-1|COMP-2|COMP-3|COMP-4|COMP-5|COMPUTATIONAL|COMPUTATIONAL-1|COMPUTATIONAL-2|COMPUTATIONAL-3|COMPUTATIONAL-4|COMPUTATIONAL-5|COMPUTE|CONFIGURATION|CONTAINS|CONTENT|CONTINUE|CONTROL|CONTROL-POINT|CONTROLS|CONVENTION|CONVERTING|COPY|CORR|CORRESPONDING|COUNT|CRUNCH|CURRENCY|CURSOR|DATA|DATA-BASE|DATE|DATE-COMPILED|DATE-WRITTEN|DAY|DAY-OF-WEEK|DBCS|DE|DEBUG-CONTENTS|DEBUG-ITEM|DEBUG-LINE|DEBUG-NAME|DEBUG-SUB-1|DEBUG-SUB-2|DEBUG-SUB-3|DEBUGGING|DECIMAL-POINT|DECLARATIVES|DEFAULT|DEFAULT-DISPLAY|DEFINITION|DELETE|DELIMITED|DELIMITER|DEPENDING|DESCENDING|DESTINATION|DETAIL|DFHRESP|DFHVALUE|DISABLE|DISK|DISPLAY|DISPLAY-1|DIVIDE|DIVISION|DONTCARE|DOUBLE|DOWN|DUPLICATES|DYNAMIC|EBCDIC|EGCS|EGI|ELSE|EMI|EMPTY-CHECK|ENABLE|END|END-ACCEPT|END-ADD|END-CALL|END-COMPUTE|END-DELETE|END-DIVIDE|END-EVALUATE|END-IF|END-MULTIPLY|END-OF-PAGE|END-PERFORM|END-READ|END-RECEIVE|END-RETURN|END-REWRITE|END-SEARCH|END-START|END-STRING|END-SUBTRACT|END-UNSTRING|END-WRITE|ENDING|ENTER|ENTRY|ENTRY-PROCEDURE|ENVIRONMENT|EOL|EOP|EOS|ERASE|ERROR|ESCAPE|ESI|EVALUATE|EVENT|EVERY|EXCEPTION|EXCLUSIVE|EXHIBIT|EXIT|EXPORT|EXTEND|EXTENDED|EXTERNAL|FD|FILE|FILE-CONTROL|FILLER|FINAL|FIRST|FOOTING|FOR|FOREGROUND-COLOR|FOREGROUND-COLOUR|FROM|FULL|FUNCTION|FUNCTION-POINTER|FUNCTIONNAME|GENERATE|GIVING|GLOBAL|GO|GOBACK|GRID|GROUP|HEADING|HIGH-VALUE|HIGH-VALUES|HIGHLIGHT|I-O|I-O-CONTROL|ID|IDENTIFICATION|IF|IMPLICIT|IMPORT|IN|INDEX|INDEXED|INDICATE|INITIAL|INITIALIZE|INITIATE|INPUT|INPUT-OUTPUT|INSPECT|INSTALLATION|INTEGER|INTO|INVALID|INVOKE|IS|JUST|JUSTIFIED|KANJI|KEPT|KEY|KEYBOARD|LABEL|LANGUAGE|LAST|LB|LD|LEADING|LEFT|LEFTLINE|LENGTH|LENGTH-CHECK|LIBACCESS|LIBPARAMETER|LIBRARY|LIMIT|LIMITS|LINAGE|LINAGE-COUNTER|LINE|LINE-COUNTER|LINES|LINKAGE|LIST|LOCAL|LOCAL-STORAGE|LOCK|LONG-DATE|LONG-TIME|LOW-VALUE|LOW-VALUES|LOWER|LOWLIGHT|MEMORY|MERGE|MESSAGE|MMDDYYYY|MODE|MODULES|MORE-LABELS|MOVE|MULTIPLE|MULTIPLY|NAMED|NATIONAL|NATIONAL-EDITED|NATIVE|NEGATIVE|NETWORK|NEXT|NO|NO-ECHO|NULL|NULLS|NUMBER|NUMERIC|NUMERIC-DATE|NUMERIC-EDITED|NUMERIC-TIME|OBJECT-COMPUTER|OCCURS|ODT|OF|OFF|OMITTED|ON|OPEN|OPTIONAL|ORDER|ORDERLY|ORGANIZATION|OTHER|OUTPUT|OVERFLOW|OVERLINE|OWN|PACKED-DECIMAL|PADDING|PAGE|PAGE-COUNTER|PASSWORD|PERFORM|PF|PH|PIC|PICTURE|PLUS|POINTER|PORT|POSITION|POSITIVE|PRINTER|PRINTING|PRIVATE|PROCEDURE|PROCEDURE-POINTER|PROCEDURES|PROCEED|PROCESS|PROGRAM|PROGRAM-ID|PROGRAM-LIBRARY|PROMPT|PURGE|QUEUE|QUOTE|QUOTES|RANDOM|RD|READ|READER|REAL|RECEIVE|RECEIVED|RECORD|RECORDING|RECORDS|RECURSIVE|REDEFINES|REEL|REF|REFERENCE|REFERENCES|RELATIVE|RELEASE|REMAINDER|REMARKS|REMOTE|REMOVAL|REMOVE|RENAMES|REPLACE|REPLACING|REPORT|REPORTING|REPORTS|REQUIRED|RERUN|RESERVE|RESET|RETURN|RETURN-CODE|RETURNING|REVERSE-VIDEO|REVERSED|REWIND|REWRITE|RF|RH|RIGHT|ROUNDED|RUN|SAME|SAVE|SCREEN|SD|SEARCH|SECTION|SECURE|SECURITY|SEGMENT|SEGMENT-LIMIT|SELECT|SEND|SENTENCE|SEPARATE|SEQUENCE|SEQUENTIAL|SET|SHARED|SHAREDBYALL|SHAREDBYRUNUNIT|SHARING|SHIFT-IN|SHIFT-OUT|SHORT-DATE|SIGN|SIZE|SORT|SORT-CONTROL|SORT-CORE-SIZE|SORT-FILE-SIZE|SORT-MERGE|SORT-MESSAGE|SORT-MODE-SIZE|SORT-RETURN|SOURCE|SOURCE-COMPUTER|SPACE|SPACES|SPECIAL-NAMES|STANDARD|STANDARD-1|STANDARD-2|START|STATUS|STOP|STRING|SUB-QUEUE-1|SUB-QUEUE-2|SUB-QUEUE-3|SUBTRACT|SUM|SUPPRESS|SYMBOL|SYMBOLIC|SYNC|SYNCHRONIZED|TABLE|TALLY|TALLYING|TAPE|TASK|TERMINAL|TERMINATE|TEST|TEXT|THEN|THREAD|THREAD-LOCAL|THROUGH|THRU|TIME|TIMER|TIMES|TITLE|TO|TODAYS-DATE|TODAYS-NAME|TOP|TRAILING|TRUNCATED|TYPE|TYPEDEF|UNDERLINE|UNIT|UNSTRING|UNTIL|UP|UPON|USAGE|USE|USING|VALUE|VALUES|VARYING|VIRTUAL|WAIT|WHEN|WHEN-COMPILED|WITH|WORDS|WORKING-STORAGE|WRITE|YEAR|YYYYDDD|YYYYMMDD|ZERO-FILL|ZEROES|ZEROS)(?![\\w-])/i,\n lookbehind: true\n },\n boolean: {\n pattern: /(^|[^\\w-])(?:false|true)(?![\\w-])/i,\n lookbehind: true\n },\n number: {\n pattern:\n /(^|[^\\w-])(?:[+-]?(?:(?:\\d+(?:[.,]\\d+)?|[.,]\\d+)(?:e[+-]?\\d+)?|zero))(?![\\w-])/i,\n lookbehind: true\n },\n operator: [\n /<>|[<>]=?|[=+*/&]/,\n {\n pattern: /(^|[^\\w-])(?:-|and|equal|greater|less|not|or|than)(?![\\w-])/i,\n lookbehind: true\n }\n ],\n punctuation: /[.:,()]/\n }\n}\n","'use strict'\n\nmodule.exports = coffeescript\ncoffeescript.displayName = 'coffeescript'\ncoffeescript.aliases = ['coffee']\nfunction coffeescript(Prism) {\n ;(function (Prism) {\n // Ignore comments starting with { to privilege string interpolation highlighting\n var comment = /#(?!\\{).+/\n var interpolation = {\n pattern: /#\\{[^}]+\\}/,\n alias: 'variable'\n }\n Prism.languages.coffeescript = Prism.languages.extend('javascript', {\n comment: comment,\n string: [\n // Strings are multiline\n {\n pattern: /'(?:\\\\[\\s\\S]|[^\\\\'])*'/,\n greedy: true\n },\n {\n // Strings are multiline\n pattern: /\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"/,\n greedy: true,\n inside: {\n interpolation: interpolation\n }\n }\n ],\n keyword:\n /\\b(?:and|break|by|catch|class|continue|debugger|delete|do|each|else|extend|extends|false|finally|for|if|in|instanceof|is|isnt|let|loop|namespace|new|no|not|null|of|off|on|or|own|return|super|switch|then|this|throw|true|try|typeof|undefined|unless|until|when|while|window|with|yes|yield)\\b/,\n 'class-member': {\n pattern: /@(?!\\d)\\w+/,\n alias: 'variable'\n }\n })\n Prism.languages.insertBefore('coffeescript', 'comment', {\n 'multiline-comment': {\n pattern: /###[\\s\\S]+?###/,\n alias: 'comment'\n },\n // Block regexp can contain comments and interpolation\n 'block-regex': {\n pattern: /\\/{3}[\\s\\S]*?\\/{3}/,\n alias: 'regex',\n inside: {\n comment: comment,\n interpolation: interpolation\n }\n }\n })\n Prism.languages.insertBefore('coffeescript', 'string', {\n 'inline-javascript': {\n pattern: /`(?:\\\\[\\s\\S]|[^\\\\`])*`/,\n inside: {\n delimiter: {\n pattern: /^`|`$/,\n alias: 'punctuation'\n },\n script: {\n pattern: /[\\s\\S]+/,\n alias: 'language-javascript',\n inside: Prism.languages.javascript\n }\n }\n },\n // Block strings\n 'multiline-string': [\n {\n pattern: /'''[\\s\\S]*?'''/,\n greedy: true,\n alias: 'string'\n },\n {\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true,\n alias: 'string',\n inside: {\n interpolation: interpolation\n }\n }\n ]\n })\n Prism.languages.insertBefore('coffeescript', 'keyword', {\n // Object property\n property: /(?!\\d)\\w+(?=\\s*:(?!:))/\n })\n delete Prism.languages.coffeescript['template-string']\n Prism.languages.coffee = Prism.languages.coffeescript\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = concurnas\nconcurnas.displayName = 'concurnas'\nconcurnas.aliases = ['conc']\nfunction concurnas(Prism) {\n Prism.languages.concurnas = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?(?:\\*\\/|$)|\\/\\/.*)/,\n lookbehind: true,\n greedy: true\n },\n langext: {\n pattern: /\\b\\w+\\s*\\|\\|[\\s\\S]+?\\|\\|/,\n greedy: true,\n inside: {\n 'class-name': /^\\w+/,\n string: {\n pattern: /(^\\s*\\|\\|)[\\s\\S]+(?=\\|\\|$)/,\n lookbehind: true\n },\n punctuation: /\\|\\|/\n }\n },\n function: {\n pattern: /((?:^|\\s)def[ \\t]+)[a-zA-Z_]\\w*(?=\\s*\\()/,\n lookbehind: true\n },\n keyword:\n /\\b(?:abstract|actor|also|annotation|assert|async|await|bool|boolean|break|byte|case|catch|changed|char|class|closed|constant|continue|def|default|del|double|elif|else|enum|every|extends|false|finally|float|for|from|global|gpudef|gpukernel|if|import|in|init|inject|int|lambda|local|long|loop|match|new|nodefault|null|of|onchange|open|out|override|package|parfor|parforsync|post|pre|private|protected|provide|provider|public|return|shared|short|single|size_t|sizeof|super|sync|this|throw|trait|trans|transient|true|try|typedef|unchecked|using|val|var|void|while|with)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number:\n /\\b0b[01][01_]*L?\\b|\\b0x(?:[\\da-f_]*\\.)?[\\da-f_p+-]+\\b|(?:\\b\\d[\\d_]*(?:\\.[\\d_]*)?|\\B\\.\\d[\\d_]*)(?:e[+-]?\\d[\\d_]*)?[dfls]?/i,\n punctuation: /[{}[\\];(),.:]/,\n operator:\n /<==|>==|=>|->|<-|<>|&==|&<>|\\?:?|\\.\\?|\\+\\+|--|[-+*/=<>]=?|[!^~]|\\b(?:and|as|band|bor|bxor|comp|is|isnot|mod|or)\\b=?/,\n annotation: {\n pattern: /@(?:\\w+:)?(?:\\w+|\\[[^\\]]+\\])?/,\n alias: 'builtin'\n }\n }\n Prism.languages.insertBefore('concurnas', 'langext', {\n 'regex-literal': {\n pattern: /\\br(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true,\n inside: {\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\{(?:[^{}]|\\{(?:[^{}]|\\{[^}]*\\})*\\})+\\}/,\n lookbehind: true,\n inside: Prism.languages.concurnas\n },\n regex: /[\\s\\S]+/\n }\n },\n 'string-literal': {\n pattern: /(?:\\B|\\bs)(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true,\n inside: {\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\{(?:[^{}]|\\{(?:[^{}]|\\{[^}]*\\})*\\})+\\}/,\n lookbehind: true,\n inside: Prism.languages.concurnas\n },\n string: /[\\s\\S]+/\n }\n }\n })\n Prism.languages.conc = Prism.languages.concurnas\n}\n","'use strict'\n\nmodule.exports = coq\ncoq.displayName = 'coq'\ncoq.aliases = []\nfunction coq(Prism) {\n ;(function (Prism) {\n // https://github.com/coq/coq\n var commentSource = /\\(\\*(?:[^(*]|\\((?!\\*)|\\*(?!\\))|<self>)*\\*\\)/.source\n for (var i = 0; i < 2; i++) {\n commentSource = commentSource.replace(/<self>/g, function () {\n return commentSource\n })\n }\n commentSource = commentSource.replace(/<self>/g, '[]')\n Prism.languages.coq = {\n comment: RegExp(commentSource),\n string: {\n pattern: /\"(?:[^\"]|\"\")*\"(?!\")/,\n greedy: true\n },\n attribute: [\n {\n pattern: RegExp(\n /#\\[(?:[^\\[\\](\"]|\"(?:[^\"]|\"\")*\"(?!\")|\\((?!\\*)|<comment>)*\\]/.source.replace(\n /<comment>/g,\n function () {\n return commentSource\n }\n )\n ),\n greedy: true,\n alias: 'attr-name',\n inside: {\n comment: RegExp(commentSource),\n string: {\n pattern: /\"(?:[^\"]|\"\")*\"(?!\")/,\n greedy: true\n },\n operator: /=/,\n punctuation: /^#\\[|\\]$|[,()]/\n }\n },\n {\n pattern:\n /\\b(?:Cumulative|Global|Local|Monomorphic|NonCumulative|Polymorphic|Private|Program)\\b/,\n alias: 'attr-name'\n }\n ],\n keyword:\n /\\b(?:Abort|About|Add|Admit|Admitted|All|Arguments|As|Assumptions|Axiom|Axioms|Back|BackTo|Backtrace|BinOp|BinOpSpec|BinRel|Bind|Blacklist|Canonical|Case|Cd|Check|Class|Classes|Close|CoFixpoint|CoInductive|Coercion|Coercions|Collection|Combined|Compute|Conjecture|Conjectures|Constant|Constants|Constraint|Constructors|Context|Corollary|Create|CstOp|Custom|Cut|Debug|Declare|Defined|Definition|Delimit|Dependencies|Dependent|Derive|Diffs|Drop|Elimination|End|Entry|Equality|Eval|Example|Existential|Existentials|Existing|Export|Extern|Extraction|Fact|Fail|Field|File|Firstorder|Fixpoint|Flags|Focus|From|Funclass|Function|Functional|GC|Generalizable|Goal|Grab|Grammar|Graph|Guarded|Haskell|Heap|Hide|Hint|HintDb|Hints|Hypotheses|Hypothesis|IF|Identity|Immediate|Implicit|Implicits|Import|Include|Induction|Inductive|Infix|Info|Initial|InjTyp|Inline|Inspect|Instance|Instances|Intro|Intros|Inversion|Inversion_clear|JSON|Language|Left|Lemma|Let|Lia|Libraries|Library|Load|LoadPath|Locate|Ltac|Ltac2|ML|Match|Method|Minimality|Module|Modules|Morphism|Next|NoInline|Notation|Number|OCaml|Obligation|Obligations|Opaque|Open|Optimize|Parameter|Parameters|Parametric|Path|Paths|Prenex|Preterm|Primitive|Print|Profile|Projections|Proof|Prop|PropBinOp|PropOp|PropUOp|Property|Proposition|Pwd|Qed|Quit|Rec|Record|Recursive|Redirect|Reduction|Register|Relation|Remark|Remove|Require|Reserved|Reset|Resolve|Restart|Rewrite|Right|Ring|Rings|SProp|Saturate|Save|Scheme|Scope|Scopes|Search|SearchHead|SearchPattern|SearchRewrite|Section|Separate|Set|Setoid|Show|Signatures|Solve|Solver|Sort|Sortclass|Sorted|Spec|Step|Strategies|Strategy|String|Structure|SubClass|Subgraph|SuchThat|Tactic|Term|TestCompile|Theorem|Time|Timeout|To|Transparent|Type|Typeclasses|Types|Typing|UnOp|UnOpSpec|Undelimit|Undo|Unfocus|Unfocused|Unfold|Universe|Universes|Unshelve|Variable|Variables|Variant|Verbose|View|Visibility|Zify|_|apply|as|at|by|cofix|else|end|exists|exists2|fix|for|forall|fun|if|in|let|match|measure|move|removed|return|struct|then|using|wf|where|with)\\b/,\n number:\n /\\b(?:0x[a-f0-9][a-f0-9_]*(?:\\.[a-f0-9_]+)?(?:p[+-]?\\d[\\d_]*)?|\\d[\\d_]*(?:\\.[\\d_]+)?(?:e[+-]?\\d[\\d_]*)?)\\b/i,\n punct: {\n pattern: /@\\{|\\{\\||\\[=|:>/,\n alias: 'punctuation'\n },\n operator:\n /\\/\\\\|\\\\\\/|\\.{2,3}|:{1,2}=|\\*\\*|[-=]>|<(?:->?|[+:=>]|<:)|>(?:=|->)|\\|[-|]?|[-!%&*+/<=>?@^~']/,\n punctuation: /\\.\\(|`\\(|@\\{|`\\{|\\{\\||\\[=|:>|[:.,;(){}\\[\\]]/\n }\n })(Prism)\n}\n","'use strict'\nvar refractorC = require('./c.js')\nmodule.exports = cpp\ncpp.displayName = 'cpp'\ncpp.aliases = []\nfunction cpp(Prism) {\n Prism.register(refractorC)\n ;(function (Prism) {\n var keyword =\n /\\b(?:alignas|alignof|asm|auto|bool|break|case|catch|char|char16_t|char32_t|char8_t|class|co_await|co_return|co_yield|compl|concept|const|const_cast|consteval|constexpr|constinit|continue|decltype|default|delete|do|double|dynamic_cast|else|enum|explicit|export|extern|final|float|for|friend|goto|if|import|inline|int|int16_t|int32_t|int64_t|int8_t|long|module|mutable|namespace|new|noexcept|nullptr|operator|override|private|protected|public|register|reinterpret_cast|requires|return|short|signed|sizeof|static|static_assert|static_cast|struct|switch|template|this|thread_local|throw|try|typedef|typeid|typename|uint16_t|uint32_t|uint64_t|uint8_t|union|unsigned|using|virtual|void|volatile|wchar_t|while)\\b/\n var modName = /\\b(?!<keyword>)\\w+(?:\\s*\\.\\s*\\w+)*\\b/.source.replace(\n /<keyword>/g,\n function () {\n return keyword.source\n }\n )\n Prism.languages.cpp = Prism.languages.extend('c', {\n 'class-name': [\n {\n pattern: RegExp(\n /(\\b(?:class|concept|enum|struct|typename)\\s+)(?!<keyword>)\\w+/.source.replace(\n /<keyword>/g,\n function () {\n return keyword.source\n }\n )\n ),\n lookbehind: true\n }, // This is intended to capture the class name of method implementations like:\n // void foo::bar() const {}\n // However! The `foo` in the above example could also be a namespace, so we only capture the class name if\n // it starts with an uppercase letter. This approximation should give decent results.\n /\\b[A-Z]\\w*(?=\\s*::\\s*\\w+\\s*\\()/, // This will capture the class name before destructors like:\n // Foo::~Foo() {}\n /\\b[A-Z_]\\w*(?=\\s*::\\s*~\\w+\\s*\\()/i, // This also intends to capture the class name of method implementations but here the class has template\n // parameters, so it can't be a namespace (until C++ adds generic namespaces).\n /\\b\\w+(?=\\s*<(?:[^<>]|<(?:[^<>]|<[^<>]*>)*>)*>\\s*::\\s*\\w+\\s*\\()/\n ],\n keyword: keyword,\n number: {\n pattern:\n /(?:\\b0b[01']+|\\b0x(?:[\\da-f']+(?:\\.[\\da-f']*)?|\\.[\\da-f']+)(?:p[+-]?[\\d']+)?|(?:\\b[\\d']+(?:\\.[\\d']*)?|\\B\\.[\\d']+)(?:e[+-]?[\\d']+)?)[ful]{0,4}/i,\n greedy: true\n },\n operator:\n />>=?|<<=?|->|--|\\+\\+|&&|\\|\\||[?:~]|<=>|[-+*/%&|^!=<>]=?|\\b(?:and|and_eq|bitand|bitor|not|not_eq|or|or_eq|xor|xor_eq)\\b/,\n boolean: /\\b(?:false|true)\\b/\n })\n Prism.languages.insertBefore('cpp', 'string', {\n module: {\n // https://en.cppreference.com/w/cpp/language/modules\n pattern: RegExp(\n /(\\b(?:import|module)\\s+)/.source +\n '(?:' + // header-name\n /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"|<[^<>\\r\\n]*>/.source +\n '|' + // module name or partition or both\n /<mod-name>(?:\\s*:\\s*<mod-name>)?|:\\s*<mod-name>/.source.replace(\n /<mod-name>/g,\n function () {\n return modName\n }\n ) +\n ')'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n string: /^[<\"][\\s\\S]+/,\n operator: /:/,\n punctuation: /\\./\n }\n },\n 'raw-string': {\n pattern: /R\"([^()\\\\ ]{0,16})\\([\\s\\S]*?\\)\\1\"/,\n alias: 'string',\n greedy: true\n }\n })\n Prism.languages.insertBefore('cpp', 'keyword', {\n 'generic-function': {\n pattern: /\\b(?!operator\\b)[a-z_]\\w*\\s*<(?:[^<>]|<[^<>]*>)*>(?=\\s*\\()/i,\n inside: {\n function: /^\\w+/,\n generic: {\n pattern: /<[\\s\\S]+/,\n alias: 'class-name',\n inside: Prism.languages.cpp\n }\n }\n }\n })\n Prism.languages.insertBefore('cpp', 'operator', {\n 'double-colon': {\n pattern: /::/,\n alias: 'punctuation'\n }\n })\n Prism.languages.insertBefore('cpp', 'class-name', {\n // the base clause is an optional list of parent classes\n // https://en.cppreference.com/w/cpp/language/class\n 'base-clause': {\n pattern:\n /(\\b(?:class|struct)\\s+\\w+\\s*:\\s*)[^;{}\"'\\s]+(?:\\s+[^;{}\"'\\s]+)*(?=\\s*[;{])/,\n lookbehind: true,\n greedy: true,\n inside: Prism.languages.extend('cpp', {})\n }\n })\n Prism.languages.insertBefore(\n 'inside',\n 'double-colon',\n {\n // All untokenized words that are not namespaces should be class names\n 'class-name': /\\b[a-z_]\\w*\\b(?!\\s*::)/i\n },\n Prism.languages.cpp['base-clause']\n )\n })(Prism)\n}\n","'use strict'\nvar refractorRuby = require('./ruby.js')\nmodule.exports = crystal\ncrystal.displayName = 'crystal'\ncrystal.aliases = []\nfunction crystal(Prism) {\n Prism.register(refractorRuby)\n ;(function (Prism) {\n Prism.languages.crystal = Prism.languages.extend('ruby', {\n keyword: [\n /\\b(?:__DIR__|__END_LINE__|__FILE__|__LINE__|abstract|alias|annotation|as|asm|begin|break|case|class|def|do|else|elsif|end|ensure|enum|extend|for|fun|if|ifdef|include|instance_sizeof|lib|macro|module|next|of|out|pointerof|private|protected|ptr|require|rescue|return|select|self|sizeof|struct|super|then|type|typeof|undef|uninitialized|union|unless|until|when|while|with|yield)\\b/,\n {\n pattern: /(\\.\\s*)(?:is_a|responds_to)\\?/,\n lookbehind: true\n }\n ],\n number:\n /\\b(?:0b[01_]*[01]|0o[0-7_]*[0-7]|0x[\\da-fA-F_]*[\\da-fA-F]|(?:\\d(?:[\\d_]*\\d)?)(?:\\.[\\d_]*\\d)?(?:[eE][+-]?[\\d_]*\\d)?)(?:_(?:[uif](?:8|16|32|64))?)?\\b/,\n operator: [/->/, Prism.languages.ruby.operator],\n punctuation: /[(){}[\\].,;\\\\]/\n })\n Prism.languages.insertBefore('crystal', 'string-literal', {\n attribute: {\n pattern: /@\\[.*?\\]/,\n inside: {\n delimiter: {\n pattern: /^@\\[|\\]$/,\n alias: 'punctuation'\n },\n attribute: {\n pattern: /^(\\s*)\\w+/,\n lookbehind: true,\n alias: 'class-name'\n },\n args: {\n pattern: /\\S(?:[\\s\\S]*\\S)?/,\n inside: Prism.languages.crystal\n }\n }\n },\n expansion: {\n pattern: /\\{(?:\\{.*?\\}|%.*?%)\\}/,\n inside: {\n content: {\n pattern: /^(\\{.)[\\s\\S]+(?=.\\}$)/,\n lookbehind: true,\n inside: Prism.languages.crystal\n },\n delimiter: {\n pattern: /^\\{[\\{%]|[\\}%]\\}$/,\n alias: 'operator'\n }\n }\n },\n char: {\n pattern:\n /'(?:[^\\\\\\r\\n]{1,2}|\\\\(?:.|u(?:[A-Fa-f0-9]{1,4}|\\{[A-Fa-f0-9]{1,6}\\})))'/,\n greedy: true\n }\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = csharp\ncsharp.displayName = 'csharp'\ncsharp.aliases = ['dotnet', 'cs']\nfunction csharp(Prism) {\n ;(function (Prism) {\n /**\n * Replaces all placeholders \"<<n>>\" of given pattern with the n-th replacement (zero based).\n *\n * Note: This is a simple text based replacement. Be careful when using backreferences!\n *\n * @param {string} pattern the given pattern.\n * @param {string[]} replacements a list of replacement which can be inserted into the given pattern.\n * @returns {string} the pattern with all placeholders replaced with their corresponding replacements.\n * @example replace(/a<<0>>a/.source, [/b+/.source]) === /a(?:b+)a/.source\n */\n function replace(pattern, replacements) {\n return pattern.replace(/<<(\\d+)>>/g, function (m, index) {\n return '(?:' + replacements[+index] + ')'\n })\n }\n /**\n * @param {string} pattern\n * @param {string[]} replacements\n * @param {string} [flags]\n * @returns {RegExp}\n */\n function re(pattern, replacements, flags) {\n return RegExp(replace(pattern, replacements), flags || '')\n }\n /**\n * Creates a nested pattern where all occurrences of the string `<<self>>` are replaced with the pattern itself.\n *\n * @param {string} pattern\n * @param {number} depthLog2\n * @returns {string}\n */\n function nested(pattern, depthLog2) {\n for (var i = 0; i < depthLog2; i++) {\n pattern = pattern.replace(/<<self>>/g, function () {\n return '(?:' + pattern + ')'\n })\n }\n return pattern.replace(/<<self>>/g, '[^\\\\s\\\\S]')\n } // https://docs.microsoft.com/en-us/dotnet/csharp/language-reference/keywords/\n var keywordKinds = {\n // keywords which represent a return or variable type\n type: 'bool byte char decimal double dynamic float int long object sbyte short string uint ulong ushort var void',\n // keywords which are used to declare a type\n typeDeclaration: 'class enum interface record struct',\n // contextual keywords\n // (\"var\" and \"dynamic\" are missing because they are used like types)\n contextual:\n 'add alias and ascending async await by descending from(?=\\\\s*(?:\\\\w|$)) get global group into init(?=\\\\s*;) join let nameof not notnull on or orderby partial remove select set unmanaged value when where with(?=\\\\s*{)',\n // all other keywords\n other:\n 'abstract as base break case catch checked const continue default delegate do else event explicit extern finally fixed for foreach goto if implicit in internal is lock namespace new null operator out override params private protected public readonly ref return sealed sizeof stackalloc static switch this throw try typeof unchecked unsafe using virtual volatile while yield'\n } // keywords\n function keywordsToPattern(words) {\n return '\\\\b(?:' + words.trim().replace(/ /g, '|') + ')\\\\b'\n }\n var typeDeclarationKeywords = keywordsToPattern(\n keywordKinds.typeDeclaration\n )\n var keywords = RegExp(\n keywordsToPattern(\n keywordKinds.type +\n ' ' +\n keywordKinds.typeDeclaration +\n ' ' +\n keywordKinds.contextual +\n ' ' +\n keywordKinds.other\n )\n )\n var nonTypeKeywords = keywordsToPattern(\n keywordKinds.typeDeclaration +\n ' ' +\n keywordKinds.contextual +\n ' ' +\n keywordKinds.other\n )\n var nonContextualKeywords = keywordsToPattern(\n keywordKinds.type +\n ' ' +\n keywordKinds.typeDeclaration +\n ' ' +\n keywordKinds.other\n ) // types\n var generic = nested(/<(?:[^<>;=+\\-*/%&|^]|<<self>>)*>/.source, 2) // the idea behind the other forbidden characters is to prevent false positives. Same for tupleElement.\n var nestedRound = nested(/\\((?:[^()]|<<self>>)*\\)/.source, 2)\n var name = /@?\\b[A-Za-z_]\\w*\\b/.source\n var genericName = replace(/<<0>>(?:\\s*<<1>>)?/.source, [name, generic])\n var identifier = replace(/(?!<<0>>)<<1>>(?:\\s*\\.\\s*<<1>>)*/.source, [\n nonTypeKeywords,\n genericName\n ])\n var array = /\\[\\s*(?:,\\s*)*\\]/.source\n var typeExpressionWithoutTuple = replace(\n /<<0>>(?:\\s*(?:\\?\\s*)?<<1>>)*(?:\\s*\\?)?/.source,\n [identifier, array]\n )\n var tupleElement = replace(\n /[^,()<>[\\];=+\\-*/%&|^]|<<0>>|<<1>>|<<2>>/.source,\n [generic, nestedRound, array]\n )\n var tuple = replace(/\\(<<0>>+(?:,<<0>>+)+\\)/.source, [tupleElement])\n var typeExpression = replace(\n /(?:<<0>>|<<1>>)(?:\\s*(?:\\?\\s*)?<<2>>)*(?:\\s*\\?)?/.source,\n [tuple, identifier, array]\n )\n var typeInside = {\n keyword: keywords,\n punctuation: /[<>()?,.:[\\]]/\n } // strings & characters\n // https://docs.microsoft.com/en-us/dotnet/csharp/language-reference/language-specification/lexical-structure#character-literals\n // https://docs.microsoft.com/en-us/dotnet/csharp/language-reference/language-specification/lexical-structure#string-literals\n var character = /'(?:[^\\r\\n'\\\\]|\\\\.|\\\\[Uux][\\da-fA-F]{1,8})'/.source // simplified pattern\n var regularString = /\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/.source\n var verbatimString = /@\"(?:\"\"|\\\\[\\s\\S]|[^\\\\\"])*\"(?!\")/.source\n Prism.languages.csharp = Prism.languages.extend('clike', {\n string: [\n {\n pattern: re(/(^|[^$\\\\])<<0>>/.source, [verbatimString]),\n lookbehind: true,\n greedy: true\n },\n {\n pattern: re(/(^|[^@$\\\\])<<0>>/.source, [regularString]),\n lookbehind: true,\n greedy: true\n }\n ],\n 'class-name': [\n {\n // Using static\n // using static System.Math;\n pattern: re(/(\\busing\\s+static\\s+)<<0>>(?=\\s*;)/.source, [\n identifier\n ]),\n lookbehind: true,\n inside: typeInside\n },\n {\n // Using alias (type)\n // using Project = PC.MyCompany.Project;\n pattern: re(/(\\busing\\s+<<0>>\\s*=\\s*)<<1>>(?=\\s*;)/.source, [\n name,\n typeExpression\n ]),\n lookbehind: true,\n inside: typeInside\n },\n {\n // Using alias (alias)\n // using Project = PC.MyCompany.Project;\n pattern: re(/(\\busing\\s+)<<0>>(?=\\s*=)/.source, [name]),\n lookbehind: true\n },\n {\n // Type declarations\n // class Foo<A, B>\n // interface Foo<out A, B>\n pattern: re(/(\\b<<0>>\\s+)<<1>>/.source, [\n typeDeclarationKeywords,\n genericName\n ]),\n lookbehind: true,\n inside: typeInside\n },\n {\n // Single catch exception declaration\n // catch(Foo)\n // (things like catch(Foo e) is covered by variable declaration)\n pattern: re(/(\\bcatch\\s*\\(\\s*)<<0>>/.source, [identifier]),\n lookbehind: true,\n inside: typeInside\n },\n {\n // Name of the type parameter of generic constraints\n // where Foo : class\n pattern: re(/(\\bwhere\\s+)<<0>>/.source, [name]),\n lookbehind: true\n },\n {\n // Casts and checks via as and is.\n // as Foo<A>, is Bar<B>\n // (things like if(a is Foo b) is covered by variable declaration)\n pattern: re(/(\\b(?:is(?:\\s+not)?|as)\\s+)<<0>>/.source, [\n typeExpressionWithoutTuple\n ]),\n lookbehind: true,\n inside: typeInside\n },\n {\n // Variable, field and parameter declaration\n // (Foo bar, Bar baz, Foo[,,] bay, Foo<Bar, FooBar<Bar>> bax)\n pattern: re(\n /\\b<<0>>(?=\\s+(?!<<1>>|with\\s*\\{)<<2>>(?:\\s*[=,;:{)\\]]|\\s+(?:in|when)\\b))/\n .source,\n [typeExpression, nonContextualKeywords, name]\n ),\n inside: typeInside\n }\n ],\n keyword: keywords,\n // https://docs.microsoft.com/en-us/dotnet/csharp/language-reference/language-specification/lexical-structure#literals\n number:\n /(?:\\b0(?:x[\\da-f_]*[\\da-f]|b[01_]*[01])|(?:\\B\\.\\d+(?:_+\\d+)*|\\b\\d+(?:_+\\d+)*(?:\\.\\d+(?:_+\\d+)*)?)(?:e[-+]?\\d+(?:_+\\d+)*)?)(?:[dflmu]|lu|ul)?\\b/i,\n operator: />>=?|<<=?|[-=]>|([-+&|])\\1|~|\\?\\?=?|[-+*/%&|^!=<>]=?/,\n punctuation: /\\?\\.?|::|[{}[\\];(),.:]/\n })\n Prism.languages.insertBefore('csharp', 'number', {\n range: {\n pattern: /\\.\\./,\n alias: 'operator'\n }\n })\n Prism.languages.insertBefore('csharp', 'punctuation', {\n 'named-parameter': {\n pattern: re(/([(,]\\s*)<<0>>(?=\\s*:)/.source, [name]),\n lookbehind: true,\n alias: 'punctuation'\n }\n })\n Prism.languages.insertBefore('csharp', 'class-name', {\n namespace: {\n // namespace Foo.Bar {}\n // using Foo.Bar;\n pattern: re(\n /(\\b(?:namespace|using)\\s+)<<0>>(?:\\s*\\.\\s*<<0>>)*(?=\\s*[;{])/.source,\n [name]\n ),\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n 'type-expression': {\n // default(Foo), typeof(Foo<Bar>), sizeof(int)\n pattern: re(\n /(\\b(?:default|sizeof|typeof)\\s*\\(\\s*(?!\\s))(?:[^()\\s]|\\s(?!\\s)|<<0>>)*(?=\\s*\\))/\n .source,\n [nestedRound]\n ),\n lookbehind: true,\n alias: 'class-name',\n inside: typeInside\n },\n 'return-type': {\n // Foo<Bar> ForBar(); Foo IFoo.Bar() => 0\n // int this[int index] => 0; T IReadOnlyList<T>.this[int index] => this[index];\n // int Foo => 0; int Foo { get; set } = 0;\n pattern: re(\n /<<0>>(?=\\s+(?:<<1>>\\s*(?:=>|[({]|\\.\\s*this\\s*\\[)|this\\s*\\[))/.source,\n [typeExpression, identifier]\n ),\n inside: typeInside,\n alias: 'class-name'\n },\n 'constructor-invocation': {\n // new List<Foo<Bar[]>> { }\n pattern: re(/(\\bnew\\s+)<<0>>(?=\\s*[[({])/.source, [typeExpression]),\n lookbehind: true,\n inside: typeInside,\n alias: 'class-name'\n },\n /*'explicit-implementation': {\n// int IFoo<Foo>.Bar => 0; void IFoo<Foo<Foo>>.Foo<T>();\npattern: replace(/\\b<<0>>(?=\\.<<1>>)/, className, methodOrPropertyDeclaration),\ninside: classNameInside,\nalias: 'class-name'\n},*/\n 'generic-method': {\n // foo<Bar>()\n pattern: re(/<<0>>\\s*<<1>>(?=\\s*\\()/.source, [name, generic]),\n inside: {\n function: re(/^<<0>>/.source, [name]),\n generic: {\n pattern: RegExp(generic),\n alias: 'class-name',\n inside: typeInside\n }\n }\n },\n 'type-list': {\n // The list of types inherited or of generic constraints\n // class Foo<F> : Bar, IList<FooBar>\n // where F : Bar, IList<int>\n pattern: re(\n /\\b((?:<<0>>\\s+<<1>>|record\\s+<<1>>\\s*<<5>>|where\\s+<<2>>)\\s*:\\s*)(?:<<3>>|<<4>>|<<1>>\\s*<<5>>|<<6>>)(?:\\s*,\\s*(?:<<3>>|<<4>>|<<6>>))*(?=\\s*(?:where|[{;]|=>|$))/\n .source,\n [\n typeDeclarationKeywords,\n genericName,\n name,\n typeExpression,\n keywords.source,\n nestedRound,\n /\\bnew\\s*\\(\\s*\\)/.source\n ]\n ),\n lookbehind: true,\n inside: {\n 'record-arguments': {\n pattern: re(/(^(?!new\\s*\\()<<0>>\\s*)<<1>>/.source, [\n genericName,\n nestedRound\n ]),\n lookbehind: true,\n greedy: true,\n inside: Prism.languages.csharp\n },\n keyword: keywords,\n 'class-name': {\n pattern: RegExp(typeExpression),\n greedy: true,\n inside: typeInside\n },\n punctuation: /[,()]/\n }\n },\n preprocessor: {\n pattern: /(^[\\t ]*)#.*/m,\n lookbehind: true,\n alias: 'property',\n inside: {\n // highlight preprocessor directives as keywords\n directive: {\n pattern:\n /(#)\\b(?:define|elif|else|endif|endregion|error|if|line|nullable|pragma|region|undef|warning)\\b/,\n lookbehind: true,\n alias: 'keyword'\n }\n }\n }\n }) // attributes\n var regularStringOrCharacter = regularString + '|' + character\n var regularStringCharacterOrComment = replace(\n /\\/(?![*/])|\\/\\/[^\\r\\n]*[\\r\\n]|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/|<<0>>/.source,\n [regularStringOrCharacter]\n )\n var roundExpression = nested(\n replace(/[^\"'/()]|<<0>>|\\(<<self>>*\\)/.source, [\n regularStringCharacterOrComment\n ]),\n 2\n ) // https://docs.microsoft.com/en-us/dotnet/csharp/programming-guide/concepts/attributes/#attribute-targets\n var attrTarget =\n /\\b(?:assembly|event|field|method|module|param|property|return|type)\\b/\n .source\n var attr = replace(/<<0>>(?:\\s*\\(<<1>>*\\))?/.source, [\n identifier,\n roundExpression\n ])\n Prism.languages.insertBefore('csharp', 'class-name', {\n attribute: {\n // Attributes\n // [Foo], [Foo(1), Bar(2, Prop = \"foo\")], [return: Foo(1), Bar(2)], [assembly: Foo(Bar)]\n pattern: re(\n /((?:^|[^\\s\\w>)?])\\s*\\[\\s*)(?:<<0>>\\s*:\\s*)?<<1>>(?:\\s*,\\s*<<1>>)*(?=\\s*\\])/\n .source,\n [attrTarget, attr]\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n target: {\n pattern: re(/^<<0>>(?=\\s*:)/.source, [attrTarget]),\n alias: 'keyword'\n },\n 'attribute-arguments': {\n pattern: re(/\\(<<0>>*\\)/.source, [roundExpression]),\n inside: Prism.languages.csharp\n },\n 'class-name': {\n pattern: RegExp(identifier),\n inside: {\n punctuation: /\\./\n }\n },\n punctuation: /[:,]/\n }\n }\n }) // string interpolation\n var formatString = /:[^}\\r\\n]+/.source // multi line\n var mInterpolationRound = nested(\n replace(/[^\"'/()]|<<0>>|\\(<<self>>*\\)/.source, [\n regularStringCharacterOrComment\n ]),\n 2\n )\n var mInterpolation = replace(/\\{(?!\\{)(?:(?![}:])<<0>>)*<<1>>?\\}/.source, [\n mInterpolationRound,\n formatString\n ]) // single line\n var sInterpolationRound = nested(\n replace(\n /[^\"'/()]|\\/(?!\\*)|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/|<<0>>|\\(<<self>>*\\)/\n .source,\n [regularStringOrCharacter]\n ),\n 2\n )\n var sInterpolation = replace(/\\{(?!\\{)(?:(?![}:])<<0>>)*<<1>>?\\}/.source, [\n sInterpolationRound,\n formatString\n ])\n function createInterpolationInside(interpolation, interpolationRound) {\n return {\n interpolation: {\n pattern: re(/((?:^|[^{])(?:\\{\\{)*)<<0>>/.source, [interpolation]),\n lookbehind: true,\n inside: {\n 'format-string': {\n pattern: re(/(^\\{(?:(?![}:])<<0>>)*)<<1>>(?=\\}$)/.source, [\n interpolationRound,\n formatString\n ]),\n lookbehind: true,\n inside: {\n punctuation: /^:/\n }\n },\n punctuation: /^\\{|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n alias: 'language-csharp',\n inside: Prism.languages.csharp\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n Prism.languages.insertBefore('csharp', 'string', {\n 'interpolation-string': [\n {\n pattern: re(\n /(^|[^\\\\])(?:\\$@|@\\$)\"(?:\"\"|\\\\[\\s\\S]|\\{\\{|<<0>>|[^\\\\{\"])*\"/.source,\n [mInterpolation]\n ),\n lookbehind: true,\n greedy: true,\n inside: createInterpolationInside(mInterpolation, mInterpolationRound)\n },\n {\n pattern: re(/(^|[^@\\\\])\\$\"(?:\\\\.|\\{\\{|<<0>>|[^\\\\\"{])*\"/.source, [\n sInterpolation\n ]),\n lookbehind: true,\n greedy: true,\n inside: createInterpolationInside(sInterpolation, sInterpolationRound)\n }\n ],\n char: {\n pattern: RegExp(character),\n greedy: true\n }\n })\n Prism.languages.dotnet = Prism.languages.cs = Prism.languages.csharp\n })(Prism)\n}\n","'use strict'\nvar refractorCsharp = require('./csharp.js')\nmodule.exports = cshtml\ncshtml.displayName = 'cshtml'\ncshtml.aliases = ['razor']\nfunction cshtml(Prism) {\n Prism.register(refractorCsharp)\n // Docs:\n // https://docs.microsoft.com/en-us/aspnet/core/razor-pages/?view=aspnetcore-5.0&tabs=visual-studio\n // https://docs.microsoft.com/en-us/aspnet/core/mvc/views/razor?view=aspnetcore-5.0\n ;(function (Prism) {\n var commentLike = /\\/(?![/*])|\\/\\/.*[\\r\\n]|\\/\\*[^*]*(?:\\*(?!\\/)[^*]*)*\\*\\//\n .source\n var stringLike =\n /@(?!\")|\"(?:[^\\r\\n\\\\\"]|\\\\.)*\"|@\"(?:[^\\\\\"]|\"\"|\\\\[\\s\\S])*\"(?!\")/.source +\n '|' +\n /'(?:(?:[^\\r\\n'\\\\]|\\\\.|\\\\[Uux][\\da-fA-F]{1,8})'|(?=[^\\\\](?!')))/.source\n /**\n * Creates a nested pattern where all occurrences of the string `<<self>>` are replaced with the pattern itself.\n *\n * @param {string} pattern\n * @param {number} depthLog2\n * @returns {string}\n */\n function nested(pattern, depthLog2) {\n for (var i = 0; i < depthLog2; i++) {\n pattern = pattern.replace(/<self>/g, function () {\n return '(?:' + pattern + ')'\n })\n }\n return pattern\n .replace(/<self>/g, '[^\\\\s\\\\S]')\n .replace(/<str>/g, '(?:' + stringLike + ')')\n .replace(/<comment>/g, '(?:' + commentLike + ')')\n }\n var round = nested(/\\((?:[^()'\"@/]|<str>|<comment>|<self>)*\\)/.source, 2)\n var square = nested(/\\[(?:[^\\[\\]'\"@/]|<str>|<comment>|<self>)*\\]/.source, 2)\n var curly = nested(/\\{(?:[^{}'\"@/]|<str>|<comment>|<self>)*\\}/.source, 2)\n var angle = nested(/<(?:[^<>'\"@/]|<str>|<comment>|<self>)*>/.source, 2) // Note about the above bracket patterns:\n // They all ignore HTML expressions that might be in the C# code. This is a problem because HTML (like strings and\n // comments) is parsed differently. This is a huge problem because HTML might contain brackets and quotes which\n // messes up the bracket and string counting implemented by the above patterns.\n //\n // This problem is not fixable because 1) HTML expression are highly context sensitive and very difficult to detect\n // and 2) they require one capturing group at every nested level. See the `tagRegion` pattern to admire the\n // complexity of an HTML expression.\n //\n // To somewhat alleviate the problem a bit, the patterns for characters (e.g. 'a') is very permissive, it also\n // allows invalid characters to support HTML expressions like this: <p>That's it!</p>.\n var tagAttrs =\n /(?:\\s(?:\\s*[^\\s>\\/=]+(?:\\s*=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\">=]+(?=[\\s>]))|(?=[\\s/>])))+)?/\n .source\n var tagContent = /(?!\\d)[^\\s>\\/=$<%]+/.source + tagAttrs + /\\s*\\/?>/.source\n var tagRegion =\n /\\B@?/.source +\n '(?:' +\n /<([a-zA-Z][\\w:]*)/.source +\n tagAttrs +\n /\\s*>/.source +\n '(?:' +\n (/[^<]/.source +\n '|' + // all tags that are not the start tag\n // eslint-disable-next-line regexp/strict\n /<\\/?(?!\\1\\b)/.source +\n tagContent +\n '|' + // nested start tag\n nested(\n // eslint-disable-next-line regexp/strict\n /<\\1/.source +\n tagAttrs +\n /\\s*>/.source +\n '(?:' +\n (/[^<]/.source +\n '|' + // all tags that are not the start tag\n // eslint-disable-next-line regexp/strict\n /<\\/?(?!\\1\\b)/.source +\n tagContent +\n '|' +\n '<self>') +\n ')*' + // eslint-disable-next-line regexp/strict\n /<\\/\\1\\s*>/.source,\n 2\n )) +\n ')*' + // eslint-disable-next-line regexp/strict\n /<\\/\\1\\s*>/.source +\n '|' +\n /</.source +\n tagContent +\n ')' // Now for the actual language definition(s):\n //\n // Razor as a language has 2 parts:\n // 1) CSHTML: A markup-like language that has been extended with inline C# code expressions and blocks.\n // 2) C#+HTML: A variant of C# that can contain CSHTML tags as expressions.\n //\n // In the below code, both CSHTML and C#+HTML will be create as separate language definitions that reference each\n // other. However, only CSHTML will be exported via `Prism.languages`.\n Prism.languages.cshtml = Prism.languages.extend('markup', {})\n var csharpWithHtml = Prism.languages.insertBefore(\n 'csharp',\n 'string',\n {\n html: {\n pattern: RegExp(tagRegion),\n greedy: true,\n inside: Prism.languages.cshtml\n }\n },\n {\n csharp: Prism.languages.extend('csharp', {})\n }\n )\n var cs = {\n pattern: /\\S[\\s\\S]*/,\n alias: 'language-csharp',\n inside: csharpWithHtml\n }\n Prism.languages.insertBefore('cshtml', 'prolog', {\n 'razor-comment': {\n pattern: /@\\*[\\s\\S]*?\\*@/,\n greedy: true,\n alias: 'comment'\n },\n block: {\n pattern: RegExp(\n /(^|[^@])@/.source +\n '(?:' +\n [\n // @{ ... }\n curly, // @code{ ... }\n /(?:code|functions)\\s*/.source + curly, // @for (...) { ... }\n /(?:for|foreach|lock|switch|using|while)\\s*/.source +\n round +\n /\\s*/.source +\n curly, // @do { ... } while (...);\n /do\\s*/.source +\n curly +\n /\\s*while\\s*/.source +\n round +\n /(?:\\s*;)?/.source, // @try { ... } catch (...) { ... } finally { ... }\n /try\\s*/.source +\n curly +\n /\\s*catch\\s*/.source +\n round +\n /\\s*/.source +\n curly +\n /\\s*finally\\s*/.source +\n curly, // @if (...) {...} else if (...) {...} else {...}\n /if\\s*/.source +\n round +\n /\\s*/.source +\n curly +\n '(?:' +\n /\\s*else/.source +\n '(?:' +\n /\\s+if\\s*/.source +\n round +\n ')?' +\n /\\s*/.source +\n curly +\n ')*'\n ].join('|') +\n ')'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n keyword: /^@\\w*/,\n csharp: cs\n }\n },\n directive: {\n pattern:\n /^([ \\t]*)@(?:addTagHelper|attribute|implements|inherits|inject|layout|model|namespace|page|preservewhitespace|removeTagHelper|section|tagHelperPrefix|using)(?=\\s).*/m,\n lookbehind: true,\n greedy: true,\n inside: {\n keyword: /^@\\w+/,\n csharp: cs\n }\n },\n value: {\n pattern: RegExp(\n /(^|[^@])@/.source +\n /(?:await\\b\\s*)?/.source +\n '(?:' +\n /\\w+\\b/.source +\n '|' +\n round +\n ')' +\n '(?:' +\n /[?!]?\\.\\w+\\b/.source +\n '|' +\n round +\n '|' +\n square +\n '|' +\n angle +\n round +\n ')*'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'variable',\n inside: {\n keyword: /^@/,\n csharp: cs\n }\n },\n 'delegate-operator': {\n pattern: /(^|[^@])@(?=<)/,\n lookbehind: true,\n alias: 'operator'\n }\n })\n Prism.languages.razor = Prism.languages.cshtml\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = csp\ncsp.displayName = 'csp'\ncsp.aliases = []\nfunction csp(Prism) {\n /**\n * Original by Scott Helme.\n *\n * Reference: https://scotthelme.co.uk/csp-cheat-sheet/\n *\n * Supports the following:\n * - https://www.w3.org/TR/CSP1/\n * - https://www.w3.org/TR/CSP2/\n * - https://www.w3.org/TR/CSP3/\n */\n ;(function (Prism) {\n /**\n * @param {string} source\n * @returns {RegExp}\n */\n function value(source) {\n return RegExp(\n /([ \\t])/.source + '(?:' + source + ')' + /(?=[\\s;]|$)/.source,\n 'i'\n )\n }\n Prism.languages.csp = {\n directive: {\n pattern:\n /(^|[\\s;])(?:base-uri|block-all-mixed-content|(?:child|connect|default|font|frame|img|manifest|media|object|prefetch|script|style|worker)-src|disown-opener|form-action|frame-(?:ancestors|options)|input-protection(?:-(?:clip|selectors))?|navigate-to|plugin-types|policy-uri|referrer|reflected-xss|report-(?:to|uri)|require-sri-for|sandbox|(?:script|style)-src-(?:attr|elem)|upgrade-insecure-requests)(?=[\\s;]|$)/i,\n lookbehind: true,\n alias: 'property'\n },\n scheme: {\n pattern: value(/[a-z][a-z0-9.+-]*:/.source),\n lookbehind: true\n },\n none: {\n pattern: value(/'none'/.source),\n lookbehind: true,\n alias: 'keyword'\n },\n nonce: {\n pattern: value(/'nonce-[-+/\\w=]+'/.source),\n lookbehind: true,\n alias: 'number'\n },\n hash: {\n pattern: value(/'sha(?:256|384|512)-[-+/\\w=]+'/.source),\n lookbehind: true,\n alias: 'number'\n },\n host: {\n pattern: value(\n /[a-z][a-z0-9.+-]*:\\/\\/[^\\s;,']*/.source +\n '|' +\n /\\*[^\\s;,']*/.source +\n '|' +\n /[a-z0-9-]+(?:\\.[a-z0-9-]+)+(?::[\\d*]+)?(?:\\/[^\\s;,']*)?/.source\n ),\n lookbehind: true,\n alias: 'url',\n inside: {\n important: /\\*/\n }\n },\n keyword: [\n {\n pattern: value(/'unsafe-[a-z-]+'/.source),\n lookbehind: true,\n alias: 'unsafe'\n },\n {\n pattern: value(/'[a-z-]+'/.source),\n lookbehind: true,\n alias: 'safe'\n }\n ],\n punctuation: /;/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = cssExtras\ncssExtras.displayName = 'cssExtras'\ncssExtras.aliases = []\nfunction cssExtras(Prism) {\n ;(function (Prism) {\n var string = /(\"|')(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/\n var selectorInside\n Prism.languages.css.selector = {\n pattern: Prism.languages.css.selector.pattern,\n lookbehind: true,\n inside: (selectorInside = {\n 'pseudo-element':\n /:(?:after|before|first-letter|first-line|selection)|::[-\\w]+/,\n 'pseudo-class': /:[-\\w]+/,\n class: /\\.[-\\w]+/,\n id: /#[-\\w]+/,\n attribute: {\n pattern: RegExp('\\\\[(?:[^[\\\\]\"\\']|' + string.source + ')*\\\\]'),\n greedy: true,\n inside: {\n punctuation: /^\\[|\\]$/,\n 'case-sensitivity': {\n pattern: /(\\s)[si]$/i,\n lookbehind: true,\n alias: 'keyword'\n },\n namespace: {\n pattern: /^(\\s*)(?:(?!\\s)[-*\\w\\xA0-\\uFFFF])*\\|(?!=)/,\n lookbehind: true,\n inside: {\n punctuation: /\\|$/\n }\n },\n 'attr-name': {\n pattern: /^(\\s*)(?:(?!\\s)[-\\w\\xA0-\\uFFFF])+/,\n lookbehind: true\n },\n 'attr-value': [\n string,\n {\n pattern: /(=\\s*)(?:(?!\\s)[-\\w\\xA0-\\uFFFF])+(?=\\s*$)/,\n lookbehind: true\n }\n ],\n operator: /[|~*^$]?=/\n }\n },\n 'n-th': [\n {\n pattern: /(\\(\\s*)[+-]?\\d*[\\dn](?:\\s*[+-]\\s*\\d+)?(?=\\s*\\))/,\n lookbehind: true,\n inside: {\n number: /[\\dn]+/,\n operator: /[+-]/\n }\n },\n {\n pattern: /(\\(\\s*)(?:even|odd)(?=\\s*\\))/i,\n lookbehind: true\n }\n ],\n combinator: />|\\+|~|\\|\\|/,\n // the `tag` token has been existed and removed.\n // because we can't find a perfect tokenize to match it.\n // if you want to add it, please read https://github.com/PrismJS/prism/pull/2373 first.\n punctuation: /[(),]/\n })\n }\n Prism.languages.css['atrule'].inside['selector-function-argument'].inside =\n selectorInside\n Prism.languages.insertBefore('css', 'property', {\n variable: {\n pattern:\n /(^|[^-\\w\\xA0-\\uFFFF])--(?!\\s)[-_a-z\\xA0-\\uFFFF](?:(?!\\s)[-\\w\\xA0-\\uFFFF])*/i,\n lookbehind: true\n }\n })\n var unit = {\n pattern: /(\\b\\d+)(?:%|[a-z]+(?![\\w-]))/,\n lookbehind: true\n } // 123 -123 .123 -.123 12.3 -12.3\n var number = {\n pattern: /(^|[^\\w.-])-?(?:\\d+(?:\\.\\d+)?|\\.\\d+)/,\n lookbehind: true\n }\n Prism.languages.insertBefore('css', 'function', {\n operator: {\n pattern: /(\\s)[+\\-*\\/](?=\\s)/,\n lookbehind: true\n },\n // CAREFUL!\n // Previewers and Inline color use hexcode and color.\n hexcode: {\n pattern: /\\B#[\\da-f]{3,8}\\b/i,\n alias: 'color'\n },\n color: [\n {\n pattern:\n /(^|[^\\w-])(?:AliceBlue|AntiqueWhite|Aqua|Aquamarine|Azure|Beige|Bisque|Black|BlanchedAlmond|Blue|BlueViolet|Brown|BurlyWood|CadetBlue|Chartreuse|Chocolate|Coral|CornflowerBlue|Cornsilk|Crimson|Cyan|DarkBlue|DarkCyan|DarkGoldenRod|DarkGr[ae]y|DarkGreen|DarkKhaki|DarkMagenta|DarkOliveGreen|DarkOrange|DarkOrchid|DarkRed|DarkSalmon|DarkSeaGreen|DarkSlateBlue|DarkSlateGr[ae]y|DarkTurquoise|DarkViolet|DeepPink|DeepSkyBlue|DimGr[ae]y|DodgerBlue|FireBrick|FloralWhite|ForestGreen|Fuchsia|Gainsboro|GhostWhite|Gold|GoldenRod|Gr[ae]y|Green|GreenYellow|HoneyDew|HotPink|IndianRed|Indigo|Ivory|Khaki|Lavender|LavenderBlush|LawnGreen|LemonChiffon|LightBlue|LightCoral|LightCyan|LightGoldenRodYellow|LightGr[ae]y|LightGreen|LightPink|LightSalmon|LightSeaGreen|LightSkyBlue|LightSlateGr[ae]y|LightSteelBlue|LightYellow|Lime|LimeGreen|Linen|Magenta|Maroon|MediumAquaMarine|MediumBlue|MediumOrchid|MediumPurple|MediumSeaGreen|MediumSlateBlue|MediumSpringGreen|MediumTurquoise|MediumVioletRed|MidnightBlue|MintCream|MistyRose|Moccasin|NavajoWhite|Navy|OldLace|Olive|OliveDrab|Orange|OrangeRed|Orchid|PaleGoldenRod|PaleGreen|PaleTurquoise|PaleVioletRed|PapayaWhip|PeachPuff|Peru|Pink|Plum|PowderBlue|Purple|Red|RosyBrown|RoyalBlue|SaddleBrown|Salmon|SandyBrown|SeaGreen|SeaShell|Sienna|Silver|SkyBlue|SlateBlue|SlateGr[ae]y|Snow|SpringGreen|SteelBlue|Tan|Teal|Thistle|Tomato|Transparent|Turquoise|Violet|Wheat|White|WhiteSmoke|Yellow|YellowGreen)(?![\\w-])/i,\n lookbehind: true\n },\n {\n pattern:\n /\\b(?:hsl|rgb)\\(\\s*\\d{1,3}\\s*,\\s*\\d{1,3}%?\\s*,\\s*\\d{1,3}%?\\s*\\)\\B|\\b(?:hsl|rgb)a\\(\\s*\\d{1,3}\\s*,\\s*\\d{1,3}%?\\s*,\\s*\\d{1,3}%?\\s*,\\s*(?:0|0?\\.\\d+|1)\\s*\\)\\B/i,\n inside: {\n unit: unit,\n number: number,\n function: /[\\w-]+(?=\\()/,\n punctuation: /[(),]/\n }\n }\n ],\n // it's important that there is no boundary assertion after the hex digits\n entity: /\\\\[\\da-f]{1,8}/i,\n unit: unit,\n number: number\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = css\ncss.displayName = 'css'\ncss.aliases = []\nfunction css(Prism) {\n ;(function (Prism) {\n var string =\n /(?:\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"|'(?:\\\\(?:\\r\\n|[\\s\\S])|[^'\\\\\\r\\n])*')/\n Prism.languages.css = {\n comment: /\\/\\*[\\s\\S]*?\\*\\//,\n atrule: {\n pattern: /@[\\w-](?:[^;{\\s]|\\s+(?![\\s{]))*(?:;|(?=\\s*\\{))/,\n inside: {\n rule: /^@[\\w-]+/,\n 'selector-function-argument': {\n pattern:\n /(\\bselector\\s*\\(\\s*(?![\\s)]))(?:[^()\\s]|\\s+(?![\\s)])|\\((?:[^()]|\\([^()]*\\))*\\))+(?=\\s*\\))/,\n lookbehind: true,\n alias: 'selector'\n },\n keyword: {\n pattern: /(^|[^\\w-])(?:and|not|only|or)(?![\\w-])/,\n lookbehind: true\n } // See rest below\n }\n },\n url: {\n // https://drafts.csswg.org/css-values-3/#urls\n pattern: RegExp(\n '\\\\burl\\\\((?:' +\n string.source +\n '|' +\n /(?:[^\\\\\\r\\n()\"']|\\\\[\\s\\S])*/.source +\n ')\\\\)',\n 'i'\n ),\n greedy: true,\n inside: {\n function: /^url/i,\n punctuation: /^\\(|\\)$/,\n string: {\n pattern: RegExp('^' + string.source + '$'),\n alias: 'url'\n }\n }\n },\n selector: {\n pattern: RegExp(\n '(^|[{}\\\\s])[^{}\\\\s](?:[^{};\"\\'\\\\s]|\\\\s+(?![\\\\s{])|' +\n string.source +\n ')*(?=\\\\s*\\\\{)'\n ),\n lookbehind: true\n },\n string: {\n pattern: string,\n greedy: true\n },\n property: {\n pattern:\n /(^|[^-\\w\\xA0-\\uFFFF])(?!\\s)[-_a-z\\xA0-\\uFFFF](?:(?!\\s)[-\\w\\xA0-\\uFFFF])*(?=\\s*:)/i,\n lookbehind: true\n },\n important: /!important\\b/i,\n function: {\n pattern: /(^|[^-a-z0-9])[-a-z0-9]+(?=\\()/i,\n lookbehind: true\n },\n punctuation: /[(){};:,]/\n }\n Prism.languages.css['atrule'].inside.rest = Prism.languages.css\n var markup = Prism.languages.markup\n if (markup) {\n markup.tag.addInlined('style', 'css')\n markup.tag.addAttribute('style', 'css')\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = csv\ncsv.displayName = 'csv'\ncsv.aliases = []\nfunction csv(Prism) {\n // https://tools.ietf.org/html/rfc4180\n Prism.languages.csv = {\n value: /[^\\r\\n,\"]+|\"(?:[^\"]|\"\")*\"(?!\")/,\n punctuation: /,/\n }\n}\n","'use strict'\n\nmodule.exports = cypher\ncypher.displayName = 'cypher'\ncypher.aliases = []\nfunction cypher(Prism) {\n Prism.languages.cypher = {\n // https://neo4j.com/docs/cypher-manual/current/syntax/comments/\n comment: /\\/\\/.*/,\n string: {\n pattern: /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?:[^'\\\\\\r\\n]|\\\\.)*'/,\n greedy: true\n },\n 'class-name': {\n pattern: /(:\\s*)(?:\\w+|`(?:[^`\\\\\\r\\n])*`)(?=\\s*[{):])/,\n lookbehind: true,\n greedy: true\n },\n relationship: {\n pattern:\n /(-\\[\\s*(?:\\w+\\s*|`(?:[^`\\\\\\r\\n])*`\\s*)?:\\s*|\\|\\s*:\\s*)(?:\\w+|`(?:[^`\\\\\\r\\n])*`)/,\n lookbehind: true,\n greedy: true,\n alias: 'property'\n },\n identifier: {\n pattern: /`(?:[^`\\\\\\r\\n])*`/,\n greedy: true\n },\n variable: /\\$\\w+/,\n // https://neo4j.com/docs/cypher-manual/current/syntax/reserved/\n keyword:\n /\\b(?:ADD|ALL|AND|AS|ASC|ASCENDING|ASSERT|BY|CALL|CASE|COMMIT|CONSTRAINT|CONTAINS|CREATE|CSV|DELETE|DESC|DESCENDING|DETACH|DISTINCT|DO|DROP|ELSE|END|ENDS|EXISTS|FOR|FOREACH|IN|INDEX|IS|JOIN|KEY|LIMIT|LOAD|MANDATORY|MATCH|MERGE|NODE|NOT|OF|ON|OPTIONAL|OR|ORDER(?=\\s+BY)|PERIODIC|REMOVE|REQUIRE|RETURN|SCALAR|SCAN|SET|SKIP|START|STARTS|THEN|UNION|UNIQUE|UNWIND|USING|WHEN|WHERE|WITH|XOR|YIELD)\\b/i,\n function: /\\b\\w+\\b(?=\\s*\\()/,\n boolean: /\\b(?:false|null|true)\\b/i,\n number: /\\b(?:0x[\\da-fA-F]+|\\d+(?:\\.\\d+)?(?:[eE][+-]?\\d+)?)\\b/,\n // https://neo4j.com/docs/cypher-manual/current/syntax/operators/\n operator: /:|<--?|--?>?|<>|=~?|[<>]=?|[+*/%^|]|\\.\\.\\.?/,\n punctuation: /[()[\\]{},;.]/\n }\n}\n","'use strict'\n\nmodule.exports = d\nd.displayName = 'd'\nd.aliases = []\nfunction d(Prism) {\n Prism.languages.d = Prism.languages.extend('clike', {\n comment: [\n {\n // Shebang\n pattern: /^\\s*#!.+/,\n greedy: true\n },\n {\n pattern: RegExp(\n /(^|[^\\\\])/.source +\n '(?:' +\n [\n // /+ comment +/\n // Allow one level of nesting\n /\\/\\+(?:\\/\\+(?:[^+]|\\+(?!\\/))*\\+\\/|(?!\\/\\+)[\\s\\S])*?\\+\\//.source, // // comment\n /\\/\\/.*/.source, // /* comment */\n /\\/\\*[\\s\\S]*?\\*\\//.source\n ].join('|') +\n ')'\n ),\n lookbehind: true,\n greedy: true\n }\n ],\n string: [\n {\n pattern: RegExp(\n [\n // r\"\", x\"\"\n /\\b[rx]\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"[cwd]?/.source, // q\"[]\", q\"()\", q\"<>\", q\"{}\"\n /\\bq\"(?:\\[[\\s\\S]*?\\]|\\([\\s\\S]*?\\)|<[\\s\\S]*?>|\\{[\\s\\S]*?\\})\"/.source, // q\"IDENT\n // ...\n // IDENT\"\n /\\bq\"((?!\\d)\\w+)$[\\s\\S]*?^\\1\"/.source, // q\"//\", q\"||\", etc.\n // eslint-disable-next-line regexp/strict\n /\\bq\"(.)[\\s\\S]*?\\2\"/.source, // eslint-disable-next-line regexp/strict\n /([\"`])(?:\\\\[\\s\\S]|(?!\\3)[^\\\\])*\\3[cwd]?/.source\n ].join('|'),\n 'm'\n ),\n greedy: true\n },\n {\n pattern: /\\bq\\{(?:\\{[^{}]*\\}|[^{}])*\\}/,\n greedy: true,\n alias: 'token-string'\n }\n ],\n // In order: $, keywords and special tokens, globally defined symbols\n keyword:\n /\\$|\\b(?:__(?:(?:DATE|EOF|FILE|FUNCTION|LINE|MODULE|PRETTY_FUNCTION|TIMESTAMP|TIME|VENDOR|VERSION)__|gshared|parameters|traits|vector)|abstract|alias|align|asm|assert|auto|body|bool|break|byte|case|cast|catch|cdouble|cent|cfloat|char|class|const|continue|creal|dchar|debug|default|delegate|delete|deprecated|do|double|dstring|else|enum|export|extern|false|final|finally|float|for|foreach|foreach_reverse|function|goto|idouble|if|ifloat|immutable|import|inout|int|interface|invariant|ireal|lazy|long|macro|mixin|module|new|nothrow|null|out|override|package|pragma|private|protected|ptrdiff_t|public|pure|real|ref|return|scope|shared|short|size_t|static|string|struct|super|switch|synchronized|template|this|throw|true|try|typedef|typeid|typeof|ubyte|ucent|uint|ulong|union|unittest|ushort|version|void|volatile|wchar|while|with|wstring)\\b/,\n number: [\n // The lookbehind and the negative look-ahead try to prevent bad highlighting of the .. operator\n // Hexadecimal numbers must be handled separately to avoid problems with exponent \"e\"\n /\\b0x\\.?[a-f\\d_]+(?:(?!\\.\\.)\\.[a-f\\d_]*)?(?:p[+-]?[a-f\\d_]+)?[ulfi]{0,4}/i,\n {\n pattern:\n /((?:\\.\\.)?)(?:\\b0b\\.?|\\b|\\.)\\d[\\d_]*(?:(?!\\.\\.)\\.[\\d_]*)?(?:e[+-]?\\d[\\d_]*)?[ulfi]{0,4}/i,\n lookbehind: true\n }\n ],\n operator:\n /\\|[|=]?|&[&=]?|\\+[+=]?|-[-=]?|\\.?\\.\\.|=[>=]?|!(?:i[ns]\\b|<>?=?|>=?|=)?|\\bi[ns]\\b|(?:<[<>]?|>>?>?|\\^\\^|[*\\/%^~])=?/\n })\n Prism.languages.insertBefore('d', 'string', {\n // Characters\n // 'a', '\\\\', '\\n', '\\xFF', '\\377', '\\uFFFF', '\\U0010FFFF', '\\quot'\n char: /'(?:\\\\(?:\\W|\\w+)|[^\\\\])'/\n })\n Prism.languages.insertBefore('d', 'keyword', {\n property: /\\B@\\w*/\n })\n Prism.languages.insertBefore('d', 'function', {\n register: {\n // Iasm registers\n pattern:\n /\\b(?:[ABCD][LHX]|E?(?:BP|DI|SI|SP)|[BS]PL|[ECSDGF]S|CR[0234]|[DS]IL|DR[012367]|E[ABCD]X|X?MM[0-7]|R(?:1[0-5]|[89])[BWD]?|R[ABCD]X|R[BS]P|R[DS]I|TR[3-7]|XMM(?:1[0-5]|[89])|YMM(?:1[0-5]|\\d))\\b|\\bST(?:\\([0-7]\\)|\\b)/,\n alias: 'variable'\n }\n })\n}\n","'use strict'\n\nmodule.exports = dart\ndart.displayName = 'dart'\ndart.aliases = []\nfunction dart(Prism) {\n ;(function (Prism) {\n var keywords = [\n /\\b(?:async|sync|yield)\\*/,\n /\\b(?:abstract|assert|async|await|break|case|catch|class|const|continue|covariant|default|deferred|do|dynamic|else|enum|export|extends|extension|external|factory|final|finally|for|get|hide|if|implements|import|in|interface|library|mixin|new|null|on|operator|part|rethrow|return|set|show|static|super|switch|sync|this|throw|try|typedef|var|void|while|with|yield)\\b/\n ] // Handles named imports, such as http.Client\n var packagePrefix = /(^|[^\\w.])(?:[a-z]\\w*\\s*\\.\\s*)*(?:[A-Z]\\w*\\s*\\.\\s*)*/\n .source // based on the dart naming conventions\n var className = {\n pattern: RegExp(packagePrefix + /[A-Z](?:[\\d_A-Z]*[a-z]\\w*)?\\b/.source),\n lookbehind: true,\n inside: {\n namespace: {\n pattern: /^[a-z]\\w*(?:\\s*\\.\\s*[a-z]\\w*)*(?:\\s*\\.)?/,\n inside: {\n punctuation: /\\./\n }\n }\n }\n }\n Prism.languages.dart = Prism.languages.extend('clike', {\n 'class-name': [\n className,\n {\n // variables and parameters\n // this to support class names (or generic parameters) which do not contain a lower case letter (also works for methods)\n pattern: RegExp(\n packagePrefix + /[A-Z]\\w*(?=\\s+\\w+\\s*[;,=()])/.source\n ),\n lookbehind: true,\n inside: className.inside\n }\n ],\n keyword: keywords,\n operator:\n /\\bis!|\\b(?:as|is)\\b|\\+\\+|--|&&|\\|\\||<<=?|>>=?|~(?:\\/=?)?|[+\\-*\\/%&^|=!<>]=?|\\?/\n })\n Prism.languages.insertBefore('dart', 'string', {\n 'string-literal': {\n pattern:\n /r?(?:(\"\"\"|''')[\\s\\S]*?\\1|([\"'])(?:\\\\.|(?!\\2)[^\\\\\\r\\n])*\\2(?!\\2))/,\n greedy: true,\n inside: {\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\$(?:\\w+|\\{(?:[^{}]|\\{[^{}]*\\})*\\})/,\n lookbehind: true,\n inside: {\n punctuation: /^\\$\\{?|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.dart\n }\n }\n },\n string: /[\\s\\S]+/\n }\n },\n string: undefined\n })\n Prism.languages.insertBefore('dart', 'class-name', {\n metadata: {\n pattern: /@\\w+/,\n alias: 'function'\n }\n })\n Prism.languages.insertBefore('dart', 'class-name', {\n generics: {\n pattern:\n /<(?:[\\w\\s,.&?]|<(?:[\\w\\s,.&?]|<(?:[\\w\\s,.&?]|<[\\w\\s,.&?]*>)*>)*>)*>/,\n inside: {\n 'class-name': className,\n keyword: keywords,\n punctuation: /[<>(),.:]/,\n operator: /[?&|]/\n }\n }\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = dataweave\ndataweave.displayName = 'dataweave'\ndataweave.aliases = []\nfunction dataweave(Prism) {\n ;(function (Prism) {\n Prism.languages.dataweave = {\n url: /\\b[A-Za-z]+:\\/\\/[\\w/:.?=&-]+|\\burn:[\\w:.?=&-]+/,\n property: {\n pattern: /(?:\\b\\w+#)?(?:\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|\\b\\w+)(?=\\s*[:@])/,\n greedy: true\n },\n string: {\n pattern: /([\"'`])(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1/,\n greedy: true\n },\n 'mime-type':\n /\\b(?:application|audio|image|multipart|text|video)\\/[\\w+-]+/,\n date: {\n pattern: /\\|[\\w:+-]+\\|/,\n greedy: true\n },\n comment: [\n {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n regex: {\n pattern: /\\/(?:[^\\\\\\/\\r\\n]|\\\\[^\\r\\n])+\\//,\n greedy: true\n },\n keyword:\n /\\b(?:and|as|at|case|do|else|fun|if|input|is|match|not|ns|null|or|output|type|unless|update|using|var)\\b/,\n function: /\\b[A-Z_]\\w*(?=\\s*\\()/i,\n number: /-?\\b\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?\\b/i,\n punctuation: /[{}[\\];(),.:@]/,\n operator: /<<|>>|->|[<>~=]=?|!=|--?-?|\\+\\+?|!|\\?/,\n boolean: /\\b(?:false|true)\\b/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = dax\ndax.displayName = 'dax'\ndax.aliases = []\nfunction dax(Prism) {\n Prism.languages.dax = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|(?:--|\\/\\/).*)/,\n lookbehind: true\n },\n 'data-field': {\n pattern:\n /'(?:[^']|'')*'(?!')(?:\\[[ \\w\\xA0-\\uFFFF]+\\])?|\\w+\\[[ \\w\\xA0-\\uFFFF]+\\]/,\n alias: 'symbol'\n },\n measure: {\n pattern: /\\[[ \\w\\xA0-\\uFFFF]+\\]/,\n alias: 'constant'\n },\n string: {\n pattern: /\"(?:[^\"]|\"\")*\"(?!\")/,\n greedy: true\n },\n function:\n /\\b(?:ABS|ACOS|ACOSH|ACOT|ACOTH|ADDCOLUMNS|ADDMISSINGITEMS|ALL|ALLCROSSFILTERED|ALLEXCEPT|ALLNOBLANKROW|ALLSELECTED|AND|APPROXIMATEDISTINCTCOUNT|ASIN|ASINH|ATAN|ATANH|AVERAGE|AVERAGEA|AVERAGEX|BETA\\.DIST|BETA\\.INV|BLANK|CALCULATE|CALCULATETABLE|CALENDAR|CALENDARAUTO|CEILING|CHISQ\\.DIST|CHISQ\\.DIST\\.RT|CHISQ\\.INV|CHISQ\\.INV\\.RT|CLOSINGBALANCEMONTH|CLOSINGBALANCEQUARTER|CLOSINGBALANCEYEAR|COALESCE|COMBIN|COMBINA|COMBINEVALUES|CONCATENATE|CONCATENATEX|CONFIDENCE\\.NORM|CONFIDENCE\\.T|CONTAINS|CONTAINSROW|CONTAINSSTRING|CONTAINSSTRINGEXACT|CONVERT|COS|COSH|COT|COTH|COUNT|COUNTA|COUNTAX|COUNTBLANK|COUNTROWS|COUNTX|CROSSFILTER|CROSSJOIN|CURRENCY|CURRENTGROUP|CUSTOMDATA|DATATABLE|DATE|DATEADD|DATEDIFF|DATESBETWEEN|DATESINPERIOD|DATESMTD|DATESQTD|DATESYTD|DATEVALUE|DAY|DEGREES|DETAILROWS|DISTINCT|DISTINCTCOUNT|DISTINCTCOUNTNOBLANK|DIVIDE|EARLIER|EARLIEST|EDATE|ENDOFMONTH|ENDOFQUARTER|ENDOFYEAR|EOMONTH|ERROR|EVEN|EXACT|EXCEPT|EXP|EXPON\\.DIST|FACT|FALSE|FILTER|FILTERS|FIND|FIRSTDATE|FIRSTNONBLANK|FIRSTNONBLANKVALUE|FIXED|FLOOR|FORMAT|GCD|GENERATE|GENERATEALL|GENERATESERIES|GEOMEAN|GEOMEANX|GROUPBY|HASONEFILTER|HASONEVALUE|HOUR|IF|IF\\.EAGER|IFERROR|IGNORE|INT|INTERSECT|ISBLANK|ISCROSSFILTERED|ISEMPTY|ISERROR|ISEVEN|ISFILTERED|ISINSCOPE|ISLOGICAL|ISNONTEXT|ISNUMBER|ISO\\.CEILING|ISODD|ISONORAFTER|ISSELECTEDMEASURE|ISSUBTOTAL|ISTEXT|KEEPFILTERS|KEYWORDMATCH|LASTDATE|LASTNONBLANK|LASTNONBLANKVALUE|LCM|LEFT|LEN|LN|LOG|LOG10|LOOKUPVALUE|LOWER|MAX|MAXA|MAXX|MEDIAN|MEDIANX|MID|MIN|MINA|MINUTE|MINX|MOD|MONTH|MROUND|NATURALINNERJOIN|NATURALLEFTOUTERJOIN|NEXTDAY|NEXTMONTH|NEXTQUARTER|NEXTYEAR|NONVISUAL|NORM\\.DIST|NORM\\.INV|NORM\\.S\\.DIST|NORM\\.S\\.INV|NOT|NOW|ODD|OPENINGBALANCEMONTH|OPENINGBALANCEQUARTER|OPENINGBALANCEYEAR|OR|PARALLELPERIOD|PATH|PATHCONTAINS|PATHITEM|PATHITEMREVERSE|PATHLENGTH|PERCENTILE\\.EXC|PERCENTILE\\.INC|PERCENTILEX\\.EXC|PERCENTILEX\\.INC|PERMUT|PI|POISSON\\.DIST|POWER|PREVIOUSDAY|PREVIOUSMONTH|PREVIOUSQUARTER|PREVIOUSYEAR|PRODUCT|PRODUCTX|QUARTER|QUOTIENT|RADIANS|RAND|RANDBETWEEN|RANK\\.EQ|RANKX|RELATED|RELATEDTABLE|REMOVEFILTERS|REPLACE|REPT|RIGHT|ROLLUP|ROLLUPADDISSUBTOTAL|ROLLUPGROUP|ROLLUPISSUBTOTAL|ROUND|ROUNDDOWN|ROUNDUP|ROW|SAMEPERIODLASTYEAR|SAMPLE|SEARCH|SECOND|SELECTCOLUMNS|SELECTEDMEASURE|SELECTEDMEASUREFORMATSTRING|SELECTEDMEASURENAME|SELECTEDVALUE|SIGN|SIN|SINH|SQRT|SQRTPI|STARTOFMONTH|STARTOFQUARTER|STARTOFYEAR|STDEV\\.P|STDEV\\.S|STDEVX\\.P|STDEVX\\.S|SUBSTITUTE|SUBSTITUTEWITHINDEX|SUM|SUMMARIZE|SUMMARIZECOLUMNS|SUMX|SWITCH|T\\.DIST|T\\.DIST\\.2T|T\\.DIST\\.RT|T\\.INV|T\\.INV\\.2T|TAN|TANH|TIME|TIMEVALUE|TODAY|TOPN|TOPNPERLEVEL|TOPNSKIP|TOTALMTD|TOTALQTD|TOTALYTD|TREATAS|TRIM|TRUE|TRUNC|UNICHAR|UNICODE|UNION|UPPER|USERELATIONSHIP|USERNAME|USEROBJECTID|USERPRINCIPALNAME|UTCNOW|UTCTODAY|VALUE|VALUES|VAR\\.P|VAR\\.S|VARX\\.P|VARX\\.S|WEEKDAY|WEEKNUM|XIRR|XNPV|YEAR|YEARFRAC)(?=\\s*\\()/i,\n keyword:\n /\\b(?:DEFINE|EVALUATE|MEASURE|ORDER\\s+BY|RETURN|VAR|START\\s+AT|ASC|DESC)\\b/i,\n boolean: {\n pattern: /\\b(?:FALSE|NULL|TRUE)\\b/i,\n alias: 'constant'\n },\n number: /\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+\\b/,\n operator: /:=|[-+*\\/=^]|&&?|\\|\\||<(?:=>?|<|>)?|>[>=]?|\\b(?:IN|NOT)\\b/i,\n punctuation: /[;\\[\\](){}`,.]/\n }\n}\n","'use strict'\n\nmodule.exports = dhall\ndhall.displayName = 'dhall'\ndhall.aliases = []\nfunction dhall(Prism) {\n // ABNF grammar:\n // https://github.com/dhall-lang/dhall-lang/blob/master/standard/dhall.abnf\n Prism.languages.dhall = {\n // Multi-line comments can be nested. E.g. {- foo {- bar -} -}\n // The multi-line pattern is essentially this:\n // \\{-(?:[^-{]|-(?!\\})|\\{(?!-)|<SELF>)*-\\}\n comment:\n /--.*|\\{-(?:[^-{]|-(?!\\})|\\{(?!-)|\\{-(?:[^-{]|-(?!\\})|\\{(?!-))*-\\})*-\\}/,\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\.)*\"|''(?:[^']|'(?!')|'''|''\\$\\{)*''(?!'|\\$)/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\$\\{[^{}]*\\}/,\n inside: {\n expression: {\n pattern: /(^\\$\\{)[\\s\\S]+(?=\\}$)/,\n lookbehind: true,\n alias: 'language-dhall',\n inside: null // see blow\n },\n punctuation: /\\$\\{|\\}/\n }\n }\n }\n },\n label: {\n pattern: /`[^`]*`/,\n greedy: true\n },\n url: {\n // https://github.com/dhall-lang/dhall-lang/blob/5fde8ef1bead6fb4e999d3c1ffe7044cd019d63a/standard/dhall.abnf#L596\n pattern:\n /\\bhttps?:\\/\\/[\\w.:%!$&'*+;=@~-]+(?:\\/[\\w.:%!$&'*+;=@~-]*)*(?:\\?[/?\\w.:%!$&'*+;=@~-]*)?/,\n greedy: true\n },\n env: {\n // https://github.com/dhall-lang/dhall-lang/blob/5fde8ef1bead6fb4e999d3c1ffe7044cd019d63a/standard/dhall.abnf#L661\n pattern: /\\benv:(?:(?!\\d)\\w+|\"(?:[^\"\\\\=]|\\\\.)*\")/,\n greedy: true,\n inside: {\n function: /^env/,\n operator: /^:/,\n variable: /[\\s\\S]+/\n }\n },\n hash: {\n // https://github.com/dhall-lang/dhall-lang/blob/5fde8ef1bead6fb4e999d3c1ffe7044cd019d63a/standard/dhall.abnf#L725\n pattern: /\\bsha256:[\\da-fA-F]{64}\\b/,\n inside: {\n function: /sha256/,\n operator: /:/,\n number: /[\\da-fA-F]{64}/\n }\n },\n // https://github.com/dhall-lang/dhall-lang/blob/5fde8ef1bead6fb4e999d3c1ffe7044cd019d63a/standard/dhall.abnf#L359\n keyword:\n /\\b(?:as|assert|else|forall|if|in|let|merge|missing|then|toMap|using|with)\\b|\\u2200/,\n builtin: /\\b(?:None|Some)\\b/,\n boolean: /\\b(?:False|True)\\b/,\n number:\n /\\bNaN\\b|-?\\bInfinity\\b|[+-]?\\b(?:0x[\\da-fA-F]+|\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?)\\b/,\n operator:\n /\\/\\\\|\\/\\/\\\\\\\\|&&|\\|\\||===|[!=]=|\\/\\/|->|\\+\\+|::|[+*#@=:?<>|\\\\\\u2227\\u2a53\\u2261\\u2afd\\u03bb\\u2192]/,\n punctuation: /\\.\\.|[{}\\[\\](),./]/,\n // we'll just assume that every capital word left is a type name\n 'class-name': /\\b[A-Z]\\w*\\b/\n }\n Prism.languages.dhall.string.inside.interpolation.inside.expression.inside =\n Prism.languages.dhall\n}\n","'use strict'\n\nmodule.exports = diff\ndiff.displayName = 'diff'\ndiff.aliases = []\nfunction diff(Prism) {\n ;(function (Prism) {\n Prism.languages.diff = {\n coord: [\n // Match all kinds of coord lines (prefixed by \"+++\", \"---\" or \"***\").\n /^(?:\\*{3}|-{3}|\\+{3}).*$/m, // Match \"@@ ... @@\" coord lines in unified diff.\n /^@@.*@@$/m, // Match coord lines in normal diff (starts with a number).\n /^\\d.*$/m\n ] // deleted, inserted, unchanged, diff\n }\n /**\n * A map from the name of a block to its line prefix.\n *\n * @type {Object<string, string>}\n */\n var PREFIXES = {\n 'deleted-sign': '-',\n 'deleted-arrow': '<',\n 'inserted-sign': '+',\n 'inserted-arrow': '>',\n unchanged: ' ',\n diff: '!'\n } // add a token for each prefix\n Object.keys(PREFIXES).forEach(function (name) {\n var prefix = PREFIXES[name]\n var alias = []\n if (!/^\\w+$/.test(name)) {\n // \"deleted-sign\" -> \"deleted\"\n alias.push(/\\w+/.exec(name)[0])\n }\n if (name === 'diff') {\n alias.push('bold')\n }\n Prism.languages.diff[name] = {\n pattern: RegExp(\n '^(?:[' + prefix + '].*(?:\\r\\n?|\\n|(?![\\\\s\\\\S])))+',\n 'm'\n ),\n alias: alias,\n inside: {\n line: {\n pattern: /(.)(?=[\\s\\S]).*(?:\\r\\n?|\\n)?/,\n lookbehind: true\n },\n prefix: {\n pattern: /[\\s\\S]/,\n alias: /\\w+/.exec(name)[0]\n }\n }\n }\n }) // make prefixes available to Diff plugin\n Object.defineProperty(Prism.languages.diff, 'PREFIXES', {\n value: PREFIXES\n })\n })(Prism)\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = django\ndjango.displayName = 'django'\ndjango.aliases = ['jinja2']\nfunction django(Prism) {\n Prism.register(refractorMarkupTemplating)\n // Django/Jinja2 syntax definition for Prism.js <http://prismjs.com> syntax highlighter.\n // Mostly it works OK but can paint code incorrectly on complex html/template tag combinations.\n ;(function (Prism) {\n Prism.languages.django = {\n comment: /^\\{#[\\s\\S]*?#\\}$/,\n tag: {\n pattern: /(^\\{%[+-]?\\s*)\\w+/,\n lookbehind: true,\n alias: 'keyword'\n },\n delimiter: {\n pattern: /^\\{[{%][+-]?|[+-]?[}%]\\}$/,\n alias: 'punctuation'\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n filter: {\n pattern: /(\\|)\\w+/,\n lookbehind: true,\n alias: 'function'\n },\n test: {\n pattern: /(\\bis\\s+(?:not\\s+)?)(?!not\\b)\\w+/,\n lookbehind: true,\n alias: 'function'\n },\n function: /\\b[a-z_]\\w+(?=\\s*\\()/i,\n keyword:\n /\\b(?:and|as|by|else|for|if|import|in|is|loop|not|or|recursive|with|without)\\b/,\n operator: /[-+%=]=?|!=|\\*\\*?=?|\\/\\/?=?|<[<=>]?|>[=>]?|[&|^~]/,\n number: /\\b\\d+(?:\\.\\d+)?\\b/,\n boolean: /[Ff]alse|[Nn]one|[Tt]rue/,\n variable: /\\b\\w+\\b/,\n punctuation: /[{}[\\](),.:;]/\n }\n var pattern = /\\{\\{[\\s\\S]*?\\}\\}|\\{%[\\s\\S]*?%\\}|\\{#[\\s\\S]*?#\\}/g\n var markupTemplating = Prism.languages['markup-templating']\n Prism.hooks.add('before-tokenize', function (env) {\n markupTemplating.buildPlaceholders(env, 'django', pattern)\n })\n Prism.hooks.add('after-tokenize', function (env) {\n markupTemplating.tokenizePlaceholders(env, 'django')\n }) // Add an Jinja2 alias\n Prism.languages.jinja2 = Prism.languages.django\n Prism.hooks.add('before-tokenize', function (env) {\n markupTemplating.buildPlaceholders(env, 'jinja2', pattern)\n })\n Prism.hooks.add('after-tokenize', function (env) {\n markupTemplating.tokenizePlaceholders(env, 'jinja2')\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = dnsZoneFile\ndnsZoneFile.displayName = 'dnsZoneFile'\ndnsZoneFile.aliases = []\nfunction dnsZoneFile(Prism) {\n Prism.languages['dns-zone-file'] = {\n comment: /;.*/,\n string: {\n pattern: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n variable: [\n {\n pattern: /(^\\$ORIGIN[ \\t]+)\\S+/m,\n lookbehind: true\n },\n {\n pattern: /(^|\\s)@(?=\\s|$)/,\n lookbehind: true\n }\n ],\n keyword: /^\\$(?:INCLUDE|ORIGIN|TTL)(?=\\s|$)/m,\n class: {\n // https://tools.ietf.org/html/rfc1035#page-13\n pattern: /(^|\\s)(?:CH|CS|HS|IN)(?=\\s|$)/,\n lookbehind: true,\n alias: 'keyword'\n },\n type: {\n // https://en.wikipedia.org/wiki/List_of_DNS_record_types\n pattern:\n /(^|\\s)(?:A|A6|AAAA|AFSDB|APL|ATMA|CAA|CDNSKEY|CDS|CERT|CNAME|DHCID|DLV|DNAME|DNSKEY|DS|EID|GID|GPOS|HINFO|HIP|IPSECKEY|ISDN|KEY|KX|LOC|MAILA|MAILB|MB|MD|MF|MG|MINFO|MR|MX|NAPTR|NB|NBSTAT|NIMLOC|NINFO|NS|NSAP|NSAP-PTR|NSEC|NSEC3|NSEC3PARAM|NULL|NXT|OPENPGPKEY|PTR|PX|RKEY|RP|RRSIG|RT|SIG|SINK|SMIMEA|SOA|SPF|SRV|SSHFP|TA|TKEY|TLSA|TSIG|TXT|UID|UINFO|UNSPEC|URI|WKS|X25)(?=\\s|$)/,\n lookbehind: true,\n alias: 'keyword'\n },\n punctuation: /[()]/\n }\n Prism.languages['dns-zone'] = Prism.languages['dns-zone-file']\n}\n","'use strict'\n\nmodule.exports = docker\ndocker.displayName = 'docker'\ndocker.aliases = ['dockerfile']\nfunction docker(Prism) {\n ;(function (Prism) {\n // Many of the following regexes will contain negated lookaheads like `[ \\t]+(?![ \\t])`. This is a trick to ensure\n // that quantifiers behave *atomically*. Atomic quantifiers are necessary to prevent exponential backtracking.\n var spaceAfterBackSlash =\n /\\\\[\\r\\n](?:\\s|\\\\[\\r\\n]|#.*(?!.))*(?![\\s#]|\\\\[\\r\\n])/.source // At least one space, comment, or line break\n var space = /(?:[ \\t]+(?![ \\t])(?:<SP_BS>)?|<SP_BS>)/.source.replace(\n /<SP_BS>/g,\n function () {\n return spaceAfterBackSlash\n }\n )\n var string =\n /\"(?:[^\"\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\"|'(?:[^'\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*'/\n .source\n var option = /--[\\w-]+=(?:<STR>|(?![\"'])(?:[^\\s\\\\]|\\\\.)+)/.source.replace(\n /<STR>/g,\n function () {\n return string\n }\n )\n var stringRule = {\n pattern: RegExp(string),\n greedy: true\n }\n var commentRule = {\n pattern: /(^[ \\t]*)#.*/m,\n lookbehind: true,\n greedy: true\n }\n /**\n * @param {string} source\n * @param {string} flags\n * @returns {RegExp}\n */\n function re(source, flags) {\n source = source\n .replace(/<OPT>/g, function () {\n return option\n })\n .replace(/<SP>/g, function () {\n return space\n })\n return RegExp(source, flags)\n }\n Prism.languages.docker = {\n instruction: {\n pattern:\n /(^[ \\t]*)(?:ADD|ARG|CMD|COPY|ENTRYPOINT|ENV|EXPOSE|FROM|HEALTHCHECK|LABEL|MAINTAINER|ONBUILD|RUN|SHELL|STOPSIGNAL|USER|VOLUME|WORKDIR)(?=\\s)(?:\\\\.|[^\\r\\n\\\\])*(?:\\\\$(?:\\s|#.*$)*(?![\\s#])(?:\\\\.|[^\\r\\n\\\\])*)*/im,\n lookbehind: true,\n greedy: true,\n inside: {\n options: {\n pattern: re(\n /(^(?:ONBUILD<SP>)?\\w+<SP>)<OPT>(?:<SP><OPT>)*/.source,\n 'i'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n property: {\n pattern: /(^|\\s)--[\\w-]+/,\n lookbehind: true\n },\n string: [\n stringRule,\n {\n pattern: /(=)(?![\"'])(?:[^\\s\\\\]|\\\\.)+/,\n lookbehind: true\n }\n ],\n operator: /\\\\$/m,\n punctuation: /=/\n }\n },\n keyword: [\n {\n // https://docs.docker.com/engine/reference/builder/#healthcheck\n pattern: re(\n /(^(?:ONBUILD<SP>)?HEALTHCHECK<SP>(?:<OPT><SP>)*)(?:CMD|NONE)\\b/\n .source,\n 'i'\n ),\n lookbehind: true,\n greedy: true\n },\n {\n // https://docs.docker.com/engine/reference/builder/#from\n pattern: re(\n /(^(?:ONBUILD<SP>)?FROM<SP>(?:<OPT><SP>)*(?!--)[^ \\t\\\\]+<SP>)AS/\n .source,\n 'i'\n ),\n lookbehind: true,\n greedy: true\n },\n {\n // https://docs.docker.com/engine/reference/builder/#onbuild\n pattern: re(/(^ONBUILD<SP>)\\w+/.source, 'i'),\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /^\\w+/,\n greedy: true\n }\n ],\n comment: commentRule,\n string: stringRule,\n variable: /\\$(?:\\w+|\\{[^{}\"'\\\\]*\\})/,\n operator: /\\\\$/m\n }\n },\n comment: commentRule\n }\n Prism.languages.dockerfile = Prism.languages.docker\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = dot\ndot.displayName = 'dot'\ndot.aliases = ['gv']\nfunction dot(Prism) {\n // https://www.graphviz.org/doc/info/lang.html\n ;(function (Prism) {\n var ID =\n '(?:' +\n [\n // an identifier\n /[a-zA-Z_\\x80-\\uFFFF][\\w\\x80-\\uFFFF]*/.source, // a number\n /-?(?:\\.\\d+|\\d+(?:\\.\\d*)?)/.source, // a double-quoted string\n /\"[^\"\\\\]*(?:\\\\[\\s\\S][^\"\\\\]*)*\"/.source, // HTML-like string\n /<(?:[^<>]|(?!<!--)<(?:[^<>\"']|\"[^\"]*\"|'[^']*')+>|<!--(?:[^-]|-(?!->))*-->)*>/\n .source\n ].join('|') +\n ')'\n var IDInside = {\n markup: {\n pattern: /(^<)[\\s\\S]+(?=>$)/,\n lookbehind: true,\n alias: ['language-markup', 'language-html', 'language-xml'],\n inside: Prism.languages.markup\n }\n }\n /**\n * @param {string} source\n * @param {string} flags\n * @returns {RegExp}\n */\n function withID(source, flags) {\n return RegExp(\n source.replace(/<ID>/g, function () {\n return ID\n }),\n flags\n )\n }\n Prism.languages.dot = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\/|^#.*/m,\n greedy: true\n },\n 'graph-name': {\n pattern: withID(\n /(\\b(?:digraph|graph|subgraph)[ \\t\\r\\n]+)<ID>/.source,\n 'i'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'class-name',\n inside: IDInside\n },\n 'attr-value': {\n pattern: withID(/(=[ \\t\\r\\n]*)<ID>/.source),\n lookbehind: true,\n greedy: true,\n inside: IDInside\n },\n 'attr-name': {\n pattern: withID(/([\\[;, \\t\\r\\n])<ID>(?=[ \\t\\r\\n]*=)/.source),\n lookbehind: true,\n greedy: true,\n inside: IDInside\n },\n keyword: /\\b(?:digraph|edge|graph|node|strict|subgraph)\\b/i,\n 'compass-point': {\n pattern: /(:[ \\t\\r\\n]*)(?:[ewc_]|[ns][ew]?)(?![\\w\\x80-\\uFFFF])/,\n lookbehind: true,\n alias: 'builtin'\n },\n node: {\n pattern: withID(/(^|[^-.\\w\\x80-\\uFFFF\\\\])<ID>/.source),\n lookbehind: true,\n greedy: true,\n inside: IDInside\n },\n operator: /[=:]|-[->]/,\n punctuation: /[\\[\\]{};,]/\n }\n Prism.languages.gv = Prism.languages.dot\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = ebnf\nebnf.displayName = 'ebnf'\nebnf.aliases = []\nfunction ebnf(Prism) {\n Prism.languages.ebnf = {\n comment: /\\(\\*[\\s\\S]*?\\*\\)/,\n string: {\n pattern: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/,\n greedy: true\n },\n special: {\n pattern: /\\?[^?\\r\\n]*\\?/,\n greedy: true,\n alias: 'class-name'\n },\n definition: {\n pattern: /^([\\t ]*)[a-z]\\w*(?:[ \\t]+[a-z]\\w*)*(?=\\s*=)/im,\n lookbehind: true,\n alias: ['rule', 'keyword']\n },\n rule: /\\b[a-z]\\w*(?:[ \\t]+[a-z]\\w*)*\\b/i,\n punctuation: /\\([:/]|[:/]\\)|[.,;()[\\]{}]/,\n operator: /[-=|*/!]/\n }\n}\n","'use strict'\n\nmodule.exports = editorconfig\neditorconfig.displayName = 'editorconfig'\neditorconfig.aliases = []\nfunction editorconfig(Prism) {\n Prism.languages.editorconfig = {\n // https://editorconfig-specification.readthedocs.io\n comment: /[;#].*/,\n section: {\n pattern: /(^[ \\t]*)\\[.+\\]/m,\n lookbehind: true,\n alias: 'selector',\n inside: {\n regex: /\\\\\\\\[\\[\\]{},!?.*]/,\n // Escape special characters with '\\\\'\n operator: /[!?]|\\.\\.|\\*{1,2}/,\n punctuation: /[\\[\\]{},]/\n }\n },\n key: {\n pattern: /(^[ \\t]*)[^\\s=]+(?=[ \\t]*=)/m,\n lookbehind: true,\n alias: 'attr-name'\n },\n value: {\n pattern: /=.*/,\n alias: 'attr-value',\n inside: {\n punctuation: /^=/\n }\n }\n }\n}\n","'use strict'\n\nmodule.exports = eiffel\neiffel.displayName = 'eiffel'\neiffel.aliases = []\nfunction eiffel(Prism) {\n Prism.languages.eiffel = {\n comment: /--.*/,\n string: [\n // Aligned-verbatim-strings\n {\n pattern: /\"([^[]*)\\[[\\s\\S]*?\\]\\1\"/,\n greedy: true\n }, // Non-aligned-verbatim-strings\n {\n pattern: /\"([^{]*)\\{[\\s\\S]*?\\}\\1\"/,\n greedy: true\n }, // Single-line string\n {\n pattern: /\"(?:%(?:(?!\\n)\\s)*\\n\\s*%|%\\S|[^%\"\\r\\n])*\"/,\n greedy: true\n }\n ],\n // normal char | special char | char code\n char: /'(?:%.|[^%'\\r\\n])+'/,\n keyword:\n /\\b(?:across|agent|alias|all|and|as|assign|attached|attribute|check|class|convert|create|Current|debug|deferred|detachable|do|else|elseif|end|ensure|expanded|export|external|feature|from|frozen|if|implies|inherit|inspect|invariant|like|local|loop|not|note|obsolete|old|once|or|Precursor|redefine|rename|require|rescue|Result|retry|select|separate|some|then|undefine|until|variant|Void|when|xor)\\b/i,\n boolean: /\\b(?:False|True)\\b/i,\n // Convention: class-names are always all upper-case characters\n 'class-name': /\\b[A-Z][\\dA-Z_]*\\b/,\n number: [\n // hexa | octal | bin\n /\\b0[xcb][\\da-f](?:_*[\\da-f])*\\b/i, // Decimal\n /(?:\\b\\d(?:_*\\d)*)?\\.(?:(?:\\d(?:_*\\d)*)?e[+-]?)?\\d(?:_*\\d)*\\b|\\b\\d(?:_*\\d)*\\b\\.?/i\n ],\n punctuation: /:=|<<|>>|\\(\\||\\|\\)|->|\\.(?=\\w)|[{}[\\];(),:?]/,\n operator: /\\\\\\\\|\\|\\.\\.\\||\\.\\.|\\/[~\\/=]?|[><]=?|[-+*^=~]/\n }\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = ejs\nejs.displayName = 'ejs'\nejs.aliases = ['eta']\nfunction ejs(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.ejs = {\n delimiter: {\n pattern: /^<%[-_=]?|[-_]?%>$/,\n alias: 'punctuation'\n },\n comment: /^#[\\s\\S]*/,\n 'language-javascript': {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.javascript\n }\n }\n Prism.hooks.add('before-tokenize', function (env) {\n var ejsPattern = /<%(?!%)[\\s\\S]+?%>/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'ejs',\n ejsPattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'ejs')\n })\n Prism.languages.eta = Prism.languages.ejs\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = elixir\nelixir.displayName = 'elixir'\nelixir.aliases = []\nfunction elixir(Prism) {\n Prism.languages.elixir = {\n doc: {\n pattern:\n /@(?:doc|moduledoc)\\s+(?:(\"\"\"|''')[\\s\\S]*?\\1|(\"|')(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\2)[^\\\\\\r\\n])*\\2)/,\n inside: {\n attribute: /^@\\w+/,\n string: /['\"][\\s\\S]+/\n }\n },\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n // ~r\"\"\"foo\"\"\" (multi-line), ~r'''foo''' (multi-line), ~r/foo/, ~r|foo|, ~r\"foo\", ~r'foo', ~r(foo), ~r[foo], ~r{foo}, ~r<foo>\n regex: {\n pattern:\n /~[rR](?:(\"\"\"|''')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])+\\1|([\\/|\"'])(?:\\\\.|(?!\\2)[^\\\\\\r\\n])+\\2|\\((?:\\\\.|[^\\\\)\\r\\n])+\\)|\\[(?:\\\\.|[^\\\\\\]\\r\\n])+\\]|\\{(?:\\\\.|[^\\\\}\\r\\n])+\\}|<(?:\\\\.|[^\\\\>\\r\\n])+>)[uismxfr]*/,\n greedy: true\n },\n string: [\n {\n // ~s\"\"\"foo\"\"\" (multi-line), ~s'''foo''' (multi-line), ~s/foo/, ~s|foo|, ~s\"foo\", ~s'foo', ~s(foo), ~s[foo], ~s{foo} (with interpolation care), ~s<foo>\n pattern:\n /~[cCsSwW](?:(\"\"\"|''')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])+\\1|([\\/|\"'])(?:\\\\.|(?!\\2)[^\\\\\\r\\n])+\\2|\\((?:\\\\.|[^\\\\)\\r\\n])+\\)|\\[(?:\\\\.|[^\\\\\\]\\r\\n])+\\]|\\{(?:\\\\.|#\\{[^}]+\\}|#(?!\\{)|[^#\\\\}\\r\\n])+\\}|<(?:\\\\.|[^\\\\>\\r\\n])+>)[csa]?/,\n greedy: true,\n inside: {\n // See interpolation below\n }\n },\n {\n pattern: /(\"\"\"|''')[\\s\\S]*?\\1/,\n greedy: true,\n inside: {\n // See interpolation below\n }\n },\n {\n // Multi-line strings are allowed\n pattern: /(\"|')(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true,\n inside: {\n // See interpolation below\n }\n }\n ],\n atom: {\n // Look-behind prevents bad highlighting of the :: operator\n pattern: /(^|[^:]):\\w+/,\n lookbehind: true,\n alias: 'symbol'\n },\n module: {\n pattern: /\\b[A-Z]\\w*\\b/,\n alias: 'class-name'\n },\n // Look-ahead prevents bad highlighting of the :: operator\n 'attr-name': /\\b\\w+\\??:(?!:)/,\n argument: {\n // Look-behind prevents bad highlighting of the && operator\n pattern: /(^|[^&])&\\d+/,\n lookbehind: true,\n alias: 'variable'\n },\n attribute: {\n pattern: /@\\w+/,\n alias: 'variable'\n },\n function: /\\b[_a-zA-Z]\\w*[?!]?(?:(?=\\s*(?:\\.\\s*)?\\()|(?=\\/\\d))/,\n number: /\\b(?:0[box][a-f\\d_]+|\\d[\\d_]*)(?:\\.[\\d_]+)?(?:e[+-]?[\\d_]+)?\\b/i,\n keyword:\n /\\b(?:after|alias|and|case|catch|cond|def(?:callback|delegate|exception|impl|macro|module|n|np|p|protocol|struct)?|do|else|end|fn|for|if|import|not|or|quote|raise|require|rescue|try|unless|unquote|use|when)\\b/,\n boolean: /\\b(?:false|nil|true)\\b/,\n operator: [\n /\\bin\\b|&&?|\\|[|>]?|\\\\\\\\|::|\\.\\.\\.?|\\+\\+?|-[->]?|<[-=>]|>=|!==?|\\B!|=(?:==?|[>~])?|[*\\/^]/,\n {\n // We don't want to match <<\n pattern: /([^<])<(?!<)/,\n lookbehind: true\n },\n {\n // We don't want to match >>\n pattern: /([^>])>(?!>)/,\n lookbehind: true\n }\n ],\n punctuation: /<<|>>|[.,%\\[\\]{}()]/\n }\n Prism.languages.elixir.string.forEach(function (o) {\n o.inside = {\n interpolation: {\n pattern: /#\\{[^}]+\\}/,\n inside: {\n delimiter: {\n pattern: /^#\\{|\\}$/,\n alias: 'punctuation'\n },\n rest: Prism.languages.elixir\n }\n }\n }\n })\n}\n","'use strict'\n\nmodule.exports = elm\nelm.displayName = 'elm'\nelm.aliases = []\nfunction elm(Prism) {\n Prism.languages.elm = {\n comment: /--.*|\\{-[\\s\\S]*?-\\}/,\n char: {\n pattern:\n /'(?:[^\\\\'\\r\\n]|\\\\(?:[abfnrtv\\\\']|\\d+|x[0-9a-fA-F]+|u\\{[0-9a-fA-F]+\\}))'/,\n greedy: true\n },\n string: [\n {\n // Multiline strings are wrapped in triple \". Quotes may appear unescaped.\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true\n },\n {\n pattern: /\"(?:[^\\\\\"\\r\\n]|\\\\.)*\"/,\n greedy: true\n }\n ],\n 'import-statement': {\n // The imported or hidden names are not included in this import\n // statement. This is because we want to highlight those exactly like\n // we do for the names in the program.\n pattern:\n /(^[\\t ]*)import\\s+[A-Z]\\w*(?:\\.[A-Z]\\w*)*(?:\\s+as\\s+(?:[A-Z]\\w*)(?:\\.[A-Z]\\w*)*)?(?:\\s+exposing\\s+)?/m,\n lookbehind: true,\n inside: {\n keyword: /\\b(?:as|exposing|import)\\b/\n }\n },\n keyword:\n /\\b(?:alias|as|case|else|exposing|if|in|infixl|infixr|let|module|of|then|type)\\b/,\n // These are builtin variables only. Constructors are highlighted later as a constant.\n builtin:\n /\\b(?:abs|acos|always|asin|atan|atan2|ceiling|clamp|compare|cos|curry|degrees|e|flip|floor|fromPolar|identity|isInfinite|isNaN|logBase|max|min|negate|never|not|pi|radians|rem|round|sin|sqrt|tan|toFloat|toPolar|toString|truncate|turns|uncurry|xor)\\b/,\n // decimal integers and floating point numbers | hexadecimal integers\n number: /\\b(?:\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?|0x[0-9a-f]+)\\b/i,\n // Most of this is needed because of the meaning of a single '.'.\n // If it stands alone freely, it is the function composition.\n // It may also be a separator between a module name and an identifier => no\n // operator. If it comes together with other special characters it is an\n // operator too.\n // Valid operator characters in 0.18: +-/*=.$<>:&|^?%#@~!\n // Ref: https://groups.google.com/forum/#!msg/elm-dev/0AHSnDdkSkQ/E0SVU70JEQAJ\n operator: /\\s\\.\\s|[+\\-/*=.$<>:&|^?%#@~!]{2,}|[+\\-/*=$<>:&|^?%#@~!]/,\n // In Elm, nearly everything is a variable, do not highlight these.\n hvariable: /\\b(?:[A-Z]\\w*\\.)*[a-z]\\w*\\b/,\n constant: /\\b(?:[A-Z]\\w*\\.)*[A-Z]\\w*\\b/,\n punctuation: /[{}[\\]|(),.:]/\n }\n}\n","'use strict'\nvar refractorRuby = require('./ruby.js')\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = erb\nerb.displayName = 'erb'\nerb.aliases = []\nfunction erb(Prism) {\n Prism.register(refractorRuby)\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.erb = {\n delimiter: {\n pattern: /^(\\s*)<%=?|%>(?=\\s*$)/,\n lookbehind: true,\n alias: 'punctuation'\n },\n ruby: {\n pattern: /\\s*\\S[\\s\\S]*/,\n alias: 'language-ruby',\n inside: Prism.languages.ruby\n }\n }\n Prism.hooks.add('before-tokenize', function (env) {\n var erbPattern =\n /<%=?(?:[^\\r\\n]|[\\r\\n](?!=begin)|[\\r\\n]=begin\\s(?:[^\\r\\n]|[\\r\\n](?!=end))*[\\r\\n]=end)+?%>/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'erb',\n erbPattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'erb')\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = erlang\nerlang.displayName = 'erlang'\nerlang.aliases = []\nfunction erlang(Prism) {\n Prism.languages.erlang = {\n comment: /%.+/,\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/,\n greedy: true\n },\n 'quoted-function': {\n pattern: /'(?:\\\\.|[^\\\\'\\r\\n])+'(?=\\()/,\n alias: 'function'\n },\n 'quoted-atom': {\n pattern: /'(?:\\\\.|[^\\\\'\\r\\n])+'/,\n alias: 'atom'\n },\n boolean: /\\b(?:false|true)\\b/,\n keyword: /\\b(?:after|case|catch|end|fun|if|of|receive|try|when)\\b/,\n number: [\n /\\$\\\\?./,\n /\\b\\d+#[a-z0-9]+/i,\n /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?/i\n ],\n function: /\\b[a-z][\\w@]*(?=\\()/,\n variable: {\n // Look-behind is used to prevent wrong highlighting of atoms containing \"@\"\n pattern: /(^|[^@])(?:\\b|\\?)[A-Z_][\\w@]*/,\n lookbehind: true\n },\n operator: [\n /[=\\/<>:]=|=[:\\/]=|\\+\\+?|--?|[=*\\/!]|\\b(?:and|andalso|band|bnot|bor|bsl|bsr|bxor|div|not|or|orelse|rem|xor)\\b/,\n {\n // We don't want to match <<\n pattern: /(^|[^<])<(?!<)/,\n lookbehind: true\n },\n {\n // We don't want to match >>\n pattern: /(^|[^>])>(?!>)/,\n lookbehind: true\n }\n ],\n atom: /\\b[a-z][\\w@]*/,\n punctuation: /[()[\\]{}:;,.#|]|<<|>>/\n }\n}\n","'use strict'\nvar refractorLua = require('./lua.js')\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = etlua\netlua.displayName = 'etlua'\netlua.aliases = []\nfunction etlua(Prism) {\n Prism.register(refractorLua)\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.etlua = {\n delimiter: {\n pattern: /^<%[-=]?|-?%>$/,\n alias: 'punctuation'\n },\n 'language-lua': {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.lua\n }\n }\n Prism.hooks.add('before-tokenize', function (env) {\n var pattern = /<%[\\s\\S]+?%>/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'etlua',\n pattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'etlua')\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = excelFormula\nexcelFormula.displayName = 'excelFormula'\nexcelFormula.aliases = []\nfunction excelFormula(Prism) {\n Prism.languages['excel-formula'] = {\n comment: {\n pattern: /(\\bN\\(\\s*)\"(?:[^\"]|\"\")*\"(?=\\s*\\))/i,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /\"(?:[^\"]|\"\")*\"(?!\")/,\n greedy: true\n },\n reference: {\n // https://www.ablebits.com/office-addins-blog/2015/12/08/excel-reference-another-sheet-workbook/\n // Sales!B2\n // 'Winter sales'!B2\n // [Sales.xlsx]Jan!B2:B5\n // D:\\Reports\\[Sales.xlsx]Jan!B2:B5\n // '[Sales.xlsx]Jan sales'!B2:B5\n // 'D:\\Reports\\[Sales.xlsx]Jan sales'!B2:B5\n pattern:\n /(?:'[^']*'|(?:[^\\s()[\\]{}<>*?\"';,$&]*\\[[^^\\s()[\\]{}<>*?\"']+\\])?\\w+)!/,\n greedy: true,\n alias: 'string',\n inside: {\n operator: /!$/,\n punctuation: /'/,\n sheet: {\n pattern: /[^[\\]]+$/,\n alias: 'function'\n },\n file: {\n pattern: /\\[[^[\\]]+\\]$/,\n inside: {\n punctuation: /[[\\]]/\n }\n },\n path: /[\\s\\S]+/\n }\n },\n 'function-name': {\n pattern: /\\b[A-Z]\\w*(?=\\()/i,\n alias: 'keyword'\n },\n range: {\n pattern:\n /\\$?\\b(?:[A-Z]+\\$?\\d+:\\$?[A-Z]+\\$?\\d+|[A-Z]+:\\$?[A-Z]+|\\d+:\\$?\\d+)\\b/i,\n alias: 'property',\n inside: {\n operator: /:/,\n cell: /\\$?[A-Z]+\\$?\\d+/i,\n column: /\\$?[A-Z]+/i,\n row: /\\$?\\d+/\n }\n },\n cell: {\n // Excel is case insensitive, so the string \"foo1\" could be either a variable or a cell.\n // To combat this, we match cells case insensitive, if the contain at least one \"$\", and case sensitive otherwise.\n pattern: /\\b[A-Z]+\\d+\\b|\\$[A-Za-z]+\\$?\\d+\\b|\\b[A-Za-z]+\\$\\d+\\b/,\n alias: 'property'\n },\n number: /(?:\\b\\d+(?:\\.\\d+)?|\\B\\.\\d+)(?:e[+-]?\\d+)?\\b/i,\n boolean: /\\b(?:FALSE|TRUE)\\b/i,\n operator: /[-+*/^%=&,]|<[=>]?|>=?/,\n punctuation: /[[\\]();{}|]/\n }\n Prism.languages['xlsx'] = Prism.languages['xls'] =\n Prism.languages['excel-formula']\n}\n","'use strict'\n\nmodule.exports = factor\nfactor.displayName = 'factor'\nfactor.aliases = []\nfunction factor(Prism) {\n ;(function (Prism) {\n var comment_inside = {\n function:\n /\\b(?:BUGS?|FIX(?:MES?)?|NOTES?|TODOS?|XX+|HACKS?|WARN(?:ING)?|\\?{2,}|!{2,})\\b/\n }\n var string_inside = {\n number: /\\\\[^\\s']|%\\w/\n }\n var factor = {\n comment: [\n {\n // ! single-line exclamation point comments with whitespace after/around the !\n pattern: /(^|\\s)(?:! .*|!$)/,\n lookbehind: true,\n inside: comment_inside\n },\n /* from basis/multiline: */\n {\n // /* comment */, /* comment*/\n pattern: /(^|\\s)\\/\\*\\s[\\s\\S]*?\\*\\/(?=\\s|$)/,\n lookbehind: true,\n greedy: true,\n inside: comment_inside\n },\n {\n // ![[ comment ]] , ![===[ comment]===]\n pattern: /(^|\\s)!\\[(={0,6})\\[\\s[\\s\\S]*?\\]\\2\\](?=\\s|$)/,\n lookbehind: true,\n greedy: true,\n inside: comment_inside\n }\n ],\n number: [\n {\n // basic base 10 integers 9, -9\n pattern: /(^|\\s)[+-]?\\d+(?=\\s|$)/,\n lookbehind: true\n },\n {\n // base prefix integers 0b010 0o70 0xad 0d10 0XAD -0xa9\n pattern: /(^|\\s)[+-]?0(?:b[01]+|o[0-7]+|d\\d+|x[\\dA-F]+)(?=\\s|$)/i,\n lookbehind: true\n },\n {\n // fractional ratios 1/5 -1/5 and the literal float approximations 1/5. -1/5.\n pattern: /(^|\\s)[+-]?\\d+\\/\\d+\\.?(?=\\s|$)/,\n lookbehind: true\n },\n {\n // positive mixed numbers 23+1/5 +23+1/5\n pattern: /(^|\\s)\\+?\\d+\\+\\d+\\/\\d+(?=\\s|$)/,\n lookbehind: true\n },\n {\n // negative mixed numbers -23-1/5\n pattern: /(^|\\s)-\\d+-\\d+\\/\\d+(?=\\s|$)/,\n lookbehind: true\n },\n {\n // basic decimal floats -0.01 0. .0 .1 -.1 -1. -12.13 +12.13\n // and scientific notation with base 10 exponents 3e4 3e-4 .3e-4\n pattern:\n /(^|\\s)[+-]?(?:\\d*\\.\\d+|\\d+\\.\\d*|\\d+)(?:e[+-]?\\d+)?(?=\\s|$)/i,\n lookbehind: true\n },\n {\n // NAN literal syntax NAN: 80000deadbeef, NAN: a\n pattern: /(^|\\s)NAN:\\s+[\\da-fA-F]+(?=\\s|$)/,\n lookbehind: true\n },\n {\n /*\nbase prefix floats 0x1.0p3 (8.0) 0b1.010p2 (5.0) 0x1.p1 0b1.11111111p11111...\n\"The normalized hex form ±0x1.MMMMMMMMMMMMM[pP]±EEEE allows any floating-point number to be specified precisely.\nThe values of MMMMMMMMMMMMM and EEEE map directly to the mantissa and exponent fields of the binary IEEE 754 representation.\"\n<https://docs.factorcode.org/content/article-syntax-floats.html>\n*/\n pattern:\n /(^|\\s)[+-]?0(?:b1\\.[01]*|o1\\.[0-7]*|d1\\.\\d*|x1\\.[\\dA-F]*)p\\d+(?=\\s|$)/i,\n lookbehind: true\n }\n ],\n // R/ regexp?\\/\\\\/\n regexp: {\n pattern:\n /(^|\\s)R\\/\\s(?:\\\\\\S|[^\\\\/])*\\/(?:[idmsr]*|[idmsr]+-[idmsr]+)(?=\\s|$)/,\n lookbehind: true,\n alias: 'number',\n inside: {\n variable: /\\\\\\S/,\n keyword: /[+?*\\[\\]^$(){}.|]/,\n operator: {\n pattern: /(\\/)[idmsr]+(?:-[idmsr]+)?/,\n lookbehind: true\n }\n }\n },\n boolean: {\n pattern: /(^|\\s)[tf](?=\\s|$)/,\n lookbehind: true\n },\n // SBUF\" asd\", URL\" ://...\", P\" /etc/\"\n 'custom-string': {\n pattern: /(^|\\s)[A-Z0-9\\-]+\"\\s(?:\\\\\\S|[^\"\\\\])*\"/,\n lookbehind: true,\n greedy: true,\n alias: 'string',\n inside: {\n number: /\\\\\\S|%\\w|\\//\n }\n },\n 'multiline-string': [\n {\n // STRING: name \\n content \\n ; -> CONSTANT: name \"content\" (symbol)\n pattern: /(^|\\s)STRING:\\s+\\S+(?:\\n|\\r\\n).*(?:\\n|\\r\\n)\\s*;(?=\\s|$)/,\n lookbehind: true,\n greedy: true,\n alias: 'string',\n inside: {\n number: string_inside.number,\n // trailing semicolon on its own line\n 'semicolon-or-setlocal': {\n pattern: /([\\r\\n][ \\t]*);(?=\\s|$)/,\n lookbehind: true,\n alias: 'function'\n }\n }\n },\n {\n // HEREDOC: marker \\n content \\n marker ; -> \"content\" (immediate)\n pattern: /(^|\\s)HEREDOC:\\s+\\S+(?:\\n|\\r\\n).*(?:\\n|\\r\\n)\\s*\\S+(?=\\s|$)/,\n lookbehind: true,\n greedy: true,\n alias: 'string',\n inside: string_inside\n },\n {\n // [[ string ]], [==[ string]==]\n pattern: /(^|\\s)\\[(={0,6})\\[\\s[\\s\\S]*?\\]\\2\\](?=\\s|$)/,\n lookbehind: true,\n greedy: true,\n alias: 'string',\n inside: string_inside\n }\n ],\n 'special-using': {\n pattern: /(^|\\s)USING:(?:\\s\\S+)*(?=\\s+;(?:\\s|$))/,\n lookbehind: true,\n alias: 'function',\n inside: {\n // this is essentially a regex for vocab names, which i don't want to specify\n // but the USING: gets picked up as a vocab name\n string: {\n pattern: /(\\s)[^:\\s]+/,\n lookbehind: true\n }\n }\n },\n /* this description of stack effect literal syntax is not complete and not as specific as theoretically possible\ntrying to do better is more work and regex-computation-time than it's worth though.\n- we'd like to have the \"delimiter\" parts of the stack effect [ (, --, and ) ] be a different (less-important or comment-like) colour to the stack effect contents\n- we'd like if nested stack effects were treated as such rather than just appearing flat (with `inside`)\n- we'd like if the following variable name conventions were recognised specifically:\nspecial row variables = ..a b..\ntype and stack effect annotations end with a colon = ( quot: ( a: ( -- ) -- b ) -- x ), ( x: number -- )\nword throws unconditional error = *\nany other word-like variable name = a ? q' etc\nhttps://docs.factorcode.org/content/article-effects.html\nthese are pretty complicated to highlight properly without a real parser, and therefore out of scope\nthe old pattern, which may be later useful, was: (^|\\s)(?:call|execute|eval)?\\((?:\\s+[^\"\\r\\n\\t ]\\S*)*?\\s+--(?:\\s+[^\"\\n\\t ]\\S*)*?\\s+\\)(?=\\s|$)\n*/\n // current solution is not great\n 'stack-effect-delimiter': [\n {\n // opening parenthesis\n pattern: /(^|\\s)(?:call|eval|execute)?\\((?=\\s)/,\n lookbehind: true,\n alias: 'operator'\n },\n {\n // middle --\n pattern: /(\\s)--(?=\\s)/,\n lookbehind: true,\n alias: 'operator'\n },\n {\n // closing parenthesis\n pattern: /(\\s)\\)(?=\\s|$)/,\n lookbehind: true,\n alias: 'operator'\n }\n ],\n combinators: {\n pattern: null,\n lookbehind: true,\n alias: 'keyword'\n },\n 'kernel-builtin': {\n pattern: null,\n lookbehind: true,\n alias: 'variable'\n },\n 'sequences-builtin': {\n pattern: null,\n lookbehind: true,\n alias: 'variable'\n },\n 'math-builtin': {\n pattern: null,\n lookbehind: true,\n alias: 'variable'\n },\n 'constructor-word': {\n // <array> but not <=>\n pattern: /(^|\\s)<(?!=+>|-+>)\\S+>(?=\\s|$)/,\n lookbehind: true,\n alias: 'keyword'\n },\n 'other-builtin-syntax': {\n pattern: null,\n lookbehind: true,\n alias: 'operator'\n },\n /*\nfull list of supported word naming conventions: (the convention appears outside of the [brackets])\nset-[x]\nchange-[x]\nwith-[x]\nnew-[x]\n>[string]\n[base]>\n[string]>[number]\n+[symbol]+\n[boolean-word]?\n?[of]\n[slot-reader]>>\n>>[slot-setter]\n[slot-writer]<<\n([implementation-detail])\n[mutater]!\n[variant]*\n[prettyprint].\n$[help-markup]\n<constructors>, SYNTAX:, etc are supported by their own patterns.\n`with` and `new` from `kernel` are their own builtins.\nsee <https://docs.factorcode.org/content/article-conventions.html>\n*/\n 'conventionally-named-word': {\n pattern:\n /(^|\\s)(?!\")(?:(?:change|new|set|with)-\\S+|\\$\\S+|>[^>\\s]+|[^:>\\s]+>|[^>\\s]+>[^>\\s]+|\\+[^+\\s]+\\+|[^?\\s]+\\?|\\?[^?\\s]+|[^>\\s]+>>|>>[^>\\s]+|[^<\\s]+<<|\\([^()\\s]+\\)|[^!\\s]+!|[^*\\s]\\S*\\*|[^.\\s]\\S*\\.)(?=\\s|$)/,\n lookbehind: true,\n alias: 'keyword'\n },\n 'colon-syntax': {\n pattern: /(^|\\s)(?:[A-Z0-9\\-]+#?)?:{1,2}\\s+(?:;\\S+|(?!;)\\S+)(?=\\s|$)/,\n lookbehind: true,\n greedy: true,\n alias: 'function'\n },\n 'semicolon-or-setlocal': {\n pattern: /(\\s)(?:;|:>)(?=\\s|$)/,\n lookbehind: true,\n alias: 'function'\n },\n // do not highlight leading } or trailing X{ at the begin/end of the file as it's invalid syntax\n 'curly-brace-literal-delimiter': [\n {\n // opening\n pattern: /(^|\\s)[a-z]*\\{(?=\\s)/i,\n lookbehind: true,\n alias: 'operator'\n },\n {\n // closing\n pattern: /(\\s)\\}(?=\\s|$)/,\n lookbehind: true,\n alias: 'operator'\n }\n ],\n // do not highlight leading ] or trailing [ at the begin/end of the file as it's invalid syntax\n 'quotation-delimiter': [\n {\n // opening\n pattern: /(^|\\s)\\[(?=\\s)/,\n lookbehind: true,\n alias: 'operator'\n },\n {\n // closing\n pattern: /(\\s)\\](?=\\s|$)/,\n lookbehind: true,\n alias: 'operator'\n }\n ],\n 'normal-word': {\n pattern: /(^|\\s)[^\"\\s]\\S*(?=\\s|$)/,\n lookbehind: true\n },\n /*\nbasic first-class string \"a\"\nwith escaped double-quote \"a\\\"\"\nescaped backslash \"\\\\\"\nand general escapes since Factor has so many \"\\N\"\nsyntax that works in the reference implementation that isn't fully\nsupported because it's an implementation detail:\n\"string 1\"\"string 2\" -> 2 strings (works anyway)\n\"string\"5 -> string, 5\n\"string\"[ ] -> string, quotation\n{ \"a\"} -> array<string>\nthe rest of those examples all properly recognise the string, but not\nthe other object (number, quotation, etc)\nthis is fine for a regex-only implementation.\n*/\n string: {\n pattern: /\"(?:\\\\\\S|[^\"\\\\])*\"/,\n greedy: true,\n inside: string_inside\n }\n }\n var escape = function (str) {\n return (str + '').replace(/([.?*+\\^$\\[\\]\\\\(){}|\\-])/g, '\\\\$1')\n }\n var arrToWordsRegExp = function (arr) {\n return new RegExp('(^|\\\\s)(?:' + arr.map(escape).join('|') + ')(?=\\\\s|$)')\n }\n var builtins = {\n 'kernel-builtin': [\n 'or',\n '2nipd',\n '4drop',\n 'tuck',\n 'wrapper',\n 'nip',\n 'wrapper?',\n 'callstack>array',\n 'die',\n 'dupd',\n 'callstack',\n 'callstack?',\n '3dup',\n 'hashcode',\n 'pick',\n '4nip',\n 'build',\n '>boolean',\n 'nipd',\n 'clone',\n '5nip',\n 'eq?',\n '?',\n '=',\n 'swapd',\n '2over',\n 'clear',\n '2dup',\n 'get-retainstack',\n 'not',\n 'tuple?',\n 'dup',\n '3nipd',\n 'call',\n '-rotd',\n 'object',\n 'drop',\n 'assert=',\n 'assert?',\n '-rot',\n 'execute',\n 'boa',\n 'get-callstack',\n 'curried?',\n '3drop',\n 'pickd',\n 'overd',\n 'over',\n 'roll',\n '3nip',\n 'swap',\n 'and',\n '2nip',\n 'rotd',\n 'throw',\n '(clone)',\n 'hashcode*',\n 'spin',\n 'reach',\n '4dup',\n 'equal?',\n 'get-datastack',\n 'assert',\n '2drop',\n '<wrapper>',\n 'boolean?',\n 'identity-hashcode',\n 'identity-tuple?',\n 'null',\n 'composed?',\n 'new',\n '5drop',\n 'rot',\n '-roll',\n 'xor',\n 'identity-tuple',\n 'boolean'\n ],\n 'other-builtin-syntax': [\n // syntax\n '=======',\n 'recursive',\n 'flushable',\n '>>',\n '<<<<<<',\n 'M\\\\',\n 'B',\n 'PRIVATE>',\n '\\\\',\n '======',\n 'final',\n 'inline',\n 'delimiter',\n 'deprecated',\n '<PRIVATE',\n '>>>>>>',\n '<<<<<<<',\n 'parse-complex',\n 'malformed-complex',\n 'read-only',\n '>>>>>>>',\n 'call-next-method',\n '<<',\n 'foldable', // literals\n '$',\n '$[',\n '${'\n ],\n 'sequences-builtin': [\n 'member-eq?',\n 'mismatch',\n 'append',\n 'assert-sequence=',\n 'longer',\n 'repetition',\n 'clone-like',\n '3sequence',\n 'assert-sequence?',\n 'last-index-from',\n 'reversed',\n 'index-from',\n 'cut*',\n 'pad-tail',\n 'join-as',\n 'remove-eq!',\n 'concat-as',\n 'but-last',\n 'snip',\n 'nths',\n 'nth',\n 'sequence',\n 'longest',\n 'slice?',\n '<slice>',\n 'remove-nth',\n 'tail-slice',\n 'empty?',\n 'tail*',\n 'member?',\n 'virtual-sequence?',\n 'set-length',\n 'drop-prefix',\n 'iota',\n 'unclip',\n 'bounds-error?',\n 'unclip-last-slice',\n 'non-negative-integer-expected',\n 'non-negative-integer-expected?',\n 'midpoint@',\n 'longer?',\n '?set-nth',\n '?first',\n 'rest-slice',\n 'prepend-as',\n 'prepend',\n 'fourth',\n 'sift',\n 'subseq-start',\n 'new-sequence',\n '?last',\n 'like',\n 'first4',\n '1sequence',\n 'reverse',\n 'slice',\n 'virtual@',\n 'repetition?',\n 'set-last',\n 'index',\n '4sequence',\n 'max-length',\n 'set-second',\n 'immutable-sequence',\n 'first2',\n 'first3',\n 'supremum',\n 'unclip-slice',\n 'suffix!',\n 'insert-nth',\n 'tail',\n '3append',\n 'short',\n 'suffix',\n 'concat',\n 'flip',\n 'immutable?',\n 'reverse!',\n '2sequence',\n 'sum',\n 'delete-all',\n 'indices',\n 'snip-slice',\n '<iota>',\n 'check-slice',\n 'sequence?',\n 'head',\n 'append-as',\n 'halves',\n 'sequence=',\n 'collapse-slice',\n '?second',\n 'slice-error?',\n 'product',\n 'bounds-check?',\n 'bounds-check',\n 'immutable',\n 'virtual-exemplar',\n 'harvest',\n 'remove',\n 'pad-head',\n 'last',\n 'set-fourth',\n 'cartesian-product',\n 'remove-eq',\n 'shorten',\n 'shorter',\n 'reversed?',\n 'shorter?',\n 'shortest',\n 'head-slice',\n 'pop*',\n 'tail-slice*',\n 'but-last-slice',\n 'iota?',\n 'append!',\n 'cut-slice',\n 'new-resizable',\n 'head-slice*',\n 'sequence-hashcode',\n 'pop',\n 'set-nth',\n '?nth',\n 'second',\n 'join',\n 'immutable-sequence?',\n '<reversed>',\n '3append-as',\n 'virtual-sequence',\n 'subseq?',\n 'remove-nth!',\n 'length',\n 'last-index',\n 'lengthen',\n 'assert-sequence',\n 'copy',\n 'move',\n 'third',\n 'first',\n 'tail?',\n 'set-first',\n 'prefix',\n 'bounds-error',\n '<repetition>',\n 'exchange',\n 'surround',\n 'cut',\n 'min-length',\n 'set-third',\n 'push-all',\n 'head?',\n 'subseq-start-from',\n 'delete-slice',\n 'rest',\n 'sum-lengths',\n 'head*',\n 'infimum',\n 'remove!',\n 'glue',\n 'slice-error',\n 'subseq',\n 'push',\n 'replace-slice',\n 'subseq-as',\n 'unclip-last'\n ],\n 'math-builtin': [\n 'number=',\n 'next-power-of-2',\n '?1+',\n 'fp-special?',\n 'imaginary-part',\n 'float>bits',\n 'number?',\n 'fp-infinity?',\n 'bignum?',\n 'fp-snan?',\n 'denominator',\n 'gcd',\n '*',\n '+',\n 'fp-bitwise=',\n '-',\n 'u>=',\n '/',\n '>=',\n 'bitand',\n 'power-of-2?',\n 'log2-expects-positive',\n 'neg?',\n '<',\n 'log2',\n '>',\n 'integer?',\n 'number',\n 'bits>double',\n '2/',\n 'zero?',\n 'bits>float',\n 'float?',\n 'shift',\n 'ratio?',\n 'rect>',\n 'even?',\n 'ratio',\n 'fp-sign',\n 'bitnot',\n '>fixnum',\n 'complex?',\n '/i',\n 'integer>fixnum',\n '/f',\n 'sgn',\n '>bignum',\n 'next-float',\n 'u<',\n 'u>',\n 'mod',\n 'recip',\n 'rational',\n '>float',\n '2^',\n 'integer',\n 'fixnum?',\n 'neg',\n 'fixnum',\n 'sq',\n 'bignum',\n '>rect',\n 'bit?',\n 'fp-qnan?',\n 'simple-gcd',\n 'complex',\n '<fp-nan>',\n 'real',\n '>fraction',\n 'double>bits',\n 'bitor',\n 'rem',\n 'fp-nan-payload',\n 'real-part',\n 'log2-expects-positive?',\n 'prev-float',\n 'align',\n 'unordered?',\n 'float',\n 'fp-nan?',\n 'abs',\n 'bitxor',\n 'integer>fixnum-strict',\n 'u<=',\n 'odd?',\n '<=',\n '/mod',\n '>integer',\n 'real?',\n 'rational?',\n 'numerator'\n ] // that's all for now\n }\n Object.keys(builtins).forEach(function (k) {\n factor[k].pattern = arrToWordsRegExp(builtins[k])\n })\n var combinators = [\n // kernel\n '2bi',\n 'while',\n '2tri',\n 'bi*',\n '4dip',\n 'both?',\n 'same?',\n 'tri@',\n 'curry',\n 'prepose',\n '3bi',\n '?if',\n 'tri*',\n '2keep',\n '3keep',\n 'curried',\n '2keepd',\n 'when',\n '2bi*',\n '2tri*',\n '4keep',\n 'bi@',\n 'keepdd',\n 'do',\n 'unless*',\n 'tri-curry',\n 'if*',\n 'loop',\n 'bi-curry*',\n 'when*',\n '2bi@',\n '2tri@',\n 'with',\n '2with',\n 'either?',\n 'bi',\n 'until',\n '3dip',\n '3curry',\n 'tri-curry*',\n 'tri-curry@',\n 'bi-curry',\n 'keepd',\n 'compose',\n '2dip',\n 'if',\n '3tri',\n 'unless',\n 'tuple',\n 'keep',\n '2curry',\n 'tri',\n 'most',\n 'while*',\n 'dip',\n 'composed',\n 'bi-curry@', // sequences\n 'find-last-from',\n 'trim-head-slice',\n 'map-as',\n 'each-from',\n 'none?',\n 'trim-tail',\n 'partition',\n 'if-empty',\n 'accumulate*',\n 'reject!',\n 'find-from',\n 'accumulate-as',\n 'collector-for-as',\n 'reject',\n 'map',\n 'map-sum',\n 'accumulate!',\n '2each-from',\n 'follow',\n 'supremum-by',\n 'map!',\n 'unless-empty',\n 'collector',\n 'padding',\n 'reduce-index',\n 'replicate-as',\n 'infimum-by',\n 'trim-tail-slice',\n 'count',\n 'find-index',\n 'filter',\n 'accumulate*!',\n 'reject-as',\n 'map-integers',\n 'map-find',\n 'reduce',\n 'selector',\n 'interleave',\n '2map',\n 'filter-as',\n 'binary-reduce',\n 'map-index-as',\n 'find',\n 'produce',\n 'filter!',\n 'replicate',\n 'cartesian-map',\n 'cartesian-each',\n 'find-index-from',\n 'map-find-last',\n '3map-as',\n '3map',\n 'find-last',\n 'selector-as',\n '2map-as',\n '2map-reduce',\n 'accumulate',\n 'each',\n 'each-index',\n 'accumulate*-as',\n 'when-empty',\n 'all?',\n 'collector-as',\n 'push-either',\n 'new-like',\n 'collector-for',\n '2selector',\n 'push-if',\n '2all?',\n 'map-reduce',\n '3each',\n 'any?',\n 'trim-slice',\n '2reduce',\n 'change-nth',\n 'produce-as',\n '2each',\n 'trim',\n 'trim-head',\n 'cartesian-find',\n 'map-index', // math\n 'if-zero',\n 'each-integer',\n 'unless-zero',\n '(find-integer)',\n 'when-zero',\n 'find-last-integer',\n '(all-integers?)',\n 'times',\n '(each-integer)',\n 'find-integer',\n 'all-integers?', // math.combinators\n 'unless-negative',\n 'if-positive',\n 'when-positive',\n 'when-negative',\n 'unless-positive',\n 'if-negative', // combinators\n 'case',\n '2cleave',\n 'cond>quot',\n 'case>quot',\n '3cleave',\n 'wrong-values',\n 'to-fixed-point',\n 'alist>quot',\n 'cond',\n 'cleave',\n 'call-effect',\n 'recursive-hashcode',\n 'spread',\n 'deep-spread>quot', // combinators.short-circuit\n '2||',\n '0||',\n 'n||',\n '0&&',\n '2&&',\n '3||',\n '1||',\n '1&&',\n 'n&&',\n '3&&', // combinators.smart\n 'smart-unless*',\n 'keep-inputs',\n 'reduce-outputs',\n 'smart-when*',\n 'cleave>array',\n 'smart-with',\n 'smart-apply',\n 'smart-if',\n 'inputs/outputs',\n 'output>sequence-n',\n 'map-outputs',\n 'map-reduce-outputs',\n 'dropping',\n 'output>array',\n 'smart-map-reduce',\n 'smart-2map-reduce',\n 'output>array-n',\n 'nullary',\n 'input<sequence',\n 'append-outputs',\n 'drop-inputs',\n 'inputs',\n 'smart-2reduce',\n 'drop-outputs',\n 'smart-reduce',\n 'preserving',\n 'smart-when',\n 'outputs',\n 'append-outputs-as',\n 'smart-unless',\n 'smart-if*',\n 'sum-outputs',\n 'input<sequence-unsafe',\n 'output>sequence' // tafn\n ]\n factor.combinators.pattern = arrToWordsRegExp(combinators)\n Prism.languages.factor = factor\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = $false\n$false.displayName = '$false'\n$false.aliases = []\nfunction $false(Prism) {\n ;(function (Prism) {\n /**\n * Based on the manual by Wouter van Oortmerssen.\n *\n * @see {@link https://github.com/PrismJS/prism/issues/2801#issue-829717504}\n */\n Prism.languages['false'] = {\n comment: {\n pattern: /\\{[^}]*\\}/\n },\n string: {\n pattern: /\"[^\"]*\"/,\n greedy: true\n },\n 'character-code': {\n pattern: /'(?:[^\\r]|\\r\\n?)/,\n alias: 'number'\n },\n 'assembler-code': {\n pattern: /\\d+`/,\n alias: 'important'\n },\n number: /\\d+/,\n operator: /[-!#$%&'*+,./:;=>?@\\\\^_`|~ßø]/,\n punctuation: /\\[|\\]/,\n variable: /[a-z]/,\n 'non-standard': {\n pattern: /[()<BDO®]/,\n alias: 'bold'\n }\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = firestoreSecurityRules\nfirestoreSecurityRules.displayName = 'firestoreSecurityRules'\nfirestoreSecurityRules.aliases = []\nfunction firestoreSecurityRules(Prism) {\n Prism.languages['firestore-security-rules'] = Prism.languages.extend(\n 'clike',\n {\n comment: /\\/\\/.*/,\n keyword:\n /\\b(?:allow|function|if|match|null|return|rules_version|service)\\b/,\n operator: /&&|\\|\\||[<>!=]=?|[-+*/%]|\\b(?:in|is)\\b/\n }\n )\n delete Prism.languages['firestore-security-rules']['class-name']\n Prism.languages.insertBefore('firestore-security-rules', 'keyword', {\n path: {\n pattern:\n /(^|[\\s(),])(?:\\/(?:[\\w\\xA0-\\uFFFF]+|\\{[\\w\\xA0-\\uFFFF]+(?:=\\*\\*)?\\}|\\$\\([\\w\\xA0-\\uFFFF.]+\\)))+/,\n lookbehind: true,\n greedy: true,\n inside: {\n variable: {\n pattern: /\\{[\\w\\xA0-\\uFFFF]+(?:=\\*\\*)?\\}|\\$\\([\\w\\xA0-\\uFFFF.]+\\)/,\n inside: {\n operator: /=/,\n keyword: /\\*\\*/,\n punctuation: /[.$(){}]/\n }\n },\n punctuation: /\\//\n }\n },\n method: {\n // to make the pattern shorter, the actual method names are omitted\n pattern: /(\\ballow\\s+)[a-z]+(?:\\s*,\\s*[a-z]+)*(?=\\s*[:;])/,\n lookbehind: true,\n alias: 'builtin',\n inside: {\n punctuation: /,/\n }\n }\n })\n}\n","'use strict'\n\nmodule.exports = flow\nflow.displayName = 'flow'\nflow.aliases = []\nfunction flow(Prism) {\n ;(function (Prism) {\n Prism.languages.flow = Prism.languages.extend('javascript', {})\n Prism.languages.insertBefore('flow', 'keyword', {\n type: [\n {\n pattern:\n /\\b(?:[Bb]oolean|Function|[Nn]umber|[Ss]tring|any|mixed|null|void)\\b/,\n alias: 'tag'\n }\n ]\n })\n Prism.languages.flow['function-variable'].pattern =\n /(?!\\s)[_$a-z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*=\\s*(?:function\\b|(?:\\([^()]*\\)(?:\\s*:\\s*\\w+)?|(?!\\s)[_$a-z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*)\\s*=>))/i\n delete Prism.languages.flow['parameter']\n Prism.languages.insertBefore('flow', 'operator', {\n 'flow-punctuation': {\n pattern: /\\{\\||\\|\\}/,\n alias: 'punctuation'\n }\n })\n if (!Array.isArray(Prism.languages.flow.keyword)) {\n Prism.languages.flow.keyword = [Prism.languages.flow.keyword]\n }\n Prism.languages.flow.keyword.unshift(\n {\n pattern: /(^|[^$]\\b)(?:Class|declare|opaque|type)\\b(?!\\$)/,\n lookbehind: true\n },\n {\n pattern:\n /(^|[^$]\\B)\\$(?:Diff|Enum|Exact|Keys|ObjMap|PropertyType|Record|Shape|Subtype|Supertype|await)\\b(?!\\$)/,\n lookbehind: true\n }\n )\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = fortran\nfortran.displayName = 'fortran'\nfortran.aliases = []\nfunction fortran(Prism) {\n Prism.languages.fortran = {\n 'quoted-number': {\n pattern: /[BOZ](['\"])[A-F0-9]+\\1/i,\n alias: 'number'\n },\n string: {\n pattern:\n /(?:\\b\\w+_)?(['\"])(?:\\1\\1|&(?:\\r\\n?|\\n)(?:[ \\t]*!.*(?:\\r\\n?|\\n)|(?![ \\t]*!))|(?!\\1).)*(?:\\1|&)/,\n inside: {\n comment: {\n pattern: /(&(?:\\r\\n?|\\n)\\s*)!.*/,\n lookbehind: true\n }\n }\n },\n comment: {\n pattern: /!.*/,\n greedy: true\n },\n boolean: /\\.(?:FALSE|TRUE)\\.(?:_\\w+)?/i,\n number: /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[ED][+-]?\\d+)?(?:_\\w+)?/i,\n keyword: [\n // Types\n /\\b(?:CHARACTER|COMPLEX|DOUBLE ?PRECISION|INTEGER|LOGICAL|REAL)\\b/i, // END statements\n /\\b(?:END ?)?(?:BLOCK ?DATA|DO|FILE|FORALL|FUNCTION|IF|INTERFACE|MODULE(?! PROCEDURE)|PROGRAM|SELECT|SUBROUTINE|TYPE|WHERE)\\b/i, // Statements\n /\\b(?:ALLOCATABLE|ALLOCATE|BACKSPACE|CALL|CASE|CLOSE|COMMON|CONTAINS|CONTINUE|CYCLE|DATA|DEALLOCATE|DIMENSION|DO|END|EQUIVALENCE|EXIT|EXTERNAL|FORMAT|GO ?TO|IMPLICIT(?: NONE)?|INQUIRE|INTENT|INTRINSIC|MODULE PROCEDURE|NAMELIST|NULLIFY|OPEN|OPTIONAL|PARAMETER|POINTER|PRINT|PRIVATE|PUBLIC|READ|RETURN|REWIND|SAVE|SELECT|STOP|TARGET|WHILE|WRITE)\\b/i, // Others\n /\\b(?:ASSIGNMENT|DEFAULT|ELEMENTAL|ELSE|ELSEIF|ELSEWHERE|ENTRY|IN|INCLUDE|INOUT|KIND|NULL|ONLY|OPERATOR|OUT|PURE|RECURSIVE|RESULT|SEQUENCE|STAT|THEN|USE)\\b/i\n ],\n operator: [\n /\\*\\*|\\/\\/|=>|[=\\/]=|[<>]=?|::|[+\\-*=%]|\\.[A-Z]+\\./i,\n {\n // Use lookbehind to prevent confusion with (/ /)\n pattern: /(^|(?!\\().)\\/(?!\\))/,\n lookbehind: true\n }\n ],\n punctuation: /\\(\\/|\\/\\)|[(),;:&]/\n }\n}\n","'use strict'\n\nmodule.exports = fsharp\nfsharp.displayName = 'fsharp'\nfsharp.aliases = []\nfunction fsharp(Prism) {\n Prism.languages.fsharp = Prism.languages.extend('clike', {\n comment: [\n {\n pattern: /(^|[^\\\\])\\(\\*(?!\\))[\\s\\S]*?\\*\\)/,\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /(?:\"\"\"[\\s\\S]*?\"\"\"|@\"(?:\"\"|[^\"])*\"|\"(?:\\\\[\\s\\S]|[^\\\\\"])*\")B?/,\n greedy: true\n },\n 'class-name': {\n pattern:\n /(\\b(?:exception|inherit|interface|new|of|type)\\s+|\\w\\s*:\\s*|\\s:\\??>\\s*)[.\\w]+\\b(?:\\s*(?:->|\\*)\\s*[.\\w]+\\b)*(?!\\s*[:.])/,\n lookbehind: true,\n inside: {\n operator: /->|\\*/,\n punctuation: /\\./\n }\n },\n keyword:\n /\\b(?:let|return|use|yield)(?:!\\B|\\b)|\\b(?:abstract|and|as|asr|assert|atomic|base|begin|break|checked|class|component|const|constraint|constructor|continue|default|delegate|do|done|downcast|downto|eager|elif|else|end|event|exception|extern|external|false|finally|fixed|for|fun|function|functor|global|if|in|include|inherit|inline|interface|internal|land|lazy|lor|lsl|lsr|lxor|match|member|method|mixin|mod|module|mutable|namespace|new|not|null|object|of|open|or|override|parallel|private|process|protected|public|pure|rec|sealed|select|sig|static|struct|tailcall|then|to|trait|true|try|type|upcast|val|virtual|void|volatile|when|while|with)\\b/,\n number: [\n /\\b0x[\\da-fA-F]+(?:LF|lf|un)?\\b/,\n /\\b0b[01]+(?:uy|y)?\\b/,\n /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[fm]|e[+-]?\\d+)?\\b/i,\n /\\b\\d+(?:[IlLsy]|UL|u[lsy]?)?\\b/\n ],\n operator:\n /([<>~&^])\\1\\1|([*.:<>&])\\2|<-|->|[!=:]=|<?\\|{1,3}>?|\\??(?:<=|>=|<>|[-+*/%=<>])\\??|[!?^&]|~[+~-]|:>|:\\?>?/\n })\n Prism.languages.insertBefore('fsharp', 'keyword', {\n preprocessor: {\n pattern: /(^[\\t ]*)#.*/m,\n lookbehind: true,\n alias: 'property',\n inside: {\n directive: {\n pattern: /(^#)\\b(?:else|endif|if|light|line|nowarn)\\b/,\n lookbehind: true,\n alias: 'keyword'\n }\n }\n }\n })\n Prism.languages.insertBefore('fsharp', 'punctuation', {\n 'computation-expression': {\n pattern: /\\b[_a-z]\\w*(?=\\s*\\{)/i,\n alias: 'keyword'\n }\n })\n Prism.languages.insertBefore('fsharp', 'string', {\n annotation: {\n pattern: /\\[<.+?>\\]/,\n greedy: true,\n inside: {\n punctuation: /^\\[<|>\\]$/,\n 'class-name': {\n pattern: /^\\w+$|(^|;\\s*)[A-Z]\\w*(?=\\()/,\n lookbehind: true\n },\n 'annotation-content': {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.fsharp\n }\n }\n },\n char: {\n pattern:\n /'(?:[^\\\\']|\\\\(?:.|\\d{3}|x[a-fA-F\\d]{2}|u[a-fA-F\\d]{4}|U[a-fA-F\\d]{8}))'B?/,\n greedy: true\n }\n })\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = ftl\nftl.displayName = 'ftl'\nftl.aliases = []\nfunction ftl(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n // https://freemarker.apache.org/docs/dgui_template_exp.html\n // FTL expression with 4 levels of nesting supported\n var FTL_EXPR =\n /[^<()\"']|\\((?:<expr>)*\\)|<(?!#--)|<#--(?:[^-]|-(?!->))*-->|\"(?:[^\\\\\"]|\\\\.)*\"|'(?:[^\\\\']|\\\\.)*'/\n .source\n for (var i = 0; i < 2; i++) {\n FTL_EXPR = FTL_EXPR.replace(/<expr>/g, function () {\n return FTL_EXPR\n })\n }\n FTL_EXPR = FTL_EXPR.replace(/<expr>/g, /[^\\s\\S]/.source)\n var ftl = {\n comment: /<#--[\\s\\S]*?-->/,\n string: [\n {\n // raw string\n pattern: /\\br(\"|')(?:(?!\\1)[^\\\\]|\\\\.)*\\1/,\n greedy: true\n },\n {\n pattern: RegExp(\n /(\"|')(?:(?!\\1|\\$\\{)[^\\\\]|\\\\.|\\$\\{(?:(?!\\})(?:<expr>))*\\})*\\1/.source.replace(\n /<expr>/g,\n function () {\n return FTL_EXPR\n }\n )\n ),\n greedy: true,\n inside: {\n interpolation: {\n pattern: RegExp(\n /((?:^|[^\\\\])(?:\\\\\\\\)*)\\$\\{(?:(?!\\})(?:<expr>))*\\}/.source.replace(\n /<expr>/g,\n function () {\n return FTL_EXPR\n }\n )\n ),\n lookbehind: true,\n inside: {\n 'interpolation-punctuation': {\n pattern: /^\\$\\{|\\}$/,\n alias: 'punctuation'\n },\n rest: null\n }\n }\n }\n }\n ],\n keyword: /\\b(?:as)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n 'builtin-function': {\n pattern: /((?:^|[^?])\\?\\s*)\\w+/,\n lookbehind: true,\n alias: 'function'\n },\n function: /\\b\\w+(?=\\s*\\()/,\n number: /\\b\\d+(?:\\.\\d+)?\\b/,\n operator:\n /\\.\\.[<*!]?|->|--|\\+\\+|&&|\\|\\||\\?{1,2}|[-+*/%!=<>]=?|\\b(?:gt|gte|lt|lte)\\b/,\n punctuation: /[,;.:()[\\]{}]/\n }\n ftl.string[1].inside.interpolation.inside.rest = ftl\n Prism.languages.ftl = {\n 'ftl-comment': {\n // the pattern is shortened to be more efficient\n pattern: /^<#--[\\s\\S]*/,\n alias: 'comment'\n },\n 'ftl-directive': {\n pattern: /^<[\\s\\S]+>$/,\n inside: {\n directive: {\n pattern: /(^<\\/?)[#@][a-z]\\w*/i,\n lookbehind: true,\n alias: 'keyword'\n },\n punctuation: /^<\\/?|\\/?>$/,\n content: {\n pattern: /\\s*\\S[\\s\\S]*/,\n alias: 'ftl',\n inside: ftl\n }\n }\n },\n 'ftl-interpolation': {\n pattern: /^\\$\\{[\\s\\S]*\\}$/,\n inside: {\n punctuation: /^\\$\\{|\\}$/,\n content: {\n pattern: /\\s*\\S[\\s\\S]*/,\n alias: 'ftl',\n inside: ftl\n }\n }\n }\n }\n Prism.hooks.add('before-tokenize', function (env) {\n // eslint-disable-next-line regexp/no-useless-lazy\n var pattern = RegExp(\n /<#--[\\s\\S]*?-->|<\\/?[#@][a-zA-Z](?:<expr>)*?>|\\$\\{(?:<expr>)*?\\}/.source.replace(\n /<expr>/g,\n function () {\n return FTL_EXPR\n }\n ),\n 'gi'\n )\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'ftl',\n pattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'ftl')\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = gap\ngap.displayName = 'gap'\ngap.aliases = []\nfunction gap(Prism) {\n // https://www.gap-system.org/Manuals/doc/ref/chap4.html\n // https://www.gap-system.org/Manuals/doc/ref/chap27.html\n Prism.languages.gap = {\n shell: {\n pattern: /^gap>[\\s\\S]*?(?=^gap>|$(?![\\s\\S]))/m,\n greedy: true,\n inside: {\n gap: {\n pattern: /^(gap>).+(?:(?:\\r(?:\\n|(?!\\n))|\\n)>.*)*/,\n lookbehind: true,\n inside: null // see below\n },\n punctuation: /^gap>/\n }\n },\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n string: {\n pattern:\n /(^|[^\\\\'\"])(?:'(?:[^\\r\\n\\\\']|\\\\.){1,10}'|\"(?:[^\\r\\n\\\\\"]|\\\\.)*\"(?!\")|\"\"\"[\\s\\S]*?\"\"\")/,\n lookbehind: true,\n greedy: true,\n inside: {\n continuation: {\n pattern: /([\\r\\n])>/,\n lookbehind: true,\n alias: 'punctuation'\n }\n }\n },\n keyword:\n /\\b(?:Assert|Info|IsBound|QUIT|TryNextMethod|Unbind|and|atomic|break|continue|do|elif|else|end|fi|for|function|if|in|local|mod|not|od|or|quit|readonly|readwrite|rec|repeat|return|then|until|while)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n number: {\n pattern:\n /(^|[^\\w.]|\\.\\.)(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:[eE][+-]?\\d+)?(?:_[a-z]?)?(?=$|[^\\w.]|\\.\\.)/,\n lookbehind: true\n },\n continuation: {\n pattern: /([\\r\\n])>/,\n lookbehind: true,\n alias: 'punctuation'\n },\n operator: /->|[-+*/^~=!]|<>|[<>]=?|:=|\\.\\./,\n punctuation: /[()[\\]{},;.:]/\n }\n Prism.languages.gap.shell.inside.gap.inside = Prism.languages.gap\n}\n","'use strict'\n\nmodule.exports = gcode\ngcode.displayName = 'gcode'\ngcode.aliases = []\nfunction gcode(Prism) {\n Prism.languages.gcode = {\n comment: /;.*|\\B\\(.*?\\)\\B/,\n string: {\n pattern: /\"(?:\"\"|[^\"])*\"/,\n greedy: true\n },\n keyword: /\\b[GM]\\d+(?:\\.\\d+)?\\b/,\n property: /\\b[A-Z]/,\n checksum: {\n pattern: /(\\*)\\d+/,\n lookbehind: true,\n alias: 'number'\n },\n // T0:0:0\n punctuation: /[:*]/\n }\n}\n","'use strict'\n\nmodule.exports = gdscript\ngdscript.displayName = 'gdscript'\ngdscript.aliases = []\nfunction gdscript(Prism) {\n Prism.languages.gdscript = {\n comment: /#.*/,\n string: {\n pattern:\n /@?(?:(\"|')(?:(?!\\1)[^\\n\\\\]|\\\\[\\s\\S])*\\1(?!\"|')|\"\"\"(?:[^\\\\]|\\\\[\\s\\S])*?\"\"\")/,\n greedy: true\n },\n 'class-name': {\n // class_name Foo, extends Bar, class InnerClass\n // export(int) var baz, export(int, 0) var i\n // as Node\n // const FOO: int = 9, var bar: bool = true\n // func add(reference: Item, amount: int) -> Item:\n pattern:\n /(^(?:class|class_name|extends)[ \\t]+|^export\\([ \\t]*|\\bas[ \\t]+|(?:\\b(?:const|var)[ \\t]|[,(])[ \\t]*\\w+[ \\t]*:[ \\t]*|->[ \\t]*)[a-zA-Z_]\\w*/m,\n lookbehind: true\n },\n keyword:\n /\\b(?:and|as|assert|break|breakpoint|class|class_name|const|continue|elif|else|enum|export|extends|for|func|if|in|is|master|mastersync|match|not|null|onready|or|pass|preload|puppet|puppetsync|remote|remotesync|return|self|setget|signal|static|tool|var|while|yield)\\b/,\n function: /\\b[a-z_]\\w*(?=[ \\t]*\\()/i,\n variable: /\\$\\w+/,\n number: [\n /\\b0b[01_]+\\b|\\b0x[\\da-fA-F_]+\\b|(?:\\b\\d[\\d_]*(?:\\.[\\d_]*)?|\\B\\.[\\d_]+)(?:e[+-]?[\\d_]+)?\\b/,\n /\\b(?:INF|NAN|PI|TAU)\\b/\n ],\n constant: /\\b[A-Z][A-Z_\\d]*\\b/,\n boolean: /\\b(?:false|true)\\b/,\n operator: /->|:=|&&|\\|\\||<<|>>|[-+*/%&|!<>=]=?|[~^]/,\n punctuation: /[.:,;()[\\]{}]/\n }\n}\n","'use strict'\n\nmodule.exports = gedcom\ngedcom.displayName = 'gedcom'\ngedcom.aliases = []\nfunction gedcom(Prism) {\n Prism.languages.gedcom = {\n 'line-value': {\n // Preceded by level, optional pointer, and tag\n pattern:\n /(^[\\t ]*\\d+ +(?:@\\w[\\w!\"$%&'()*+,\\-./:;<=>?[\\\\\\]^`{|}~\\x80-\\xfe #]*@ +)?\\w+ ).+/m,\n lookbehind: true,\n inside: {\n pointer: {\n pattern: /^@\\w[\\w!\"$%&'()*+,\\-./:;<=>?[\\\\\\]^`{|}~\\x80-\\xfe #]*@$/,\n alias: 'variable'\n }\n }\n },\n tag: {\n // Preceded by level and optional pointer\n pattern:\n /(^[\\t ]*\\d+ +(?:@\\w[\\w!\"$%&'()*+,\\-./:;<=>?[\\\\\\]^`{|}~\\x80-\\xfe #]*@ +)?)\\w+/m,\n lookbehind: true,\n alias: 'string'\n },\n level: {\n pattern: /(^[\\t ]*)\\d+/m,\n lookbehind: true,\n alias: 'number'\n },\n pointer: {\n pattern: /@\\w[\\w!\"$%&'()*+,\\-./:;<=>?[\\\\\\]^`{|}~\\x80-\\xfe #]*@/,\n alias: 'variable'\n }\n }\n}\n","'use strict'\n\nmodule.exports = gherkin\ngherkin.displayName = 'gherkin'\ngherkin.aliases = []\nfunction gherkin(Prism) {\n ;(function (Prism) {\n var tableRow = /(?:\\r?\\n|\\r)[ \\t]*\\|.+\\|(?:(?!\\|).)*/.source\n Prism.languages.gherkin = {\n pystring: {\n pattern: /(\"\"\"|''')[\\s\\S]+?\\1/,\n alias: 'string'\n },\n comment: {\n pattern: /(^[ \\t]*)#.*/m,\n lookbehind: true\n },\n tag: {\n pattern: /(^[ \\t]*)@\\S*/m,\n lookbehind: true\n },\n feature: {\n pattern:\n /((?:^|\\r?\\n|\\r)[ \\t]*)(?:Ability|Ahoy matey!|Arwedd|Aspekt|Besigheid Behoefte|Business Need|Caracteristica|Característica|Egenskab|Egenskap|Eiginleiki|Feature|Fīča|Fitur|Fonctionnalité|Fonksyonalite|Funcionalidade|Funcionalitat|Functionalitate|Funcţionalitate|Funcționalitate|Functionaliteit|Fungsi|Funkcia|Funkcija|Funkcionalitāte|Funkcionalnost|Funkcja|Funksie|Funktionalität|Funktionalitéit|Funzionalità|Hwaet|Hwæt|Jellemző|Karakteristik|Lastnost|Mak|Mogucnost|laH|Mogućnost|Moznosti|Možnosti|OH HAI|Omadus|Ominaisuus|Osobina|Özellik|Potrzeba biznesowa|perbogh|poQbogh malja'|Požadavek|Požiadavka|Pretty much|Qap|Qu'meH 'ut|Savybė|Tính năng|Trajto|Vermoë|Vlastnosť|Właściwość|Značilnost|Δυνατότητα|Λειτουργία|Могућност|Мөмкинлек|Особина|Свойство|Үзенчәлеклелек|Функционал|Функционалност|Функция|Функціонал|תכונה|خاصية|خصوصیت|صلاحیت|کاروبار کی ضرورت|وِیژگی|रूप लेख|ਖਾਸੀਅਤ|ਨਕਸ਼ ਨੁਹਾਰ|ਮੁਹਾਂਦਰਾ|గుణము|ಹೆಚ್ಚಳ|ความต้องการทางธุรกิจ|ความสามารถ|โครงหลัก|기능|フィーチャ|功能|機能):(?:[^:\\r\\n]+(?:\\r?\\n|\\r|$))*/,\n lookbehind: true,\n inside: {\n important: {\n pattern: /(:)[^\\r\\n]+/,\n lookbehind: true\n },\n keyword: /[^:\\r\\n]+:/\n }\n },\n scenario: {\n pattern:\n /(^[ \\t]*)(?:Abstract Scenario|Abstrakt Scenario|Achtergrond|Aer|Ær|Agtergrond|All y'all|Antecedentes|Antecedents|Atburðarás|Atburðarásir|Awww, look mate|B4|Background|Baggrund|Bakgrund|Bakgrunn|Bakgrunnur|Beispiele|Beispiller|Bối cảnh|Cefndir|Cenario|Cenário|Cenario de Fundo|Cenário de Fundo|Cenarios|Cenários|Contesto|Context|Contexte|Contexto|Conto|Contoh|Contone|Dæmi|Dasar|Dead men tell no tales|Delineacao do Cenario|Delineação do Cenário|Dis is what went down|Dữ liệu|Dyagram Senaryo|Dyagram senaryo|Egzanp|Ejemplos|Eksempler|Ekzemploj|Enghreifftiau|Esbozo do escenario|Escenari|Escenario|Esempi|Esquema de l'escenari|Esquema del escenario|Esquema do Cenario|Esquema do Cenário|EXAMPLZ|Examples|Exempel|Exemple|Exemples|Exemplos|First off|Fono|Forgatókönyv|Forgatókönyv vázlat|Fundo|Geçmiş|Grundlage|Hannergrond|ghantoH|Háttér|Heave to|Istorik|Juhtumid|Keadaan|Khung kịch bản|Khung tình huống|Kịch bản|Koncept|Konsep skenario|Kontèks|Kontekst|Kontekstas|Konteksts|Kontext|Konturo de la scenaro|Latar Belakang|lut chovnatlh|lut|lutmey|Lýsing Atburðarásar|Lýsing Dæma|MISHUN SRSLY|MISHUN|Menggariskan Senario|mo'|Náčrt Scenára|Náčrt Scénáře|Náčrt Scenáru|Oris scenarija|Örnekler|Osnova|Osnova Scenára|Osnova scénáře|Osnutek|Ozadje|Paraugs|Pavyzdžiai|Példák|Piemēri|Plan du scénario|Plan du Scénario|Plan Senaryo|Plan senaryo|Plang vum Szenario|Pozadí|Pozadie|Pozadina|Príklady|Příklady|Primer|Primeri|Primjeri|Przykłady|Raamstsenaarium|Reckon it's like|Rerefons|Scenár|Scénář|Scenarie|Scenarij|Scenarijai|Scenarijaus šablonas|Scenariji|Scenārijs|Scenārijs pēc parauga|Scenarijus|Scenario|Scénario|Scenario Amlinellol|Scenario Outline|Scenario Template|Scenariomal|Scenariomall|Scenarios|Scenariu|Scenariusz|Scenaro|Schema dello scenario|Se ðe|Se the|Se þe|Senario|Senaryo Deskripsyon|Senaryo deskripsyon|Senaryo|Senaryo taslağı|Shiver me timbers|Situācija|Situai|Situasie Uiteensetting|Situasie|Skenario konsep|Skenario|Skica|Structura scenariu|Structură scenariu|Struktura scenarija|Stsenaarium|Swa hwaer swa|Swa|Swa hwær swa|Szablon scenariusza|Szenario|Szenariogrundriss|Tapaukset|Tapaus|Tapausaihio|Taust|Tausta|Template Keadaan|Template Senario|Template Situai|The thing of it is|Tình huống|Variantai|Voorbeelde|Voorbeelden|Wharrimean is|Yo-ho-ho|You'll wanna|Założenia|Παραδείγματα|Περιγραφή Σεναρίου|Σενάρια|Σενάριο|Υπόβαθρο|Кереш|Контекст|Концепт|Мисаллар|Мисоллар|Основа|Передумова|Позадина|Предистория|Предыстория|Приклади|Пример|Примери|Примеры|Рамка на сценарий|Скица|Структура сценарија|Структура сценария|Структура сценарію|Сценарий|Сценарий структураси|Сценарийның төзелеше|Сценарији|Сценарио|Сценарій|Тарих|Үрнәкләр|דוגמאות|רקע|תבנית תרחיש|תרחיש|الخلفية|الگوی سناریو|امثلة|پس منظر|زمینه|سناریو|سيناريو|سيناريو مخطط|مثالیں|منظر نامے کا خاکہ|منظرنامہ|نمونه ها|उदाहरण|परिदृश्य|परिदृश्य रूपरेखा|पृष्ठभूमि|ਉਦਾਹਰਨਾਂ|ਪਟਕਥਾ|ਪਟਕਥਾ ਢਾਂਚਾ|ਪਟਕਥਾ ਰੂਪ ਰੇਖਾ|ਪਿਛੋਕੜ|ఉదాహరణలు|కథనం|నేపథ్యం|సన్నివేశం|ಉದಾಹರಣೆಗಳು|ಕಥಾಸಾರಾಂಶ|ವಿವರಣೆ|ಹಿನ್ನೆಲೆ|โครงสร้างของเหตุการณ์|ชุดของตัวอย่าง|ชุดของเหตุการณ์|แนวคิด|สรุปเหตุการณ์|เหตุการณ์|배경|시나리오|시나리오 개요|예|サンプル|シナリオ|シナリオアウトライン|シナリオテンプレ|シナリオテンプレート|テンプレ|例|例子|剧本|剧本大纲|劇本|劇本大綱|场景|场景大纲|場景|場景大綱|背景):[^:\\r\\n]*/m,\n lookbehind: true,\n inside: {\n important: {\n pattern: /(:)[^\\r\\n]*/,\n lookbehind: true\n },\n keyword: /[^:\\r\\n]+:/\n }\n },\n 'table-body': {\n // Look-behind is used to skip the table head, which has the same format as any table row\n pattern: RegExp('(' + tableRow + ')(?:' + tableRow + ')+'),\n lookbehind: true,\n inside: {\n outline: {\n pattern: /<[^>]+>/,\n alias: 'variable'\n },\n td: {\n pattern: /\\s*[^\\s|][^|]*/,\n alias: 'string'\n },\n punctuation: /\\|/\n }\n },\n 'table-head': {\n pattern: RegExp(tableRow),\n inside: {\n th: {\n pattern: /\\s*[^\\s|][^|]*/,\n alias: 'variable'\n },\n punctuation: /\\|/\n }\n },\n atrule: {\n pattern:\n /(^[ \\t]+)(?:'a|'ach|'ej|7|a|A také|A taktiež|A tiež|A zároveň|Aber|Ac|Adott|Akkor|Ak|Aleshores|Ale|Ali|Allora|Alors|Als|Ama|Amennyiben|Amikor|Ampak|an|AN|Ananging|And y'all|And|Angenommen|Anrhegedig a|An|Apabila|Atès|Atesa|Atunci|Avast!|Aye|A|awer|Bagi|Banjur|Bet|Biết|Blimey!|Buh|But at the end of the day I reckon|But y'all|But|BUT|Cal|Când|Cand|Cando|Ce|Cuando|Če|Ða ðe|Ða|Dadas|Dada|Dados|Dado|DaH ghu' bejlu'|dann|Dann|Dano|Dan|Dar|Dat fiind|Data|Date fiind|Date|Dati fiind|Dati|Daţi fiind|Dați fiind|DEN|Dato|De|Den youse gotta|Dengan|Diberi|Diyelim ki|Donada|Donat|Donitaĵo|Do|Dun|Duota|Ðurh|Eeldades|Ef|Eğer ki|Entao|Então|Entón|E|En|Entonces|Epi|És|Etant donnée|Etant donné|Et|Étant données|Étant donnée|Étant donné|Etant données|Etant donnés|Étant donnés|Fakat|Gangway!|Gdy|Gegeben seien|Gegeben sei|Gegeven|Gegewe|ghu' noblu'|Gitt|Given y'all|Given|Givet|Givun|Ha|Cho|I CAN HAZ|In|Ir|It's just unbelievable|I|Ja|Jeśli|Jeżeli|Kad|Kada|Kadar|Kai|Kaj|Když|Keď|Kemudian|Ketika|Khi|Kiedy|Ko|Kuid|Kui|Kun|Lan|latlh|Le sa a|Let go and haul|Le|Lè sa a|Lè|Logo|Lorsqu'<|Lorsque|mä|Maar|Mais|Mając|Ma|Majd|Maka|Manawa|Mas|Men|Menawa|Mutta|Nalika|Nalikaning|Nanging|Når|När|Nato|Nhưng|Niin|Njuk|O zaman|Och|Og|Oletetaan|Ond|Onda|Oraz|Pak|Pero|Però|Podano|Pokiaľ|Pokud|Potem|Potom|Privzeto|Pryd|Quan|Quand|Quando|qaSDI'|Så|Sed|Se|Siis|Sipoze ke|Sipoze Ke|Sipoze|Si|Şi|Și|Soit|Stel|Tada|Tad|Takrat|Tak|Tapi|Ter|Tetapi|Tha the|Tha|Then y'all|Then|Thì|Thurh|Toda|Too right|Un|Und|ugeholl|Và|vaj|Vendar|Ve|wann|Wanneer|WEN|Wenn|When y'all|When|Wtedy|Wun|Y'know|Yeah nah|Yna|Youse know like when|Youse know when youse got|Y|Za predpokladu|Za předpokladu|Zadan|Zadani|Zadano|Zadate|Zadato|Zakładając|Zaradi|Zatati|Þa þe|Þa|Þá|Þegar|Þurh|Αλλά|Δεδομένου|Και|Όταν|Τότε|А також|Агар|Але|Али|Аммо|А|Әгәр|Әйтик|Әмма|Бирок|Ва|Вә|Дадено|Дано|Допустим|Если|Задате|Задати|Задато|И|І|К тому же|Када|Кад|Когато|Когда|Коли|Ләкин|Лекин|Нәтиҗәдә|Нехай|Но|Онда|Припустимо, що|Припустимо|Пусть|Также|Та|Тогда|Тоді|То|Унда|Һәм|Якщо|אבל|אזי|אז|בהינתן|וגם|כאשר|آنگاه|اذاً|اگر|اما|اور|با فرض|بالفرض|بفرض|پھر|تب|ثم|جب|عندما|فرض کیا|لكن|لیکن|متى|هنگامی|و|अगर|और|कदा|किन्तु|चूंकि|जब|तथा|तदा|तब|परन्तु|पर|यदि|ਅਤੇ|ਜਦੋਂ|ਜਿਵੇਂ ਕਿ|ਜੇਕਰ|ਤਦ|ਪਰ|అప్పుడు|ఈ పరిస్థితిలో|కాని|చెప్పబడినది|మరియు|ಆದರೆ|ನಂತರ|ನೀಡಿದ|ಮತ್ತು|ಸ್ಥಿತಿಯನ್ನು|กำหนดให้|ดังนั้น|แต่|เมื่อ|และ|그러면<|그리고<|단<|만약<|만일<|먼저<|조건<|하지만<|かつ<|しかし<|ただし<|ならば<|もし<|並且<|但し<|但是<|假如<|假定<|假設<|假设<|前提<|同时<|同時<|并且<|当<|當<|而且<|那么<|那麼<)(?=[ \\t])/m,\n lookbehind: true\n },\n string: {\n pattern: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"|'(?:\\\\.|[^'\\\\\\r\\n])*'/,\n inside: {\n outline: {\n pattern: /<[^>]+>/,\n alias: 'variable'\n }\n }\n },\n outline: {\n pattern: /<[^>]+>/,\n alias: 'variable'\n }\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = git\ngit.displayName = 'git'\ngit.aliases = []\nfunction git(Prism) {\n Prism.languages.git = {\n /*\n * A simple one line comment like in a git status command\n * For instance:\n * $ git status\n * # On branch infinite-scroll\n * # Your branch and 'origin/sharedBranches/frontendTeam/infinite-scroll' have diverged,\n * # and have 1 and 2 different commits each, respectively.\n * nothing to commit (working directory clean)\n */\n comment: /^#.*/m,\n /*\n * Regexp to match the changed lines in a git diff output. Check the example below.\n */\n deleted: /^[-–].*/m,\n inserted: /^\\+.*/m,\n /*\n * a string (double and simple quote)\n */\n string: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n /*\n * a git command. It starts with a random prompt finishing by a $, then \"git\" then some other parameters\n * For instance:\n * $ git add file.txt\n */\n command: {\n pattern: /^.*\\$ git .*$/m,\n inside: {\n /*\n * A git command can contain a parameter starting by a single or a double dash followed by a string\n * For instance:\n * $ git diff --cached\n * $ git log -p\n */\n parameter: /\\s--?\\w+/\n }\n },\n /*\n * Coordinates displayed in a git diff command\n * For instance:\n * $ git diff\n * diff --git file.txt file.txt\n * index 6214953..1d54a52 100644\n * --- file.txt\n * +++ file.txt\n * @@ -1 +1,2 @@\n * -Here's my tetx file\n * +Here's my text file\n * +And this is the second line\n */\n coord: /^@@.*@@$/m,\n /*\n * Match a \"commit [SHA1]\" line in a git log output.\n * For instance:\n * $ git log\n * commit a11a14ef7e26f2ca62d4b35eac455ce636d0dc09\n * Author: lgiraudel\n * Date: Mon Feb 17 11:18:34 2014 +0100\n *\n * Add of a new line\n */\n 'commit-sha1': /^commit \\w{40}$/m\n }\n}\n","'use strict'\nvar refractorC = require('./c.js')\nmodule.exports = glsl\nglsl.displayName = 'glsl'\nglsl.aliases = []\nfunction glsl(Prism) {\n Prism.register(refractorC)\n Prism.languages.glsl = Prism.languages.extend('c', {\n keyword:\n /\\b(?:active|asm|atomic_uint|attribute|[ibdu]?vec[234]|bool|break|buffer|case|cast|centroid|class|coherent|common|const|continue|d?mat[234](?:x[234])?|default|discard|do|double|else|enum|extern|external|false|filter|fixed|flat|float|for|fvec[234]|goto|half|highp|hvec[234]|[iu]?sampler2DMS(?:Array)?|[iu]?sampler2DRect|[iu]?samplerBuffer|[iu]?samplerCube|[iu]?samplerCubeArray|[iu]?sampler[123]D|[iu]?sampler[12]DArray|[iu]?image2DMS(?:Array)?|[iu]?image2DRect|[iu]?imageBuffer|[iu]?imageCube|[iu]?imageCubeArray|[iu]?image[123]D|[iu]?image[12]DArray|if|in|inline|inout|input|int|interface|invariant|layout|long|lowp|mediump|namespace|noinline|noperspective|out|output|partition|patch|precise|precision|public|readonly|resource|restrict|return|sample|sampler[12]DArrayShadow|sampler[12]DShadow|sampler2DRectShadow|sampler3DRect|samplerCubeArrayShadow|samplerCubeShadow|shared|short|sizeof|smooth|static|struct|subroutine|superp|switch|template|this|true|typedef|uint|uniform|union|unsigned|using|varying|void|volatile|while|writeonly)\\b/\n })\n}\n","'use strict'\n\nmodule.exports = gml\ngml.displayName = 'gml'\ngml.aliases = []\nfunction gml(Prism) {\n Prism.languages.gamemakerlanguage = Prism.languages.gml =\n Prism.languages.extend('clike', {\n keyword:\n /\\b(?:break|case|continue|default|do|else|enum|exit|for|globalvar|if|repeat|return|switch|until|var|while)\\b/,\n number:\n /(?:\\b0x[\\da-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?)[ulf]{0,4}/i,\n operator:\n /--|\\+\\+|[-+%/=]=?|!=|\\*\\*?=?|<[<=>]?|>[=>]?|&&?|\\^\\^?|\\|\\|?|~|\\b(?:and|at|not|or|with|xor)\\b/,\n constant:\n /\\b(?:GM_build_date|GM_version|action_(?:continue|restart|reverse|stop)|all|gamespeed_(?:fps|microseconds)|global|local|noone|other|pi|pointer_(?:invalid|null)|self|timezone_(?:local|utc)|undefined|ev_(?:create|destroy|step|alarm|keyboard|mouse|collision|other|draw|draw_(?:begin|end|post|pre)|keypress|keyrelease|trigger|(?:left|middle|no|right)_button|(?:left|middle|right)_press|(?:left|middle|right)_release|mouse_(?:enter|leave|wheel_down|wheel_up)|global_(?:left|middle|right)_button|global_(?:left|middle|right)_press|global_(?:left|middle|right)_release|joystick(?:1|2)_(?:button1|button2|button3|button4|button5|button6|button7|button8|down|left|right|up)|outside|boundary|game_start|game_end|room_start|room_end|no_more_lives|animation_end|end_of_path|no_more_health|user\\d|gui|gui_begin|gui_end|step_(?:begin|end|normal))|vk_(?:alt|anykey|backspace|control|delete|down|end|enter|escape|home|insert|left|nokey|pagedown|pageup|pause|printscreen|return|right|shift|space|tab|up|f\\d|numpad\\d|add|decimal|divide|lalt|lcontrol|lshift|multiply|ralt|rcontrol|rshift|subtract)|achievement_(?:filter_(?:all_players|favorites_only|friends_only)|friends_info|info|leaderboard_info|our_info|pic_loaded|show_(?:achievement|bank|friend_picker|leaderboard|profile|purchase_prompt|ui)|type_challenge|type_score_challenge)|asset_(?:font|object|path|room|script|shader|sound|sprite|tiles|timeline|unknown)|audio_(?:3d|falloff_(?:exponent_distance|exponent_distance_clamped|inverse_distance|inverse_distance_clamped|linear_distance|linear_distance_clamped|none)|mono|new_system|old_system|stereo)|bm_(?:add|complex|dest_alpha|dest_color|dest_colour|inv_dest_alpha|inv_dest_color|inv_dest_colour|inv_src_alpha|inv_src_color|inv_src_colour|max|normal|one|src_alpha|src_alpha_sat|src_color|src_colour|subtract|zero)|browser_(?:chrome|firefox|ie|ie_mobile|not_a_browser|opera|safari|safari_mobile|tizen|unknown|windows_store)|buffer_(?:bool|f16|f32|f64|fast|fixed|generalerror|grow|invalidtype|network|outofbounds|outofspace|s16|s32|s8|seek_end|seek_relative|seek_start|string|text|u16|u32|u64|u8|vbuffer|wrap)|c_(?:aqua|black|blue|dkgray|fuchsia|gray|green|lime|ltgray|maroon|navy|olive|orange|purple|red|silver|teal|white|yellow)|cmpfunc_(?:always|equal|greater|greaterequal|less|lessequal|never|notequal)|cr_(?:appstart|arrow|beam|cross|default|drag|handpoint|hourglass|none|size_all|size_nesw|size_ns|size_nwse|size_we|uparrow)|cull_(?:clockwise|counterclockwise|noculling)|device_(?:emulator|tablet)|device_ios_(?:ipad|ipad_retina|iphone|iphone5|iphone6|iphone6plus|iphone_retina|unknown)|display_(?:landscape|landscape_flipped|portrait|portrait_flipped)|dll_(?:cdecl|cdel|stdcall)|ds_type_(?:grid|list|map|priority|queue|stack)|ef_(?:cloud|ellipse|explosion|firework|flare|rain|ring|smoke|smokeup|snow|spark|star)|fa_(?:archive|bottom|center|directory|hidden|left|middle|readonly|right|sysfile|top|volumeid)|fb_login_(?:default|fallback_to_webview|forcing_safari|forcing_webview|no_fallback_to_webview|use_system_account)|iap_(?:available|canceled|ev_consume|ev_product|ev_purchase|ev_restore|ev_storeload|failed|purchased|refunded|status_available|status_loading|status_processing|status_restoring|status_unavailable|status_uninitialised|storeload_failed|storeload_ok|unavailable)|leaderboard_type_(?:number|time_mins_secs)|lighttype_(?:dir|point)|matrix_(?:projection|view|world)|mb_(?:any|left|middle|none|right)|network_(?:config_(?:connect_timeout|disable_reliable_udp|enable_reliable_udp|use_non_blocking_socket)|socket_(?:bluetooth|tcp|udp)|type_(?:connect|data|disconnect|non_blocking_connect))|of_challenge_(?:lose|tie|win)|os_(?:android|ios|linux|macosx|ps3|ps4|psvita|unknown|uwp|win32|win8native|windows|winphone|xboxone)|phy_debug_render_(?:aabb|collision_pairs|coms|core_shapes|joints|obb|shapes)|phy_joint_(?:anchor_1_x|anchor_1_y|anchor_2_x|anchor_2_y|angle|angle_limits|damping_ratio|frequency|length_1|length_2|lower_angle_limit|max_force|max_length|max_motor_force|max_motor_torque|max_torque|motor_force|motor_speed|motor_torque|reaction_force_x|reaction_force_y|reaction_torque|speed|translation|upper_angle_limit)|phy_particle_data_flag_(?:category|color|colour|position|typeflags|velocity)|phy_particle_flag_(?:colormixing|colourmixing|elastic|powder|spring|tensile|viscous|wall|water|zombie)|phy_particle_group_flag_(?:rigid|solid)|pr_(?:linelist|linestrip|pointlist|trianglefan|trianglelist|trianglestrip)|ps_(?:distr|shape)_(?:diamond|ellipse|gaussian|invgaussian|line|linear|rectangle)|pt_shape_(?:circle|cloud|disk|explosion|flare|line|pixel|ring|smoke|snow|spark|sphere|square|star)|ty_(?:real|string)|gp_(?:face\\d|axislh|axislv|axisrh|axisrv|padd|padl|padr|padu|select|shoulderl|shoulderlb|shoulderr|shoulderrb|start|stickl|stickr)|lb_disp_(?:none|numeric|time_ms|time_sec)|lb_sort_(?:ascending|descending|none)|ov_(?:achievements|community|friends|gamegroup|players|settings)|ugc_(?:filetype_(?:community|microtrans)|list_(?:Favorited|Followed|Published|Subscribed|UsedOrPlayed|VotedDown|VotedOn|VotedUp|WillVoteLater)|match_(?:AllGuides|Artwork|Collections|ControllerBindings|IntegratedGuides|Items|Items_Mtx|Items_ReadyToUse|Screenshots|UsableInGame|Videos|WebGuides)|query_(?:AcceptedForGameRankedByAcceptanceDate|CreatedByFriendsRankedByPublicationDate|FavoritedByFriendsRankedByPublicationDate|NotYetRated)|query_RankedBy(?:NumTimesReported|PublicationDate|TextSearch|TotalVotesAsc|Trend|Vote|VotesUp)|result_success|sortorder_CreationOrder(?:Asc|Desc)|sortorder_(?:ForModeration|LastUpdatedDesc|SubscriptionDateDesc|TitleAsc|VoteScoreDesc)|visibility_(?:friends_only|private|public))|vertex_usage_(?:binormal|blendindices|blendweight|color|colour|depth|fog|normal|position|psize|sample|tangent|texcoord|textcoord)|vertex_type_(?:float\\d|color|colour|ubyte4)|input_type|layerelementtype_(?:background|instance|oldtilemap|particlesystem|sprite|tile|tilemap|undefined)|se_(?:chorus|compressor|echo|equalizer|flanger|gargle|none|reverb)|text_type|tile_(?:flip|index_mask|mirror|rotate)|(?:obj|rm|scr|spr)\\w+)\\b/,\n variable:\n /\\b(?:alarm|application_surface|async_load|background_(?:alpha|blend|color|colour|foreground|height|hspeed|htiled|index|showcolor|showcolour|visible|vspeed|vtiled|width|x|xscale|y|yscale)|bbox_(?:bottom|left|right|top)|browser_(?:height|width)|caption_(?:health|lives|score)|current_(?:day|hour|minute|month|second|time|weekday|year)|cursor_sprite|debug_mode|delta_time|direction|display_aa|error_(?:last|occurred)|event_(?:action|number|object|type)|fps|fps_real|friction|game_(?:display|project|save)_(?:id|name)|gamemaker_(?:pro|registered|version)|gravity|gravity_direction|(?:h|v)speed|health|iap_data|id|image_(?:alpha|angle|blend|depth|index|number|speed|xscale|yscale)|instance_(?:count|id)|keyboard_(?:key|lastchar|lastkey|string)|layer|lives|mask_index|mouse_(?:button|lastbutton|x|y)|object_index|os_(?:browser|device|type|version)|path_(?:endaction|index|orientation|position|positionprevious|scale|speed)|persistent|phy_(?:rotation|(?:col_normal|collision|com|linear_velocity|position|speed)_(?:x|y)|angular_(?:damping|velocity)|position_(?:x|y)previous|speed|linear_damping|bullet|fixed_rotation|active|mass|inertia|dynamic|kinematic|sleeping|collision_points)|pointer_(?:invalid|null)|room|room_(?:caption|first|height|last|persistent|speed|width)|score|secure_mode|show_(?:health|lives|score)|solid|speed|sprite_(?:height|index|width|xoffset|yoffset)|temp_directory|timeline_(?:index|loop|position|running|speed)|transition_(?:color|kind|steps)|undefined|view_(?:angle|current|enabled|(?:h|v)(?:border|speed)|(?:h|w|x|y)port|(?:h|w|x|y)view|object|surface_id|visible)|visible|webgl_enabled|working_directory|(?:x|y)(?:previous|start)|x|y|argument(?:_relitive|_count|\\d)|argument|global|local|other|self)\\b/\n })\n}\n","'use strict'\n\nmodule.exports = gn\ngn.displayName = 'gn'\ngn.aliases = ['gni']\nfunction gn(Prism) {\n // https://gn.googlesource.com/gn/+/refs/heads/main/docs/reference.md#grammar\n Prism.languages.gn = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n 'string-literal': {\n pattern: /(^|[^\\\\\"])\"(?:[^\\r\\n\"\\\\]|\\\\.)*\"/,\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\$(?:\\{[\\s\\S]*?\\}|[a-zA-Z_]\\w*|0x[a-fA-F0-9]{2})/,\n lookbehind: true,\n inside: {\n number: /^\\$0x[\\s\\S]{2}$/,\n variable: /^\\$\\w+$/,\n 'interpolation-punctuation': {\n pattern: /^\\$\\{|\\}$/,\n alias: 'punctuation'\n },\n expression: {\n pattern: /[\\s\\S]+/,\n inside: null // see below\n }\n }\n },\n string: /[\\s\\S]+/\n }\n },\n keyword: /\\b(?:else|if)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n 'builtin-function': {\n // a few functions get special highlighting to improve readability\n pattern:\n /\\b(?:assert|defined|foreach|import|pool|print|template|tool|toolchain)(?=\\s*\\()/i,\n alias: 'keyword'\n },\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n constant:\n /\\b(?:current_cpu|current_os|current_toolchain|default_toolchain|host_cpu|host_os|root_build_dir|root_gen_dir|root_out_dir|target_cpu|target_gen_dir|target_os|target_out_dir)\\b/,\n number: /-?\\b\\d+\\b/,\n operator: /[-+!=<>]=?|&&|\\|\\|/,\n punctuation: /[(){}[\\],.]/\n }\n Prism.languages.gn['string-literal'].inside['interpolation'].inside[\n 'expression'\n ].inside = Prism.languages.gn\n Prism.languages.gni = Prism.languages.gn\n}\n","'use strict'\n\nmodule.exports = goModule\ngoModule.displayName = 'goModule'\ngoModule.aliases = []\nfunction goModule(Prism) {\n // https://go.dev/ref/mod#go-mod-file-module\n Prism.languages['go-mod'] = Prism.languages['go-module'] = {\n comment: {\n pattern: /\\/\\/.*/,\n greedy: true\n },\n version: {\n pattern: /(^|[\\s()[\\],])v\\d+\\.\\d+\\.\\d+(?:[+-][-+.\\w]*)?(?![^\\s()[\\],])/,\n lookbehind: true,\n alias: 'number'\n },\n 'go-version': {\n pattern: /((?:^|\\s)go\\s+)\\d+(?:\\.\\d+){1,2}/,\n lookbehind: true,\n alias: 'number'\n },\n keyword: {\n pattern: /^([ \\t]*)(?:exclude|go|module|replace|require|retract)\\b/m,\n lookbehind: true\n },\n operator: /=>/,\n punctuation: /[()[\\],]/\n }\n}\n","'use strict'\n\nmodule.exports = go\ngo.displayName = 'go'\ngo.aliases = []\nfunction go(Prism) {\n Prism.languages.go = Prism.languages.extend('clike', {\n string: {\n pattern: /(^|[^\\\\])\"(?:\\\\.|[^\"\\\\\\r\\n])*\"|`[^`]*`/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /\\b(?:break|case|chan|const|continue|default|defer|else|fallthrough|for|func|go(?:to)?|if|import|interface|map|package|range|return|select|struct|switch|type|var)\\b/,\n boolean: /\\b(?:_|false|iota|nil|true)\\b/,\n number: [\n // binary and octal integers\n /\\b0(?:b[01_]+|o[0-7_]+)i?\\b/i, // hexadecimal integers and floats\n /\\b0x(?:[a-f\\d_]+(?:\\.[a-f\\d_]*)?|\\.[a-f\\d_]+)(?:p[+-]?\\d+(?:_\\d+)*)?i?(?!\\w)/i, // decimal integers and floats\n /(?:\\b\\d[\\d_]*(?:\\.[\\d_]*)?|\\B\\.\\d[\\d_]*)(?:e[+-]?[\\d_]+)?i?(?!\\w)/i\n ],\n operator:\n /[*\\/%^!=]=?|\\+[=+]?|-[=-]?|\\|[=|]?|&(?:=|&|\\^=?)?|>(?:>=?|=)?|<(?:<=?|=|-)?|:=|\\.\\.\\./,\n builtin:\n /\\b(?:append|bool|byte|cap|close|complex|complex(?:64|128)|copy|delete|error|float(?:32|64)|u?int(?:8|16|32|64)?|imag|len|make|new|panic|print(?:ln)?|real|recover|rune|string|uintptr)\\b/\n })\n Prism.languages.insertBefore('go', 'string', {\n char: {\n pattern: /'(?:\\\\.|[^'\\\\\\r\\n]){0,10}'/,\n greedy: true\n }\n })\n delete Prism.languages.go['class-name']\n}\n","'use strict'\n\nmodule.exports = graphql\ngraphql.displayName = 'graphql'\ngraphql.aliases = []\nfunction graphql(Prism) {\n Prism.languages.graphql = {\n comment: /#.*/,\n description: {\n pattern:\n /(?:\"\"\"(?:[^\"]|(?!\"\"\")\")*\"\"\"|\"(?:\\\\.|[^\\\\\"\\r\\n])*\")(?=\\s*[a-z_])/i,\n greedy: true,\n alias: 'string',\n inside: {\n 'language-markdown': {\n pattern: /(^\"(?:\"\")?)(?!\\1)[\\s\\S]+(?=\\1$)/,\n lookbehind: true,\n inside: Prism.languages.markdown\n }\n }\n },\n string: {\n pattern: /\"\"\"(?:[^\"]|(?!\"\"\")\")*\"\"\"|\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/,\n greedy: true\n },\n number: /(?:\\B-|\\b)\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?\\b/i,\n boolean: /\\b(?:false|true)\\b/,\n variable: /\\$[a-z_]\\w*/i,\n directive: {\n pattern: /@[a-z_]\\w*/i,\n alias: 'function'\n },\n 'attr-name': {\n pattern: /\\b[a-z_]\\w*(?=\\s*(?:\\((?:[^()\"]|\"(?:\\\\.|[^\\\\\"\\r\\n])*\")*\\))?:)/i,\n greedy: true\n },\n 'atom-input': {\n pattern: /\\b[A-Z]\\w*Input\\b/,\n alias: 'class-name'\n },\n scalar: /\\b(?:Boolean|Float|ID|Int|String)\\b/,\n constant: /\\b[A-Z][A-Z_\\d]*\\b/,\n 'class-name': {\n pattern:\n /(\\b(?:enum|implements|interface|on|scalar|type|union)\\s+|&\\s*|:\\s*|\\[)[A-Z_]\\w*/,\n lookbehind: true\n },\n fragment: {\n pattern: /(\\bfragment\\s+|\\.{3}\\s*(?!on\\b))[a-zA-Z_]\\w*/,\n lookbehind: true,\n alias: 'function'\n },\n 'definition-mutation': {\n pattern: /(\\bmutation\\s+)[a-zA-Z_]\\w*/,\n lookbehind: true,\n alias: 'function'\n },\n 'definition-query': {\n pattern: /(\\bquery\\s+)[a-zA-Z_]\\w*/,\n lookbehind: true,\n alias: 'function'\n },\n keyword:\n /\\b(?:directive|enum|extend|fragment|implements|input|interface|mutation|on|query|repeatable|scalar|schema|subscription|type|union)\\b/,\n operator: /[!=|&]|\\.{3}/,\n 'property-query': /\\w+(?=\\s*\\()/,\n object: /\\w+(?=\\s*\\{)/,\n punctuation: /[!(){}\\[\\]:=,]/,\n property: /\\w+/\n }\n Prism.hooks.add('after-tokenize', function afterTokenizeGraphql(env) {\n if (env.language !== 'graphql') {\n return\n }\n /**\n * get the graphql token stream that we want to customize\n *\n * @typedef {InstanceType<import(\"./prism-core\")[\"Token\"]>} Token\n * @type {Token[]}\n */\n var validTokens = env.tokens.filter(function (token) {\n return (\n typeof token !== 'string' &&\n token.type !== 'comment' &&\n token.type !== 'scalar'\n )\n })\n var currentIndex = 0\n /**\n * Returns whether the token relative to the current index has the given type.\n *\n * @param {number} offset\n * @returns {Token | undefined}\n */\n function getToken(offset) {\n return validTokens[currentIndex + offset]\n }\n /**\n * Returns whether the token relative to the current index has the given type.\n *\n * @param {readonly string[]} types\n * @param {number} [offset=0]\n * @returns {boolean}\n */\n function isTokenType(types, offset) {\n offset = offset || 0\n for (var i = 0; i < types.length; i++) {\n var token = getToken(i + offset)\n if (!token || token.type !== types[i]) {\n return false\n }\n }\n return true\n }\n /**\n * Returns the index of the closing bracket to an opening bracket.\n *\n * It is assumed that `token[currentIndex - 1]` is an opening bracket.\n *\n * If no closing bracket could be found, `-1` will be returned.\n *\n * @param {RegExp} open\n * @param {RegExp} close\n * @returns {number}\n */\n function findClosingBracket(open, close) {\n var stackHeight = 1\n for (var i = currentIndex; i < validTokens.length; i++) {\n var token = validTokens[i]\n var content = token.content\n if (token.type === 'punctuation' && typeof content === 'string') {\n if (open.test(content)) {\n stackHeight++\n } else if (close.test(content)) {\n stackHeight--\n if (stackHeight === 0) {\n return i\n }\n }\n }\n }\n return -1\n }\n /**\n * Adds an alias to the given token.\n *\n * @param {Token} token\n * @param {string} alias\n * @returns {void}\n */\n function addAlias(token, alias) {\n var aliases = token.alias\n if (!aliases) {\n token.alias = aliases = []\n } else if (!Array.isArray(aliases)) {\n token.alias = aliases = [aliases]\n }\n aliases.push(alias)\n }\n for (; currentIndex < validTokens.length; ) {\n var startToken = validTokens[currentIndex++] // add special aliases for mutation tokens\n if (startToken.type === 'keyword' && startToken.content === 'mutation') {\n // any array of the names of all input variables (if any)\n var inputVariables = []\n if (\n isTokenType(['definition-mutation', 'punctuation']) &&\n getToken(1).content === '('\n ) {\n // definition\n currentIndex += 2 // skip 'definition-mutation' and 'punctuation'\n var definitionEnd = findClosingBracket(/^\\($/, /^\\)$/)\n if (definitionEnd === -1) {\n continue\n } // find all input variables\n for (; currentIndex < definitionEnd; currentIndex++) {\n var t = getToken(0)\n if (t.type === 'variable') {\n addAlias(t, 'variable-input')\n inputVariables.push(t.content)\n }\n }\n currentIndex = definitionEnd + 1\n }\n if (\n isTokenType(['punctuation', 'property-query']) &&\n getToken(0).content === '{'\n ) {\n currentIndex++ // skip opening bracket\n addAlias(getToken(0), 'property-mutation')\n if (inputVariables.length > 0) {\n var mutationEnd = findClosingBracket(/^\\{$/, /^\\}$/)\n if (mutationEnd === -1) {\n continue\n } // give references to input variables a special alias\n for (var i = currentIndex; i < mutationEnd; i++) {\n var varToken = validTokens[i]\n if (\n varToken.type === 'variable' &&\n inputVariables.indexOf(varToken.content) >= 0\n ) {\n addAlias(varToken, 'variable-input')\n }\n }\n }\n }\n }\n }\n })\n}\n","'use strict'\n\nmodule.exports = groovy\ngroovy.displayName = 'groovy'\ngroovy.aliases = []\nfunction groovy(Prism) {\n Prism.languages.groovy = Prism.languages.extend('clike', {\n string: [\n {\n // https://groovy-lang.org/syntax.html#_dollar_slashy_string\n pattern:\n /(\"\"\"|''')(?:[^\\\\]|\\\\[\\s\\S])*?\\1|\\$\\/(?:[^/$]|\\$(?:[/$]|(?![/$]))|\\/(?!\\$))*\\/\\$/,\n greedy: true\n },\n {\n // TODO: Slash strings (e.g. /foo/) can contain line breaks but this will cause a lot of trouble with\n // simple division (see JS regex), so find a fix maybe?\n pattern: /([\"'/])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n }\n ],\n keyword:\n /\\b(?:abstract|as|assert|boolean|break|byte|case|catch|char|class|const|continue|def|default|do|double|else|enum|extends|final|finally|float|for|goto|if|implements|import|in|instanceof|int|interface|long|native|new|package|private|protected|public|return|short|static|strictfp|super|switch|synchronized|this|throw|throws|trait|transient|try|void|volatile|while)\\b/,\n number:\n /\\b(?:0b[01_]+|0x[\\da-f_]+(?:\\.[\\da-f_p\\-]+)?|[\\d_]+(?:\\.[\\d_]+)?(?:e[+-]?\\d+)?)[glidf]?\\b/i,\n operator: {\n pattern:\n /(^|[^.])(?:~|==?~?|\\?[.:]?|\\*(?:[.=]|\\*=?)?|\\.[@&]|\\.\\.<|\\.\\.(?!\\.)|-[-=>]?|\\+[+=]?|!=?|<(?:<=?|=>?)?|>(?:>>?=?|=)?|&[&=]?|\\|[|=]?|\\/=?|\\^=?|%=?)/,\n lookbehind: true\n },\n punctuation: /\\.+|[{}[\\];(),:$]/\n })\n Prism.languages.insertBefore('groovy', 'string', {\n shebang: {\n pattern: /#!.+/,\n alias: 'comment'\n }\n })\n Prism.languages.insertBefore('groovy', 'punctuation', {\n 'spock-block': /\\b(?:and|cleanup|expect|given|setup|then|when|where):/\n })\n Prism.languages.insertBefore('groovy', 'function', {\n annotation: {\n pattern: /(^|[^.])@\\w+/,\n lookbehind: true,\n alias: 'punctuation'\n }\n }) // Handle string interpolation\n Prism.hooks.add('wrap', function (env) {\n if (env.language === 'groovy' && env.type === 'string') {\n var delimiter = env.content.value[0]\n if (delimiter != \"'\") {\n var pattern = /([^\\\\])(?:\\$(?:\\{.*?\\}|[\\w.]+))/\n if (delimiter === '$') {\n pattern = /([^\\$])(?:\\$(?:\\{.*?\\}|[\\w.]+))/\n } // To prevent double HTML-encoding we have to decode env.content first\n env.content.value = env.content.value\n .replace(/</g, '<')\n .replace(/&/g, '&')\n env.content = Prism.highlight(env.content.value, {\n expression: {\n pattern: pattern,\n lookbehind: true,\n inside: Prism.languages.groovy\n }\n })\n env.classes.push(delimiter === '/' ? 'regex' : 'gstring')\n }\n }\n })\n}\n","'use strict'\nvar refractorRuby = require('./ruby.js')\nmodule.exports = haml\nhaml.displayName = 'haml'\nhaml.aliases = []\nfunction haml(Prism) {\n Prism.register(refractorRuby)\n /* TODO\nHandle multiline code after tag\n%foo= some |\nmultiline |\ncode |\n*/\n ;(function (Prism) {\n Prism.languages.haml = {\n // Multiline stuff should appear before the rest\n 'multiline-comment': {\n pattern:\n /((?:^|\\r?\\n|\\r)([\\t ]*))(?:\\/|-#).*(?:(?:\\r?\\n|\\r)\\2[\\t ].+)*/,\n lookbehind: true,\n alias: 'comment'\n },\n 'multiline-code': [\n {\n pattern:\n /((?:^|\\r?\\n|\\r)([\\t ]*)(?:[~-]|[&!]?=)).*,[\\t ]*(?:(?:\\r?\\n|\\r)\\2[\\t ].*,[\\t ]*)*(?:(?:\\r?\\n|\\r)\\2[\\t ].+)/,\n lookbehind: true,\n inside: Prism.languages.ruby\n },\n {\n pattern:\n /((?:^|\\r?\\n|\\r)([\\t ]*)(?:[~-]|[&!]?=)).*\\|[\\t ]*(?:(?:\\r?\\n|\\r)\\2[\\t ].*\\|[\\t ]*)*/,\n lookbehind: true,\n inside: Prism.languages.ruby\n }\n ],\n // See at the end of the file for known filters\n filter: {\n pattern:\n /((?:^|\\r?\\n|\\r)([\\t ]*)):[\\w-]+(?:(?:\\r?\\n|\\r)(?:\\2[\\t ].+|\\s*?(?=\\r?\\n|\\r)))+/,\n lookbehind: true,\n inside: {\n 'filter-name': {\n pattern: /^:[\\w-]+/,\n alias: 'symbol'\n }\n }\n },\n markup: {\n pattern: /((?:^|\\r?\\n|\\r)[\\t ]*)<.+/,\n lookbehind: true,\n inside: Prism.languages.markup\n },\n doctype: {\n pattern: /((?:^|\\r?\\n|\\r)[\\t ]*)!!!(?: .+)?/,\n lookbehind: true\n },\n tag: {\n // Allows for one nested group of braces\n pattern:\n /((?:^|\\r?\\n|\\r)[\\t ]*)[%.#][\\w\\-#.]*[\\w\\-](?:\\([^)]+\\)|\\{(?:\\{[^}]+\\}|[^{}])+\\}|\\[[^\\]]+\\])*[\\/<>]*/,\n lookbehind: true,\n inside: {\n attributes: [\n {\n // Lookbehind tries to prevent interpolations from breaking it all\n // Allows for one nested group of braces\n pattern: /(^|[^#])\\{(?:\\{[^}]+\\}|[^{}])+\\}/,\n lookbehind: true,\n inside: Prism.languages.ruby\n },\n {\n pattern: /\\([^)]+\\)/,\n inside: {\n 'attr-value': {\n pattern: /(=\\s*)(?:\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|[^)\\s]+)/,\n lookbehind: true\n },\n 'attr-name': /[\\w:-]+(?=\\s*!?=|\\s*[,)])/,\n punctuation: /[=(),]/\n }\n },\n {\n pattern: /\\[[^\\]]+\\]/,\n inside: Prism.languages.ruby\n }\n ],\n punctuation: /[<>]/\n }\n },\n code: {\n pattern: /((?:^|\\r?\\n|\\r)[\\t ]*(?:[~-]|[&!]?=)).+/,\n lookbehind: true,\n inside: Prism.languages.ruby\n },\n // Interpolations in plain text\n interpolation: {\n pattern: /#\\{[^}]+\\}/,\n inside: {\n delimiter: {\n pattern: /^#\\{|\\}$/,\n alias: 'punctuation'\n },\n ruby: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.ruby\n }\n }\n },\n punctuation: {\n pattern: /((?:^|\\r?\\n|\\r)[\\t ]*)[~=\\-&!]+/,\n lookbehind: true\n }\n }\n var filter_pattern =\n '((?:^|\\\\r?\\\\n|\\\\r)([\\\\t ]*)):{{filter_name}}(?:(?:\\\\r?\\\\n|\\\\r)(?:\\\\2[\\\\t ].+|\\\\s*?(?=\\\\r?\\\\n|\\\\r)))+' // Non exhaustive list of available filters and associated languages\n var filters = [\n 'css',\n {\n filter: 'coffee',\n language: 'coffeescript'\n },\n 'erb',\n 'javascript',\n 'less',\n 'markdown',\n 'ruby',\n 'scss',\n 'textile'\n ]\n var all_filters = {}\n for (var i = 0, l = filters.length; i < l; i++) {\n var filter = filters[i]\n filter =\n typeof filter === 'string'\n ? {\n filter: filter,\n language: filter\n }\n : filter\n if (Prism.languages[filter.language]) {\n all_filters['filter-' + filter.filter] = {\n pattern: RegExp(\n filter_pattern.replace('{{filter_name}}', function () {\n return filter.filter\n })\n ),\n lookbehind: true,\n inside: {\n 'filter-name': {\n pattern: /^:[\\w-]+/,\n alias: 'symbol'\n },\n text: {\n pattern: /[\\s\\S]+/,\n alias: [filter.language, 'language-' + filter.language],\n inside: Prism.languages[filter.language]\n }\n }\n }\n }\n }\n Prism.languages.insertBefore('haml', 'filter', all_filters)\n })(Prism)\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = handlebars\nhandlebars.displayName = 'handlebars'\nhandlebars.aliases = ['hbs']\nfunction handlebars(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.handlebars = {\n comment: /\\{\\{![\\s\\S]*?\\}\\}/,\n delimiter: {\n pattern: /^\\{\\{\\{?|\\}\\}\\}?$/,\n alias: 'punctuation'\n },\n string: /([\"'])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n number: /\\b0x[\\dA-Fa-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee][+-]?\\d+)?/,\n boolean: /\\b(?:false|true)\\b/,\n block: {\n pattern: /^(\\s*(?:~\\s*)?)[#\\/]\\S+?(?=\\s*(?:~\\s*)?$|\\s)/,\n lookbehind: true,\n alias: 'keyword'\n },\n brackets: {\n pattern: /\\[[^\\]]+\\]/,\n inside: {\n punctuation: /\\[|\\]/,\n variable: /[\\s\\S]+/\n }\n },\n punctuation: /[!\"#%&':()*+,.\\/;<=>@\\[\\\\\\]^`{|}~]/,\n variable: /[^!\"#%&'()*+,\\/;<=>@\\[\\\\\\]^`{|}~\\s]+/\n }\n Prism.hooks.add('before-tokenize', function (env) {\n var handlebarsPattern = /\\{\\{\\{[\\s\\S]+?\\}\\}\\}|\\{\\{[\\s\\S]+?\\}\\}/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'handlebars',\n handlebarsPattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(\n env,\n 'handlebars'\n )\n })\n Prism.languages.hbs = Prism.languages.handlebars\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = haskell\nhaskell.displayName = 'haskell'\nhaskell.aliases = ['hs']\nfunction haskell(Prism) {\n Prism.languages.haskell = {\n comment: {\n pattern:\n /(^|[^-!#$%*+=?&@|~.:<>^\\\\\\/])(?:--(?:(?=.)[^-!#$%*+=?&@|~.:<>^\\\\\\/].*|$)|\\{-[\\s\\S]*?-\\})/m,\n lookbehind: true\n },\n char: {\n pattern:\n /'(?:[^\\\\']|\\\\(?:[abfnrtv\\\\\"'&]|\\^[A-Z@[\\]^_]|ACK|BEL|BS|CAN|CR|DC1|DC2|DC3|DC4|DEL|DLE|EM|ENQ|EOT|ESC|ETB|ETX|FF|FS|GS|HT|LF|NAK|NUL|RS|SI|SO|SOH|SP|STX|SUB|SYN|US|VT|\\d+|o[0-7]+|x[0-9a-fA-F]+))'/,\n alias: 'string'\n },\n string: {\n pattern: /\"(?:[^\\\\\"]|\\\\(?:\\S|\\s+\\\\))*\"/,\n greedy: true\n },\n keyword:\n /\\b(?:case|class|data|deriving|do|else|if|in|infixl|infixr|instance|let|module|newtype|of|primitive|then|type|where)\\b/,\n 'import-statement': {\n // The imported or hidden names are not included in this import\n // statement. This is because we want to highlight those exactly like\n // we do for the names in the program.\n pattern:\n /(^[\\t ]*)import\\s+(?:qualified\\s+)?(?:[A-Z][\\w']*)(?:\\.[A-Z][\\w']*)*(?:\\s+as\\s+(?:[A-Z][\\w']*)(?:\\.[A-Z][\\w']*)*)?(?:\\s+hiding\\b)?/m,\n lookbehind: true,\n inside: {\n keyword: /\\b(?:as|hiding|import|qualified)\\b/,\n punctuation: /\\./\n }\n },\n // These are builtin variables only. Constructors are highlighted later as a constant.\n builtin:\n /\\b(?:abs|acos|acosh|all|and|any|appendFile|approxRational|asTypeOf|asin|asinh|atan|atan2|atanh|basicIORun|break|catch|ceiling|chr|compare|concat|concatMap|const|cos|cosh|curry|cycle|decodeFloat|denominator|digitToInt|div|divMod|drop|dropWhile|either|elem|encodeFloat|enumFrom|enumFromThen|enumFromThenTo|enumFromTo|error|even|exp|exponent|fail|filter|flip|floatDigits|floatRadix|floatRange|floor|fmap|foldl|foldl1|foldr|foldr1|fromDouble|fromEnum|fromInt|fromInteger|fromIntegral|fromRational|fst|gcd|getChar|getContents|getLine|group|head|id|inRange|index|init|intToDigit|interact|ioError|isAlpha|isAlphaNum|isAscii|isControl|isDenormalized|isDigit|isHexDigit|isIEEE|isInfinite|isLower|isNaN|isNegativeZero|isOctDigit|isPrint|isSpace|isUpper|iterate|last|lcm|length|lex|lexDigits|lexLitChar|lines|log|logBase|lookup|map|mapM|mapM_|max|maxBound|maximum|maybe|min|minBound|minimum|mod|negate|not|notElem|null|numerator|odd|or|ord|otherwise|pack|pi|pred|primExitWith|print|product|properFraction|putChar|putStr|putStrLn|quot|quotRem|range|rangeSize|read|readDec|readFile|readFloat|readHex|readIO|readInt|readList|readLitChar|readLn|readOct|readParen|readSigned|reads|readsPrec|realToFrac|recip|rem|repeat|replicate|return|reverse|round|scaleFloat|scanl|scanl1|scanr|scanr1|seq|sequence|sequence_|show|showChar|showInt|showList|showLitChar|showParen|showSigned|showString|shows|showsPrec|significand|signum|sin|sinh|snd|sort|span|splitAt|sqrt|subtract|succ|sum|tail|take|takeWhile|tan|tanh|threadToIOResult|toEnum|toInt|toInteger|toLower|toRational|toUpper|truncate|uncurry|undefined|unlines|until|unwords|unzip|unzip3|userError|words|writeFile|zip|zip3|zipWith|zipWith3)\\b/,\n // decimal integers and floating point numbers | octal integers | hexadecimal integers\n number: /\\b(?:\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?|0o[0-7]+|0x[0-9a-f]+)\\b/i,\n operator: [\n {\n // infix operator\n pattern: /`(?:[A-Z][\\w']*\\.)*[_a-z][\\w']*`/,\n greedy: true\n },\n {\n // function composition\n pattern: /(\\s)\\.(?=\\s)/,\n lookbehind: true\n }, // Most of this is needed because of the meaning of a single '.'.\n // If it stands alone freely, it is the function composition.\n // It may also be a separator between a module name and an identifier => no\n // operator. If it comes together with other special characters it is an\n // operator too.\n //\n // This regex means: /[-!#$%*+=?&@|~.:<>^\\\\\\/]+/ without /\\./.\n /[-!#$%*+=?&@|~:<>^\\\\\\/][-!#$%*+=?&@|~.:<>^\\\\\\/]*|\\.[-!#$%*+=?&@|~.:<>^\\\\\\/]+/\n ],\n // In Haskell, nearly everything is a variable, do not highlight these.\n hvariable: {\n pattern: /\\b(?:[A-Z][\\w']*\\.)*[_a-z][\\w']*/,\n inside: {\n punctuation: /\\./\n }\n },\n constant: {\n pattern: /\\b(?:[A-Z][\\w']*\\.)*[A-Z][\\w']*/,\n inside: {\n punctuation: /\\./\n }\n },\n punctuation: /[{}[\\];(),.:]/\n }\n Prism.languages.hs = Prism.languages.haskell\n}\n","'use strict'\n\nmodule.exports = haxe\nhaxe.displayName = 'haxe'\nhaxe.aliases = []\nfunction haxe(Prism) {\n Prism.languages.haxe = Prism.languages.extend('clike', {\n string: {\n // Strings can be multi-line\n pattern: /\"(?:[^\"\\\\]|\\\\[\\s\\S])*\"/,\n greedy: true\n },\n 'class-name': [\n {\n pattern:\n /(\\b(?:abstract|class|enum|extends|implements|interface|new|typedef)\\s+)[A-Z_]\\w*/,\n lookbehind: true\n }, // based on naming convention\n /\\b[A-Z]\\w*/\n ],\n // The final look-ahead prevents highlighting of keywords if expressions such as \"haxe.macro.Expr\"\n keyword:\n /\\bthis\\b|\\b(?:abstract|as|break|case|cast|catch|class|continue|default|do|dynamic|else|enum|extends|extern|final|for|from|function|if|implements|import|in|inline|interface|macro|new|null|operator|overload|override|package|private|public|return|static|super|switch|throw|to|try|typedef|untyped|using|var|while)(?!\\.)\\b/,\n function: {\n pattern: /\\b[a-z_]\\w*(?=\\s*(?:<[^<>]*>\\s*)?\\()/i,\n greedy: true\n },\n operator: /\\.{3}|\\+\\+|--|&&|\\|\\||->|=>|(?:<<?|>{1,3}|[-+*/%!=&|^])=?|[?:~]/\n })\n Prism.languages.insertBefore('haxe', 'string', {\n 'string-interpolation': {\n pattern: /'(?:[^'\\\\]|\\\\[\\s\\S])*'/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /(^|[^\\\\])\\$(?:\\w+|\\{[^{}]+\\})/,\n lookbehind: true,\n inside: {\n 'interpolation-punctuation': {\n pattern: /^\\$\\{?|\\}$/,\n alias: 'punctuation'\n },\n expression: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.haxe\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n Prism.languages.insertBefore('haxe', 'class-name', {\n regex: {\n pattern: /~\\/(?:[^\\/\\\\\\r\\n]|\\\\.)+\\/[a-z]*/,\n greedy: true,\n inside: {\n 'regex-flags': /\\b[a-z]+$/,\n 'regex-source': {\n pattern: /^(~\\/)[\\s\\S]+(?=\\/$)/,\n lookbehind: true,\n alias: 'language-regex',\n inside: Prism.languages.regex\n },\n 'regex-delimiter': /^~\\/|\\/$/\n }\n }\n })\n Prism.languages.insertBefore('haxe', 'keyword', {\n preprocessor: {\n pattern: /#(?:else|elseif|end|if)\\b.*/,\n alias: 'property'\n },\n metadata: {\n pattern: /@:?[\\w.]+/,\n alias: 'symbol'\n },\n reification: {\n pattern: /\\$(?:\\w+|(?=\\{))/,\n alias: 'important'\n }\n })\n}\n","'use strict'\n\nmodule.exports = hcl\nhcl.displayName = 'hcl'\nhcl.aliases = []\nfunction hcl(Prism) {\n Prism.languages.hcl = {\n comment: /(?:\\/\\/|#).*|\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n heredoc: {\n pattern: /<<-?(\\w+\\b)[\\s\\S]*?^[ \\t]*\\1/m,\n greedy: true,\n alias: 'string'\n },\n keyword: [\n {\n pattern:\n /(?:data|resource)\\s+(?:\"(?:\\\\[\\s\\S]|[^\\\\\"])*\")(?=\\s+\"[\\w-]+\"\\s+\\{)/i,\n inside: {\n type: {\n pattern: /(resource|data|\\s+)(?:\"(?:\\\\[\\s\\S]|[^\\\\\"])*\")/i,\n lookbehind: true,\n alias: 'variable'\n }\n }\n },\n {\n pattern:\n /(?:backend|module|output|provider|provisioner|variable)\\s+(?:[\\w-]+|\"(?:\\\\[\\s\\S]|[^\\\\\"])*\")\\s+(?=\\{)/i,\n inside: {\n type: {\n pattern:\n /(backend|module|output|provider|provisioner|variable)\\s+(?:[\\w-]+|\"(?:\\\\[\\s\\S]|[^\\\\\"])*\")\\s+/i,\n lookbehind: true,\n alias: 'variable'\n }\n }\n },\n /[\\w-]+(?=\\s+\\{)/\n ],\n property: [/[-\\w\\.]+(?=\\s*=(?!=))/, /\"(?:\\\\[\\s\\S]|[^\\\\\"])+\"(?=\\s*[:=])/],\n string: {\n pattern:\n /\"(?:[^\\\\$\"]|\\\\[\\s\\S]|\\$(?:(?=\")|\\$+(?!\\$)|[^\"${])|\\$\\{(?:[^{}\"]|\"(?:[^\\\\\"]|\\\\[\\s\\S])*\")*\\})*\"/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /(^|[^$])\\$\\{(?:[^{}\"]|\"(?:[^\\\\\"]|\\\\[\\s\\S])*\")*\\}/,\n lookbehind: true,\n inside: {\n type: {\n pattern:\n /(\\b(?:count|data|local|module|path|self|terraform|var)\\b\\.)[\\w\\*]+/i,\n lookbehind: true,\n alias: 'variable'\n },\n keyword: /\\b(?:count|data|local|module|path|self|terraform|var)\\b/i,\n function: /\\w+(?=\\()/,\n string: {\n pattern: /\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"/,\n greedy: true\n },\n number: /\\b0x[\\da-f]+\\b|\\b\\d+(?:\\.\\d*)?(?:e[+-]?\\d+)?/i,\n punctuation: /[!\\$#%&'()*+,.\\/;<=>@\\[\\\\\\]^`{|}~?:]/\n }\n }\n }\n },\n number: /\\b0x[\\da-f]+\\b|\\b\\d+(?:\\.\\d*)?(?:e[+-]?\\d+)?/i,\n boolean: /\\b(?:false|true)\\b/i,\n punctuation: /[=\\[\\]{}]/\n }\n}\n","'use strict'\nvar refractorC = require('./c.js')\nmodule.exports = hlsl\nhlsl.displayName = 'hlsl'\nhlsl.aliases = []\nfunction hlsl(Prism) {\n Prism.register(refractorC)\n Prism.languages.hlsl = Prism.languages.extend('c', {\n // Regarding keywords and class names:\n // The list of all keywords was split into 'keyword' and 'class-name' tokens based on whether they are capitalized.\n // https://docs.microsoft.com/en-us/windows/win32/direct3dhlsl/dx-graphics-hlsl-appendix-keywords\n // https://docs.microsoft.com/en-us/windows/win32/direct3dhlsl/dx-graphics-hlsl-appendix-reserved-words\n 'class-name': [\n Prism.languages.c['class-name'],\n /\\b(?:AppendStructuredBuffer|BlendState|Buffer|ByteAddressBuffer|CompileShader|ComputeShader|ConsumeStructuredBuffer|DepthStencilState|DepthStencilView|DomainShader|GeometryShader|Hullshader|InputPatch|LineStream|OutputPatch|PixelShader|PointStream|RWBuffer|RWByteAddressBuffer|RWStructuredBuffer|RWTexture(?:1D|1DArray|2D|2DArray|3D)|RasterizerState|RenderTargetView|SamplerComparisonState|SamplerState|StructuredBuffer|Texture(?:1D|1DArray|2D|2DArray|2DMS|2DMSArray|3D|Cube|CubeArray)|TriangleStream|VertexShader)\\b/\n ],\n keyword: [\n // HLSL keyword\n /\\b(?:asm|asm_fragment|auto|break|case|catch|cbuffer|centroid|char|class|column_major|compile|compile_fragment|const|const_cast|continue|default|delete|discard|do|dynamic_cast|else|enum|explicit|export|extern|for|friend|fxgroup|goto|groupshared|if|in|inline|inout|interface|line|lineadj|linear|long|matrix|mutable|namespace|new|nointerpolation|noperspective|operator|out|packoffset|pass|pixelfragment|point|precise|private|protected|public|register|reinterpret_cast|return|row_major|sample|sampler|shared|short|signed|sizeof|snorm|stateblock|stateblock_state|static|static_cast|string|struct|switch|tbuffer|technique|technique10|technique11|template|texture|this|throw|triangle|triangleadj|try|typedef|typename|uniform|union|unorm|unsigned|using|vector|vertexfragment|virtual|void|volatile|while)\\b/, // scalar, vector, and matrix types\n /\\b(?:bool|double|dword|float|half|int|min(?:10float|12int|16(?:float|int|uint))|uint)(?:[1-4](?:x[1-4])?)?\\b/\n ],\n // https://docs.microsoft.com/en-us/windows/win32/direct3dhlsl/dx-graphics-hlsl-appendix-grammar#floating-point-numbers\n number:\n /(?:(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[eE][+-]?\\d+)?|\\b0x[\\da-fA-F]+)[fFhHlLuU]?\\b/,\n boolean: /\\b(?:false|true)\\b/\n })\n}\n","'use strict'\n\nmodule.exports = hoon\nhoon.displayName = 'hoon'\nhoon.aliases = []\nfunction hoon(Prism) {\n Prism.languages.hoon = {\n comment: {\n pattern: /::.*/,\n greedy: true\n },\n string: {\n pattern: /\"[^\"]*\"|'[^']*'/,\n greedy: true\n },\n constant: /%(?:\\.[ny]|[\\w-]+)/,\n 'class-name': /@(?:[a-z0-9-]*[a-z0-9])?|\\*/i,\n function: /(?:\\+[-+] {2})?(?:[a-z](?:[a-z0-9-]*[a-z0-9])?)/,\n keyword:\n /\\.[\\^\\+\\*=\\?]|![><:\\.=\\?!]|=[>|:,\\.\\-\\^<+;/~\\*\\?]|\\?[>|:\\.\\-\\^<\\+&~=@!]|\\|[\\$_%:\\.\\-\\^~\\*=@\\?]|\\+[|\\$\\+\\*]|:[_\\-\\^\\+~\\*]|%[_:\\.\\-\\^\\+~\\*=]|\\^[|:\\.\\-\\+&~\\*=\\?]|\\$[|_%:<>\\-\\^&~@=\\?]|;[:<\\+;\\/~\\*=]|~[>|\\$_%<\\+\\/&=\\?!]|--|==/\n }\n}\n","'use strict'\n\nmodule.exports = hpkp\nhpkp.displayName = 'hpkp'\nhpkp.aliases = []\nfunction hpkp(Prism) {\n /**\n * Original by Scott Helme.\n *\n * Reference: https://scotthelme.co.uk/hpkp-cheat-sheet/\n */\n Prism.languages.hpkp = {\n directive: {\n pattern:\n /\\b(?:includeSubDomains|max-age|pin-sha256|preload|report-to|report-uri|strict)(?=[\\s;=]|$)/i,\n alias: 'property'\n },\n operator: /=/,\n punctuation: /;/\n }\n}\n","'use strict'\n\nmodule.exports = hsts\nhsts.displayName = 'hsts'\nhsts.aliases = []\nfunction hsts(Prism) {\n /**\n * Original by Scott Helme.\n *\n * Reference: https://scotthelme.co.uk/hsts-cheat-sheet/\n */\n Prism.languages.hsts = {\n directive: {\n pattern: /\\b(?:includeSubDomains|max-age|preload)(?=[\\s;=]|$)/i,\n alias: 'property'\n },\n operator: /=/,\n punctuation: /;/\n }\n}\n","'use strict'\n\nmodule.exports = http\nhttp.displayName = 'http'\nhttp.aliases = []\nfunction http(Prism) {\n ;(function (Prism) {\n /**\n * @param {string} name\n * @returns {RegExp}\n */\n function headerValueOf(name) {\n return RegExp('(^(?:' + name + '):[ \\t]*(?![ \\t]))[^]+', 'i')\n }\n Prism.languages.http = {\n 'request-line': {\n pattern:\n /^(?:CONNECT|DELETE|GET|HEAD|OPTIONS|PATCH|POST|PRI|PUT|SEARCH|TRACE)\\s(?:https?:\\/\\/|\\/)\\S*\\sHTTP\\/[\\d.]+/m,\n inside: {\n // HTTP Method\n method: {\n pattern: /^[A-Z]+\\b/,\n alias: 'property'\n },\n // Request Target e.g. http://example.com, /path/to/file\n 'request-target': {\n pattern: /^(\\s)(?:https?:\\/\\/|\\/)\\S*(?=\\s)/,\n lookbehind: true,\n alias: 'url',\n inside: Prism.languages.uri\n },\n // HTTP Version\n 'http-version': {\n pattern: /^(\\s)HTTP\\/[\\d.]+/,\n lookbehind: true,\n alias: 'property'\n }\n }\n },\n 'response-status': {\n pattern: /^HTTP\\/[\\d.]+ \\d+ .+/m,\n inside: {\n // HTTP Version\n 'http-version': {\n pattern: /^HTTP\\/[\\d.]+/,\n alias: 'property'\n },\n // Status Code\n 'status-code': {\n pattern: /^(\\s)\\d+(?=\\s)/,\n lookbehind: true,\n alias: 'number'\n },\n // Reason Phrase\n 'reason-phrase': {\n pattern: /^(\\s).+/,\n lookbehind: true,\n alias: 'string'\n }\n }\n },\n header: {\n pattern: /^[\\w-]+:.+(?:(?:\\r\\n?|\\n)[ \\t].+)*/m,\n inside: {\n 'header-value': [\n {\n pattern: headerValueOf(/Content-Security-Policy/.source),\n lookbehind: true,\n alias: ['csp', 'languages-csp'],\n inside: Prism.languages.csp\n },\n {\n pattern: headerValueOf(/Public-Key-Pins(?:-Report-Only)?/.source),\n lookbehind: true,\n alias: ['hpkp', 'languages-hpkp'],\n inside: Prism.languages.hpkp\n },\n {\n pattern: headerValueOf(/Strict-Transport-Security/.source),\n lookbehind: true,\n alias: ['hsts', 'languages-hsts'],\n inside: Prism.languages.hsts\n },\n {\n pattern: headerValueOf(/[^:]+/.source),\n lookbehind: true\n }\n ],\n 'header-name': {\n pattern: /^[^:]+/,\n alias: 'keyword'\n },\n punctuation: /^:/\n }\n }\n } // Create a mapping of Content-Type headers to language definitions\n var langs = Prism.languages\n var httpLanguages = {\n 'application/javascript': langs.javascript,\n 'application/json': langs.json || langs.javascript,\n 'application/xml': langs.xml,\n 'text/xml': langs.xml,\n 'text/html': langs.html,\n 'text/css': langs.css,\n 'text/plain': langs.plain\n } // Declare which types can also be suffixes\n var suffixTypes = {\n 'application/json': true,\n 'application/xml': true\n }\n /**\n * Returns a pattern for the given content type which matches it and any type which has it as a suffix.\n *\n * @param {string} contentType\n * @returns {string}\n */\n function getSuffixPattern(contentType) {\n var suffix = contentType.replace(/^[a-z]+\\//, '')\n var suffixPattern = '\\\\w+/(?:[\\\\w.-]+\\\\+)+' + suffix + '(?![+\\\\w.-])'\n return '(?:' + contentType + '|' + suffixPattern + ')'\n } // Insert each content type parser that has its associated language\n // currently loaded.\n var options\n for (var contentType in httpLanguages) {\n if (httpLanguages[contentType]) {\n options = options || {}\n var pattern = suffixTypes[contentType]\n ? getSuffixPattern(contentType)\n : contentType\n options[contentType.replace(/\\//g, '-')] = {\n pattern: RegExp(\n '(' +\n /content-type:\\s*/.source +\n pattern +\n /(?:(?:\\r\\n?|\\n)[\\w-].*)*(?:\\r(?:\\n|(?!\\n))|\\n)/.source +\n ')' + // This is a little interesting:\n // The HTTP format spec required 1 empty line before the body to make everything unambiguous.\n // However, when writing code by hand (e.g. to display on a website) people can forget about this,\n // so we want to be liberal here. We will allow the empty line to be omitted if the first line of\n // the body does not start with a [\\w-] character (as headers do).\n /[^ \\t\\w-][\\s\\S]*/.source,\n 'i'\n ),\n lookbehind: true,\n inside: httpLanguages[contentType]\n }\n }\n }\n if (options) {\n Prism.languages.insertBefore('http', 'header', options)\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = ichigojam\nichigojam.displayName = 'ichigojam'\nichigojam.aliases = []\nfunction ichigojam(Prism) {\n // according to the offical reference (EN)\n // https://ichigojam.net/IchigoJam-en.html\n Prism.languages.ichigojam = {\n comment: /(?:\\B'|REM)(?:[^\\n\\r]*)/i,\n string: {\n pattern: /\"(?:\"\"|[!#$%&'()*,\\/:;<=>?^\\w +\\-.])*\"/,\n greedy: true\n },\n number: /\\B#[0-9A-F]+|\\B`[01]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:E[+-]?\\d+)?/i,\n keyword:\n /\\b(?:BEEP|BPS|CASE|CLEAR|CLK|CLO|CLP|CLS|CLT|CLV|CONT|COPY|ELSE|END|FILE|FILES|FOR|GOSUB|GOTO|GSB|IF|INPUT|KBD|LED|LET|LIST|LOAD|LOCATE|LRUN|NEW|NEXT|OUT|PLAY|POKE|PRINT|PWM|REM|RENUM|RESET|RETURN|RIGHT|RTN|RUN|SAVE|SCROLL|SLEEP|SRND|STEP|STOP|SUB|TEMPO|THEN|TO|UART|VIDEO|WAIT)(?:\\$|\\b)/i,\n function:\n /\\b(?:ABS|ANA|ASC|BIN|BTN|DEC|END|FREE|HELP|HEX|I2CR|I2CW|IN|INKEY|LEN|LINE|PEEK|RND|SCR|SOUND|STR|TICK|USR|VER|VPEEK|ZER)(?:\\$|\\b)/i,\n label: /(?:\\B@\\S+)/,\n operator: /<[=>]?|>=?|\\|\\||&&|[+\\-*\\/=|&^~!]|\\b(?:AND|NOT|OR)\\b/i,\n punctuation: /[\\[,;:()\\]]/\n }\n}\n","'use strict'\n\nmodule.exports = icon\nicon.displayName = 'icon'\nicon.aliases = []\nfunction icon(Prism) {\n Prism.languages.icon = {\n comment: /#.*/,\n string: {\n pattern: /([\"'])(?:(?!\\1)[^\\\\\\r\\n_]|\\\\.|_(?!\\1)(?:\\r\\n|[\\s\\S]))*\\1/,\n greedy: true\n },\n number: /\\b(?:\\d+r[a-z\\d]+|\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?)\\b|\\.\\d+\\b/i,\n 'builtin-keyword': {\n pattern:\n /&(?:allocated|ascii|clock|collections|cset|current|date|dateline|digits|dump|e|error(?:number|text|value)?|errout|fail|features|file|host|input|lcase|letters|level|line|main|null|output|phi|pi|pos|progname|random|regions|source|storage|subject|time|trace|ucase|version)\\b/,\n alias: 'variable'\n },\n directive: {\n pattern: /\\$\\w+/,\n alias: 'builtin'\n },\n keyword:\n /\\b(?:break|by|case|create|default|do|else|end|every|fail|global|if|initial|invocable|link|local|next|not|of|procedure|record|repeat|return|static|suspend|then|to|until|while)\\b/,\n function: /\\b(?!\\d)\\w+(?=\\s*[({]|\\s*!\\s*\\[)/,\n operator:\n /[+-]:(?!=)|(?:[\\/?@^%&]|\\+\\+?|--?|==?=?|~==?=?|\\*\\*?|\\|\\|\\|?|<(?:->?|<?=?)|>>?=?)(?::=)?|:(?:=:?)?|[!.\\\\|~]/,\n punctuation: /[\\[\\](){},;]/\n }\n}\n","'use strict'\n\nmodule.exports = icuMessageFormat\nicuMessageFormat.displayName = 'icuMessageFormat'\nicuMessageFormat.aliases = []\nfunction icuMessageFormat(Prism) {\n // https://unicode-org.github.io/icu/userguide/format_parse/messages/\n // https://unicode-org.github.io/icu-docs/apidoc/released/icu4j/com/ibm/icu/text/MessageFormat.html\n ;(function (Prism) {\n /**\n * @param {string} source\n * @param {number} level\n * @returns {string}\n */\n function nested(source, level) {\n if (level <= 0) {\n return /[]/.source\n } else {\n return source.replace(/<SELF>/g, function () {\n return nested(source, level - 1)\n })\n }\n }\n var stringPattern = /'[{}:=,](?:[^']|'')*'(?!')/\n var escape = {\n pattern: /''/,\n greedy: true,\n alias: 'operator'\n }\n var string = {\n pattern: stringPattern,\n greedy: true,\n inside: {\n escape: escape\n }\n }\n var argumentSource = nested(\n /\\{(?:[^{}']|'(?![{},'])|''|<STR>|<SELF>)*\\}/.source.replace(\n /<STR>/g,\n function () {\n return stringPattern.source\n }\n ),\n 8\n )\n var nestedMessage = {\n pattern: RegExp(argumentSource),\n inside: {\n message: {\n pattern: /^(\\{)[\\s\\S]+(?=\\}$)/,\n lookbehind: true,\n inside: null // see below\n },\n 'message-delimiter': {\n pattern: /./,\n alias: 'punctuation'\n }\n }\n }\n Prism.languages['icu-message-format'] = {\n argument: {\n pattern: RegExp(argumentSource),\n greedy: true,\n inside: {\n content: {\n pattern: /^(\\{)[\\s\\S]+(?=\\}$)/,\n lookbehind: true,\n inside: {\n 'argument-name': {\n pattern: /^(\\s*)[^{}:=,\\s]+/,\n lookbehind: true\n },\n 'choice-style': {\n // https://unicode-org.github.io/icu-docs/apidoc/released/icu4c/classicu_1_1ChoiceFormat.html#details\n pattern: /^(\\s*,\\s*choice\\s*,\\s*)\\S(?:[\\s\\S]*\\S)?/,\n lookbehind: true,\n inside: {\n punctuation: /\\|/,\n range: {\n pattern: /^(\\s*)[+-]?(?:\\d+(?:\\.\\d*)?|\\u221e)\\s*[<#\\u2264]/,\n lookbehind: true,\n inside: {\n operator: /[<#\\u2264]/,\n number: /\\S+/\n }\n },\n rest: null // see below\n }\n },\n 'plural-style': {\n // https://unicode-org.github.io/icu-docs/apidoc/released/icu4j/com/ibm/icu/text/PluralFormat.html#:~:text=Patterns%20and%20Their%20Interpretation\n pattern:\n /^(\\s*,\\s*(?:plural|selectordinal)\\s*,\\s*)\\S(?:[\\s\\S]*\\S)?/,\n lookbehind: true,\n inside: {\n offset: /^offset:\\s*\\d+/,\n 'nested-message': nestedMessage,\n selector: {\n pattern: /=\\d+|[^{}:=,\\s]+/,\n inside: {\n keyword: /^(?:few|many|one|other|two|zero)$/\n }\n }\n }\n },\n 'select-style': {\n // https://unicode-org.github.io/icu-docs/apidoc/released/icu4j/com/ibm/icu/text/SelectFormat.html#:~:text=Patterns%20and%20Their%20Interpretation\n pattern: /^(\\s*,\\s*select\\s*,\\s*)\\S(?:[\\s\\S]*\\S)?/,\n lookbehind: true,\n inside: {\n 'nested-message': nestedMessage,\n selector: {\n pattern: /[^{}:=,\\s]+/,\n inside: {\n keyword: /^other$/\n }\n }\n }\n },\n keyword: /\\b(?:choice|plural|select|selectordinal)\\b/,\n 'arg-type': {\n pattern: /\\b(?:date|duration|number|ordinal|spellout|time)\\b/,\n alias: 'keyword'\n },\n 'arg-skeleton': {\n pattern: /(,\\s*)::[^{}:=,\\s]+/,\n lookbehind: true\n },\n 'arg-style': {\n pattern:\n /(,\\s*)(?:currency|full|integer|long|medium|percent|short)(?=\\s*$)/,\n lookbehind: true\n },\n 'arg-style-text': {\n pattern: RegExp(\n /(^\\s*,\\s*(?=\\S))/.source +\n nested(/(?:[^{}']|'[^']*'|\\{(?:<SELF>)?\\})+/.source, 8) +\n '$'\n ),\n lookbehind: true,\n alias: 'string'\n },\n punctuation: /,/\n }\n },\n 'argument-delimiter': {\n pattern: /./,\n alias: 'operator'\n }\n }\n },\n escape: escape,\n string: string\n }\n nestedMessage.inside.message.inside = Prism.languages['icu-message-format']\n Prism.languages['icu-message-format'].argument.inside.content.inside[\n 'choice-style'\n ].inside.rest = Prism.languages['icu-message-format']\n })(Prism)\n}\n","'use strict'\nvar refractorHaskell = require('./haskell.js')\nmodule.exports = idris\nidris.displayName = 'idris'\nidris.aliases = ['idr']\nfunction idris(Prism) {\n Prism.register(refractorHaskell)\n Prism.languages.idris = Prism.languages.extend('haskell', {\n comment: {\n pattern: /(?:(?:--|\\|\\|\\|).*$|\\{-[\\s\\S]*?-\\})/m\n },\n keyword:\n /\\b(?:Type|case|class|codata|constructor|corecord|data|do|dsl|else|export|if|implementation|implicit|import|impossible|in|infix|infixl|infixr|instance|interface|let|module|mutual|namespace|of|parameters|partial|postulate|private|proof|public|quoteGoal|record|rewrite|syntax|then|total|using|where|with)\\b/,\n builtin: undefined\n })\n Prism.languages.insertBefore('idris', 'keyword', {\n 'import-statement': {\n pattern: /(^\\s*import\\s+)(?:[A-Z][\\w']*)(?:\\.[A-Z][\\w']*)*/m,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n }\n })\n Prism.languages.idr = Prism.languages.idris\n}\n","'use strict'\n\nmodule.exports = iecst\niecst.displayName = 'iecst'\niecst.aliases = []\nfunction iecst(Prism) {\n Prism.languages.iecst = {\n comment: [\n {\n pattern:\n /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?(?:\\*\\/|$)|\\(\\*[\\s\\S]*?(?:\\*\\)|$)|\\{[\\s\\S]*?(?:\\}|$))/,\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n keyword: [\n /\\b(?:END_)?(?:PROGRAM|CONFIGURATION|INTERFACE|FUNCTION_BLOCK|FUNCTION|ACTION|TRANSITION|TYPE|STRUCT|(?:INITIAL_)?STEP|NAMESPACE|LIBRARY|CHANNEL|FOLDER|RESOURCE|VAR_(?:ACCESS|CONFIG|EXTERNAL|GLOBAL|INPUT|IN_OUT|OUTPUT|TEMP)|VAR|METHOD|PROPERTY)\\b/i,\n /\\b(?:AT|BY|(?:END_)?(?:CASE|FOR|IF|REPEAT|WHILE)|CONSTANT|CONTINUE|DO|ELSE|ELSIF|EXIT|EXTENDS|FROM|GET|GOTO|IMPLEMENTS|JMP|NON_RETAIN|OF|PRIVATE|PROTECTED|PUBLIC|RETAIN|RETURN|SET|TASK|THEN|TO|UNTIL|USING|WITH|__CATCH|__ENDTRY|__FINALLY|__TRY)\\b/\n ],\n 'class-name':\n /\\b(?:ANY|ARRAY|BOOL|BYTE|U?(?:D|L|S)?INT|(?:D|L)?WORD|DATE(?:_AND_TIME)?|DT|L?REAL|POINTER|STRING|TIME(?:_OF_DAY)?|TOD)\\b/,\n address: {\n pattern: /%[IQM][XBWDL][\\d.]*|%[IQ][\\d.]*/,\n alias: 'symbol'\n },\n number:\n /\\b(?:16#[\\da-f]+|2#[01_]+|0x[\\da-f]+)\\b|\\b(?:D|DT|T|TOD)#[\\d_shmd:]*|\\b[A-Z]*#[\\d.,_]*|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?/i,\n boolean: /\\b(?:FALSE|NULL|TRUE)\\b/,\n operator:\n /S?R?:?=>?|&&?|\\*\\*?|<[=>]?|>=?|[-:^/+#]|\\b(?:AND|EQ|EXPT|GE|GT|LE|LT|MOD|NE|NOT|OR|XOR)\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n punctuation: /[()[\\].,;]/\n }\n}\n","'use strict'\n\nmodule.exports = ignore\nignore.displayName = 'ignore'\nignore.aliases = ['gitignore', 'hgignore', 'npmignore']\nfunction ignore(Prism) {\n ;(function (Prism) {\n Prism.languages.ignore = {\n // https://git-scm.com/docs/gitignore\n comment: /^#.*/m,\n entry: {\n pattern: /\\S(?:.*(?:(?:\\\\ )|\\S))?/,\n alias: 'string',\n inside: {\n operator: /^!|\\*\\*?|\\?/,\n regex: {\n pattern: /(^|[^\\\\])\\[[^\\[\\]]*\\]/,\n lookbehind: true\n },\n punctuation: /\\//\n }\n }\n }\n Prism.languages.gitignore = Prism.languages.ignore\n Prism.languages.hgignore = Prism.languages.ignore\n Prism.languages.npmignore = Prism.languages.ignore\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = inform7\ninform7.displayName = 'inform7'\ninform7.aliases = []\nfunction inform7(Prism) {\n Prism.languages.inform7 = {\n string: {\n pattern: /\"[^\"]*\"/,\n inside: {\n substitution: {\n pattern: /\\[[^\\[\\]]+\\]/,\n inside: {\n delimiter: {\n pattern: /\\[|\\]/,\n alias: 'punctuation'\n } // See rest below\n }\n }\n }\n },\n comment: {\n pattern: /\\[[^\\[\\]]+\\]/,\n greedy: true\n },\n title: {\n pattern: /^[ \\t]*(?:book|chapter|part(?! of)|section|table|volume)\\b.+/im,\n alias: 'important'\n },\n number: {\n pattern:\n /(^|[^-])(?:\\b\\d+(?:\\.\\d+)?(?:\\^\\d+)?(?:(?!\\d)\\w+)?|\\b(?:eight|eleven|five|four|nine|one|seven|six|ten|three|twelve|two))\\b(?!-)/i,\n lookbehind: true\n },\n verb: {\n pattern:\n /(^|[^-])\\b(?:answering|applying to|are|asking|attacking|be(?:ing)?|burning|buying|called|carries|carry(?! out)|carrying|climbing|closing|conceal(?:ing|s)?|consulting|contain(?:ing|s)?|cutting|drinking|dropping|eating|enclos(?:es?|ing)|entering|examining|exiting|getting|giving|going|ha(?:s|ve|ving)|hold(?:ing|s)?|impl(?:ies|y)|incorporat(?:es?|ing)|inserting|is|jumping|kissing|listening|locking|looking|mean(?:ing|s)?|opening|provid(?:es?|ing)|pulling|pushing|putting|relat(?:es?|ing)|removing|searching|see(?:ing|s)?|setting|showing|singing|sleeping|smelling|squeezing|support(?:ing|s)?|swearing|switching|taking|tasting|telling|thinking|throwing|touching|turning|tying|unlock(?:ing|s)?|var(?:ies|y|ying)|waiting|waking|waving|wear(?:ing|s)?)\\b(?!-)/i,\n lookbehind: true,\n alias: 'operator'\n },\n keyword: {\n pattern:\n /(^|[^-])\\b(?:after|before|carry out|check|continue the action|definition(?= *:)|do nothing|else|end (?:if|the story|unless)|every turn|if|include|instead(?: of)?|let|move|no|now|otherwise|repeat|report|resume the story|rule for|running through|say(?:ing)?|stop the action|test|try(?:ing)?|understand|unless|use|when|while|yes)\\b(?!-)/i,\n lookbehind: true\n },\n property: {\n pattern:\n /(^|[^-])\\b(?:adjacent(?! to)|carried|closed|concealed|contained|dark|described|edible|empty|enclosed|enterable|even|female|fixed in place|full|handled|held|improper-named|incorporated|inedible|invisible|lighted|lit|lock(?:able|ed)|male|marked for listing|mentioned|negative|neuter|non-(?:empty|full|recurring)|odd|opaque|open(?:able)?|plural-named|portable|positive|privately-named|proper-named|provided|publically-named|pushable between rooms|recurring|related|rubbing|scenery|seen|singular-named|supported|swinging|switch(?:able|ed(?: off| on)?)|touch(?:able|ed)|transparent|unconcealed|undescribed|unlit|unlocked|unmarked for listing|unmentioned|unopenable|untouchable|unvisited|variable|visible|visited|wearable|worn)\\b(?!-)/i,\n lookbehind: true,\n alias: 'symbol'\n },\n position: {\n pattern:\n /(^|[^-])\\b(?:above|adjacent to|back side of|below|between|down|east|everywhere|front side|here|in|inside(?: from)?|north(?:east|west)?|nowhere|on(?: top of)?|other side|outside(?: from)?|parts? of|regionally in|south(?:east|west)?|through|up|west|within)\\b(?!-)/i,\n lookbehind: true,\n alias: 'keyword'\n },\n type: {\n pattern:\n /(^|[^-])\\b(?:actions?|activit(?:ies|y)|actors?|animals?|backdrops?|containers?|devices?|directions?|doors?|holders?|kinds?|lists?|m[ae]n|nobody|nothing|nouns?|numbers?|objects?|people|persons?|player(?:'s holdall)?|regions?|relations?|rooms?|rule(?:book)?s?|scenes?|someone|something|supporters?|tables?|texts?|things?|time|vehicles?|wom[ae]n)\\b(?!-)/i,\n lookbehind: true,\n alias: 'variable'\n },\n punctuation: /[.,:;(){}]/\n }\n Prism.languages.inform7['string'].inside['substitution'].inside.rest =\n Prism.languages.inform7 // We don't want the remaining text in the substitution to be highlighted as the string.\n Prism.languages.inform7['string'].inside['substitution'].inside.rest.text = {\n pattern: /\\S(?:\\s*\\S)*/,\n alias: 'comment'\n }\n}\n","'use strict'\n\nmodule.exports = ini\nini.displayName = 'ini'\nini.aliases = []\nfunction ini(Prism) {\n Prism.languages.ini = {\n /**\n * The component mimics the behavior of the Win32 API parser.\n *\n * @see {@link https://github.com/PrismJS/prism/issues/2775#issuecomment-787477723}\n */\n comment: {\n pattern: /(^[ \\f\\t\\v]*)[#;][^\\n\\r]*/m,\n lookbehind: true\n },\n section: {\n pattern: /(^[ \\f\\t\\v]*)\\[[^\\n\\r\\]]*\\]?/m,\n lookbehind: true,\n inside: {\n 'section-name': {\n pattern: /(^\\[[ \\f\\t\\v]*)[^ \\f\\t\\v\\]]+(?:[ \\f\\t\\v]+[^ \\f\\t\\v\\]]+)*/,\n lookbehind: true,\n alias: 'selector'\n },\n punctuation: /\\[|\\]/\n }\n },\n key: {\n pattern:\n /(^[ \\f\\t\\v]*)[^ \\f\\n\\r\\t\\v=]+(?:[ \\f\\t\\v]+[^ \\f\\n\\r\\t\\v=]+)*(?=[ \\f\\t\\v]*=)/m,\n lookbehind: true,\n alias: 'attr-name'\n },\n value: {\n pattern: /(=[ \\f\\t\\v]*)[^ \\f\\n\\r\\t\\v]+(?:[ \\f\\t\\v]+[^ \\f\\n\\r\\t\\v]+)*/,\n lookbehind: true,\n alias: 'attr-value',\n inside: {\n 'inner-value': {\n pattern: /^(\"|').+(?=\\1$)/,\n lookbehind: true\n }\n }\n },\n punctuation: /=/\n }\n}\n","'use strict'\n\nmodule.exports = io\nio.displayName = 'io'\nio.aliases = []\nfunction io(Prism) {\n Prism.languages.io = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?(?:\\*\\/|$)|\\/\\/.*|#.*)/,\n lookbehind: true,\n greedy: true\n },\n 'triple-quoted-string': {\n pattern: /\"\"\"(?:\\\\[\\s\\S]|(?!\"\"\")[^\\\\])*\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\\r\\n\"])*\"/,\n greedy: true\n },\n keyword:\n /\\b(?:activate|activeCoroCount|asString|block|break|call|catch|clone|collectGarbage|compileString|continue|do|doFile|doMessage|doString|else|elseif|exit|for|foreach|forward|getEnvironmentVariable|getSlot|hasSlot|if|ifFalse|ifNil|ifNilEval|ifTrue|isActive|isNil|isResumable|list|message|method|parent|pass|pause|perform|performWithArgList|print|println|proto|raise|raiseResumable|removeSlot|resend|resume|schedulerSleepSeconds|self|sender|setSchedulerSleepSeconds|setSlot|shallowCopy|slotNames|super|system|then|thisBlock|thisContext|try|type|uniqueId|updateSlot|wait|while|write|yield)\\b/,\n builtin:\n /\\b(?:Array|AudioDevice|AudioMixer|BigNum|Block|Box|Buffer|CFunction|CGI|Color|Curses|DBM|DNSResolver|DOConnection|DOProxy|DOServer|Date|Directory|Duration|DynLib|Error|Exception|FFT|File|Fnmatch|Font|Future|GL|GLE|GLScissor|GLU|GLUCylinder|GLUQuadric|GLUSphere|GLUT|Host|Image|Importer|LinkList|List|Lobby|Locals|MD5|MP3Decoder|MP3Encoder|Map|Message|Movie|Notification|Number|Object|OpenGL|Point|Protos|Random|Regex|SGML|SGMLElement|SGMLParser|SQLite|Sequence|Server|ShowMessage|SleepyCat|SleepyCatCursor|Socket|SocketManager|Sound|Soup|Store|String|Tree|UDPSender|UPDReceiver|URL|User|Warning|WeakLink)\\b/,\n boolean: /\\b(?:false|nil|true)\\b/,\n number: /\\b0x[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e-?\\d+)?/i,\n operator:\n /[=!*/%+\\-^&|]=|>>?=?|<<?=?|:?:?=|\\+\\+?|--?|\\*\\*?|\\/\\/?|%|\\|\\|?|&&?|\\b(?:and|not|or|return)\\b|@@?|\\?\\??|\\.\\./,\n punctuation: /[{}[\\];(),.:]/\n }\n}\n","'use strict'\n\nmodule.exports = j\nj.displayName = 'j'\nj.aliases = []\nfunction j(Prism) {\n Prism.languages.j = {\n comment: {\n pattern: /\\bNB\\..*/,\n greedy: true\n },\n string: {\n pattern: /'(?:''|[^'\\r\\n])*'/,\n greedy: true\n },\n keyword:\n /\\b(?:(?:CR|LF|adverb|conjunction|def|define|dyad|monad|noun|verb)\\b|(?:assert|break|case|catch[dt]?|continue|do|else|elseif|end|fcase|for|for_\\w+|goto_\\w+|if|label_\\w+|return|select|throw|try|while|whilst)\\.)/,\n verb: {\n // Negative look-ahead prevents bad highlighting\n // of ^: ;. =. =: !. !:\n pattern:\n /(?!\\^:|;\\.|[=!][.:])(?:\\{(?:\\.|::?)?|p(?:\\.\\.?|:)|[=!\\]]|[<>+*\\-%$|,#][.:]?|[?^]\\.?|[;\\[]:?|[~}\"i][.:]|[ACeEIjLor]\\.|(?:[_\\/\\\\qsux]|_?\\d):)/,\n alias: 'keyword'\n },\n number:\n /\\b_?(?:(?!\\d:)\\d+(?:\\.\\d+)?(?:(?:ad|ar|[ejpx])_?\\d+(?:\\.\\d+)?)*(?:b_?[\\da-z]+(?:\\.[\\da-z]+)?)?|_\\b(?!\\.))/,\n adverb: {\n pattern: /[~}]|[\\/\\\\]\\.?|[bfM]\\.|t[.:]/,\n alias: 'builtin'\n },\n operator: /[=a][.:]|_\\./,\n conjunction: {\n pattern: /&(?:\\.:?|:)?|[.:@][.:]?|[!D][.:]|[;dHT]\\.|`:?|[\\^LS]:|\"/,\n alias: 'variable'\n },\n punctuation: /[()]/\n }\n}\n","'use strict'\n\nmodule.exports = java\njava.displayName = 'java'\njava.aliases = []\nfunction java(Prism) {\n ;(function (Prism) {\n var keywords =\n /\\b(?:abstract|assert|boolean|break|byte|case|catch|char|class|const|continue|default|do|double|else|enum|exports|extends|final|finally|float|for|goto|if|implements|import|instanceof|int|interface|long|module|native|new|non-sealed|null|open|opens|package|permits|private|protected|provides|public|record|requires|return|sealed|short|static|strictfp|super|switch|synchronized|this|throw|throws|to|transient|transitive|try|uses|var|void|volatile|while|with|yield)\\b/ // full package (optional) + parent classes (optional)\n var classNamePrefix = /(^|[^\\w.])(?:[a-z]\\w*\\s*\\.\\s*)*(?:[A-Z]\\w*\\s*\\.\\s*)*/\n .source // based on the java naming conventions\n var className = {\n pattern: RegExp(classNamePrefix + /[A-Z](?:[\\d_A-Z]*[a-z]\\w*)?\\b/.source),\n lookbehind: true,\n inside: {\n namespace: {\n pattern: /^[a-z]\\w*(?:\\s*\\.\\s*[a-z]\\w*)*(?:\\s*\\.)?/,\n inside: {\n punctuation: /\\./\n }\n },\n punctuation: /\\./\n }\n }\n Prism.languages.java = Prism.languages.extend('clike', {\n string: {\n pattern: /(^|[^\\\\])\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n lookbehind: true,\n greedy: true\n },\n 'class-name': [\n className,\n {\n // variables and parameters\n // this to support class names (or generic parameters) which do not contain a lower case letter (also works for methods)\n pattern: RegExp(\n classNamePrefix + /[A-Z]\\w*(?=\\s+\\w+\\s*[;,=()])/.source\n ),\n lookbehind: true,\n inside: className.inside\n }\n ],\n keyword: keywords,\n function: [\n Prism.languages.clike.function,\n {\n pattern: /(::\\s*)[a-z_]\\w*/,\n lookbehind: true\n }\n ],\n number:\n /\\b0b[01][01_]*L?\\b|\\b0x(?:\\.[\\da-f_p+-]+|[\\da-f_]+(?:\\.[\\da-f_p+-]+)?)\\b|(?:\\b\\d[\\d_]*(?:\\.[\\d_]*)?|\\B\\.\\d[\\d_]*)(?:e[+-]?\\d[\\d_]*)?[dfl]?/i,\n operator: {\n pattern:\n /(^|[^.])(?:<<=?|>>>?=?|->|--|\\+\\+|&&|\\|\\||::|[?:~]|[-+*/%&|^!=<>]=?)/m,\n lookbehind: true\n }\n })\n Prism.languages.insertBefore('java', 'string', {\n 'triple-quoted-string': {\n // http://openjdk.java.net/jeps/355#Description\n pattern: /\"\"\"[ \\t]*[\\r\\n](?:(?:\"|\"\")?(?:\\\\.|[^\"\\\\]))*\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n char: {\n pattern: /'(?:\\\\.|[^'\\\\\\r\\n]){1,6}'/,\n greedy: true\n }\n })\n Prism.languages.insertBefore('java', 'class-name', {\n annotation: {\n pattern: /(^|[^.])@\\w+(?:\\s*\\.\\s*\\w+)*/,\n lookbehind: true,\n alias: 'punctuation'\n },\n generics: {\n pattern:\n /<(?:[\\w\\s,.?]|&(?!&)|<(?:[\\w\\s,.?]|&(?!&)|<(?:[\\w\\s,.?]|&(?!&)|<(?:[\\w\\s,.?]|&(?!&))*>)*>)*>)*>/,\n inside: {\n 'class-name': className,\n keyword: keywords,\n punctuation: /[<>(),.:]/,\n operator: /[?&|]/\n }\n },\n namespace: {\n pattern: RegExp(\n /(\\b(?:exports|import(?:\\s+static)?|module|open|opens|package|provides|requires|to|transitive|uses|with)\\s+)(?!<keyword>)[a-z]\\w*(?:\\.[a-z]\\w*)*\\.?/.source.replace(\n /<keyword>/g,\n function () {\n return keywords.source\n }\n )\n ),\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n }\n })\n })(Prism)\n}\n","'use strict'\nvar refractorJava = require('./java.js')\nvar refractorJavadoclike = require('./javadoclike.js')\nmodule.exports = javadoc\njavadoc.displayName = 'javadoc'\njavadoc.aliases = []\nfunction javadoc(Prism) {\n Prism.register(refractorJava)\n Prism.register(refractorJavadoclike)\n ;(function (Prism) {\n var codeLinePattern = /(^(?:[\\t ]*(?:\\*\\s*)*))[^*\\s].*$/m\n var memberReference = /#\\s*\\w+(?:\\s*\\([^()]*\\))?/.source\n var reference =\n /(?:\\b[a-zA-Z]\\w+\\s*\\.\\s*)*\\b[A-Z]\\w*(?:\\s*<mem>)?|<mem>/.source.replace(\n /<mem>/g,\n function () {\n return memberReference\n }\n )\n Prism.languages.javadoc = Prism.languages.extend('javadoclike', {})\n Prism.languages.insertBefore('javadoc', 'keyword', {\n reference: {\n pattern: RegExp(\n /(@(?:exception|link|linkplain|see|throws|value)\\s+(?:\\*\\s*)?)/\n .source +\n '(?:' +\n reference +\n ')'\n ),\n lookbehind: true,\n inside: {\n function: {\n pattern: /(#\\s*)\\w+(?=\\s*\\()/,\n lookbehind: true\n },\n field: {\n pattern: /(#\\s*)\\w+/,\n lookbehind: true\n },\n namespace: {\n pattern: /\\b(?:[a-z]\\w*\\s*\\.\\s*)+/,\n inside: {\n punctuation: /\\./\n }\n },\n 'class-name': /\\b[A-Z]\\w*/,\n keyword: Prism.languages.java.keyword,\n punctuation: /[#()[\\],.]/\n }\n },\n 'class-name': {\n // @param <T> the first generic type parameter\n pattern: /(@param\\s+)<[A-Z]\\w*>/,\n lookbehind: true,\n inside: {\n punctuation: /[.<>]/\n }\n },\n 'code-section': [\n {\n pattern:\n /(\\{@code\\s+(?!\\s))(?:[^\\s{}]|\\s+(?![\\s}])|\\{(?:[^{}]|\\{(?:[^{}]|\\{(?:[^{}]|\\{[^{}]*\\})*\\})*\\})*\\})+(?=\\s*\\})/,\n lookbehind: true,\n inside: {\n code: {\n // there can't be any HTML inside of {@code} tags\n pattern: codeLinePattern,\n lookbehind: true,\n inside: Prism.languages.java,\n alias: 'language-java'\n }\n }\n },\n {\n pattern:\n /(<(code|pre|tt)>(?!<code>)\\s*)\\S(?:\\S|\\s+\\S)*?(?=\\s*<\\/\\2>)/,\n lookbehind: true,\n inside: {\n line: {\n pattern: codeLinePattern,\n lookbehind: true,\n inside: {\n // highlight HTML tags and entities\n tag: Prism.languages.markup.tag,\n entity: Prism.languages.markup.entity,\n code: {\n // everything else is Java code\n pattern: /.+/,\n inside: Prism.languages.java,\n alias: 'language-java'\n }\n }\n }\n }\n }\n ],\n tag: Prism.languages.markup.tag,\n entity: Prism.languages.markup.entity\n })\n Prism.languages.javadoclike.addSupport('java', Prism.languages.javadoc)\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = javadoclike\njavadoclike.displayName = 'javadoclike'\njavadoclike.aliases = []\nfunction javadoclike(Prism) {\n ;(function (Prism) {\n var javaDocLike = (Prism.languages.javadoclike = {\n parameter: {\n pattern:\n /(^[\\t ]*(?:\\/{3}|\\*|\\/\\*\\*)\\s*@(?:arg|arguments|param)\\s+)\\w+/m,\n lookbehind: true\n },\n keyword: {\n // keywords are the first word in a line preceded be an `@` or surrounded by curly braces.\n // @word, {@word}\n pattern: /(^[\\t ]*(?:\\/{3}|\\*|\\/\\*\\*)\\s*|\\{)@[a-z][a-zA-Z-]+\\b/m,\n lookbehind: true\n },\n punctuation: /[{}]/\n })\n /**\n * Adds doc comment support to the given language and calls a given callback on each doc comment pattern.\n *\n * @param {string} lang the language add doc comment support to.\n * @param {(pattern: {inside: {rest: undefined}}) => void} callback the function called with each doc comment pattern as argument.\n */\n function docCommentSupport(lang, callback) {\n var tokenName = 'doc-comment'\n var grammar = Prism.languages[lang]\n if (!grammar) {\n return\n }\n var token = grammar[tokenName]\n if (!token) {\n // add doc comment: /** */\n var definition = {}\n definition[tokenName] = {\n pattern: /(^|[^\\\\])\\/\\*\\*[^/][\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true,\n alias: 'comment'\n }\n grammar = Prism.languages.insertBefore(lang, 'comment', definition)\n token = grammar[tokenName]\n }\n if (token instanceof RegExp) {\n // convert regex to object\n token = grammar[tokenName] = {\n pattern: token\n }\n }\n if (Array.isArray(token)) {\n for (var i = 0, l = token.length; i < l; i++) {\n if (token[i] instanceof RegExp) {\n token[i] = {\n pattern: token[i]\n }\n }\n callback(token[i])\n }\n } else {\n callback(token)\n }\n }\n /**\n * Adds doc-comment support to the given languages for the given documentation language.\n *\n * @param {string[]|string} languages\n * @param {Object} docLanguage\n */\n function addSupport(languages, docLanguage) {\n if (typeof languages === 'string') {\n languages = [languages]\n }\n languages.forEach(function (lang) {\n docCommentSupport(lang, function (pattern) {\n if (!pattern.inside) {\n pattern.inside = {}\n }\n pattern.inside.rest = docLanguage\n })\n })\n }\n Object.defineProperty(javaDocLike, 'addSupport', {\n value: addSupport\n })\n javaDocLike.addSupport(['java', 'javascript', 'php'], javaDocLike)\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = javascript\njavascript.displayName = 'javascript'\njavascript.aliases = ['js']\nfunction javascript(Prism) {\n Prism.languages.javascript = Prism.languages.extend('clike', {\n 'class-name': [\n Prism.languages.clike['class-name'],\n {\n pattern:\n /(^|[^$\\w\\xA0-\\uFFFF])(?!\\s)[_$A-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\.(?:constructor|prototype))/,\n lookbehind: true\n }\n ],\n keyword: [\n {\n pattern: /((?:^|\\})\\s*)catch\\b/,\n lookbehind: true\n },\n {\n pattern:\n /(^|[^.]|\\.\\.\\.\\s*)\\b(?:as|assert(?=\\s*\\{)|async(?=\\s*(?:function\\b|\\(|[$\\w\\xA0-\\uFFFF]|$))|await|break|case|class|const|continue|debugger|default|delete|do|else|enum|export|extends|finally(?=\\s*(?:\\{|$))|for|from(?=\\s*(?:['\"]|$))|function|(?:get|set)(?=\\s*(?:[#\\[$\\w\\xA0-\\uFFFF]|$))|if|implements|import|in|instanceof|interface|let|new|null|of|package|private|protected|public|return|static|super|switch|this|throw|try|typeof|undefined|var|void|while|with|yield)\\b/,\n lookbehind: true\n }\n ],\n // Allow for all non-ASCII characters (See http://stackoverflow.com/a/2008444)\n function:\n /#?(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*(?:\\.\\s*(?:apply|bind|call)\\s*)?\\()/,\n number: {\n pattern: RegExp(\n /(^|[^\\w$])/.source +\n '(?:' + // constant\n (/NaN|Infinity/.source +\n '|' + // binary integer\n /0[bB][01]+(?:_[01]+)*n?/.source +\n '|' + // octal integer\n /0[oO][0-7]+(?:_[0-7]+)*n?/.source +\n '|' + // hexadecimal integer\n /0[xX][\\dA-Fa-f]+(?:_[\\dA-Fa-f]+)*n?/.source +\n '|' + // decimal bigint\n /\\d+(?:_\\d+)*n/.source +\n '|' + // decimal number (integer or float) but no bigint\n /(?:\\d+(?:_\\d+)*(?:\\.(?:\\d+(?:_\\d+)*)?)?|\\.\\d+(?:_\\d+)*)(?:[Ee][+-]?\\d+(?:_\\d+)*)?/\n .source) +\n ')' +\n /(?![\\w$])/.source\n ),\n lookbehind: true\n },\n operator:\n /--|\\+\\+|\\*\\*=?|=>|&&=?|\\|\\|=?|[!=]==|<<=?|>>>?=?|[-+*/%&|^!=<>]=?|\\.{3}|\\?\\?=?|\\?\\.?|[~:]/\n })\n Prism.languages.javascript['class-name'][0].pattern =\n /(\\b(?:class|extends|implements|instanceof|interface|new)\\s+)[\\w.\\\\]+/\n Prism.languages.insertBefore('javascript', 'keyword', {\n regex: {\n // eslint-disable-next-line regexp/no-dupe-characters-character-class\n pattern:\n /((?:^|[^$\\w\\xA0-\\uFFFF.\"'\\])\\s]|\\b(?:return|yield))\\s*)\\/(?:\\[(?:[^\\]\\\\\\r\\n]|\\\\.)*\\]|\\\\.|[^/\\\\\\[\\r\\n])+\\/[dgimyus]{0,7}(?=(?:\\s|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/)*(?:$|[\\r\\n,.;:})\\]]|\\/\\/))/,\n lookbehind: true,\n greedy: true,\n inside: {\n 'regex-source': {\n pattern: /^(\\/)[\\s\\S]+(?=\\/[a-z]*$)/,\n lookbehind: true,\n alias: 'language-regex',\n inside: Prism.languages.regex\n },\n 'regex-delimiter': /^\\/|\\/$/,\n 'regex-flags': /^[a-z]+$/\n }\n },\n // This must be declared before keyword because we use \"function\" inside the look-forward\n 'function-variable': {\n pattern:\n /#?(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*[=:]\\s*(?:async\\s*)?(?:\\bfunction\\b|(?:\\((?:[^()]|\\([^()]*\\))*\\)|(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*)\\s*=>))/,\n alias: 'function'\n },\n parameter: [\n {\n pattern:\n /(function(?:\\s+(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*)?\\s*\\(\\s*)(?!\\s)(?:[^()\\s]|\\s+(?![\\s)])|\\([^()]*\\))+(?=\\s*\\))/,\n lookbehind: true,\n inside: Prism.languages.javascript\n },\n {\n pattern:\n /(^|[^$\\w\\xA0-\\uFFFF])(?!\\s)[_$a-z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*=>)/i,\n lookbehind: true,\n inside: Prism.languages.javascript\n },\n {\n pattern:\n /(\\(\\s*)(?!\\s)(?:[^()\\s]|\\s+(?![\\s)])|\\([^()]*\\))+(?=\\s*\\)\\s*=>)/,\n lookbehind: true,\n inside: Prism.languages.javascript\n },\n {\n pattern:\n /((?:\\b|\\s|^)(?!(?:as|async|await|break|case|catch|class|const|continue|debugger|default|delete|do|else|enum|export|extends|finally|for|from|function|get|if|implements|import|in|instanceof|interface|let|new|null|of|package|private|protected|public|return|set|static|super|switch|this|throw|try|typeof|undefined|var|void|while|with|yield)(?![$\\w\\xA0-\\uFFFF]))(?:(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*\\s*)\\(\\s*|\\]\\s*\\(\\s*)(?!\\s)(?:[^()\\s]|\\s+(?![\\s)])|\\([^()]*\\))+(?=\\s*\\)\\s*\\{)/,\n lookbehind: true,\n inside: Prism.languages.javascript\n }\n ],\n constant: /\\b[A-Z](?:[A-Z_]|\\dx?)*\\b/\n })\n Prism.languages.insertBefore('javascript', 'string', {\n hashbang: {\n pattern: /^#!.*/,\n greedy: true,\n alias: 'comment'\n },\n 'template-string': {\n pattern:\n /`(?:\\\\[\\s\\S]|\\$\\{(?:[^{}]|\\{(?:[^{}]|\\{[^}]*\\})*\\})+\\}|(?!\\$\\{)[^\\\\`])*`/,\n greedy: true,\n inside: {\n 'template-punctuation': {\n pattern: /^`|`$/,\n alias: 'string'\n },\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\$\\{(?:[^{}]|\\{(?:[^{}]|\\{[^}]*\\})*\\})+\\}/,\n lookbehind: true,\n inside: {\n 'interpolation-punctuation': {\n pattern: /^\\$\\{|\\}$/,\n alias: 'punctuation'\n },\n rest: Prism.languages.javascript\n }\n },\n string: /[\\s\\S]+/\n }\n },\n 'string-property': {\n pattern:\n /((?:^|[,{])[ \\t]*)([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\2)[^\\\\\\r\\n])*\\2(?=\\s*:)/m,\n lookbehind: true,\n greedy: true,\n alias: 'property'\n }\n })\n Prism.languages.insertBefore('javascript', 'operator', {\n 'literal-property': {\n pattern:\n /((?:^|[,{])[ \\t]*)(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*:)/m,\n lookbehind: true,\n alias: 'property'\n }\n })\n if (Prism.languages.markup) {\n Prism.languages.markup.tag.addInlined('script', 'javascript') // add attribute support for all DOM events.\n // https://developer.mozilla.org/en-US/docs/Web/Events#Standard_events\n Prism.languages.markup.tag.addAttribute(\n /on(?:abort|blur|change|click|composition(?:end|start|update)|dblclick|error|focus(?:in|out)?|key(?:down|up)|load|mouse(?:down|enter|leave|move|out|over|up)|reset|resize|scroll|select|slotchange|submit|unload|wheel)/\n .source,\n 'javascript'\n )\n }\n Prism.languages.js = Prism.languages.javascript\n}\n","'use strict'\n\nmodule.exports = javastacktrace\njavastacktrace.displayName = 'javastacktrace'\njavastacktrace.aliases = []\nfunction javastacktrace(Prism) {\n // Specification:\n // https://docs.oracle.com/en/java/javase/13/docs/api/java.base/java/lang/Throwable.html#printStackTrace()\n Prism.languages.javastacktrace = {\n // java.sql.SQLException: Violation of unique constraint MY_ENTITY_UK_1: duplicate value(s) for column(s) MY_COLUMN in statement [...]\n // Caused by: java.sql.SQLException: Violation of unique constraint MY_ENTITY_UK_1: duplicate value(s) for column(s) MY_COLUMN in statement [...]\n // Caused by: com.example.myproject.MyProjectServletException\n // Caused by: MidLevelException: LowLevelException\n // Suppressed: Resource$CloseFailException: Resource ID = 0\n summary: {\n pattern:\n /^([\\t ]*)(?:(?:Caused by:|Suppressed:|Exception in thread \"[^\"]*\")[\\t ]+)?[\\w$.]+(?::.*)?$/m,\n lookbehind: true,\n inside: {\n keyword: {\n pattern:\n /^([\\t ]*)(?:(?:Caused by|Suppressed)(?=:)|Exception in thread)/m,\n lookbehind: true\n },\n // the current thread if the summary starts with 'Exception in thread'\n string: {\n pattern: /^(\\s*)\"[^\"]*\"/,\n lookbehind: true\n },\n exceptions: {\n pattern: /^(:?\\s*)[\\w$.]+(?=:|$)/,\n lookbehind: true,\n inside: {\n 'class-name': /[\\w$]+$/,\n namespace: /\\b[a-z]\\w*\\b/,\n punctuation: /\\./\n }\n },\n message: {\n pattern: /(:\\s*)\\S.*/,\n lookbehind: true,\n alias: 'string'\n },\n punctuation: /:/\n }\n },\n // at org.mortbay.jetty.servlet.ServletHandler$CachedChain.doFilter(ServletHandler.java:1166)\n // at org.hsqldb.jdbc.Util.throwError(Unknown Source) here could be some notes\n // at java.base/java.lang.Class.forName0(Native Method)\n // at Util.<init>(Unknown Source)\n // at com.foo.loader/foo@9.0/com.foo.Main.run(Main.java:101)\n // at com.foo.loader//com.foo.bar.App.run(App.java:12)\n // at acme@2.1/org.acme.Lib.test(Lib.java:80)\n // at MyClass.mash(MyClass.java:9)\n //\n // More information:\n // https://docs.oracle.com/en/java/javase/13/docs/api/java.base/java/lang/StackTraceElement.html#toString()\n //\n // A valid Java module name is defined as:\n // \"A module name consists of one or more Java identifiers (§3.8) separated by \".\" tokens.\"\n // https://docs.oracle.com/javase/specs/jls/se9/html/jls-6.html#jls-ModuleName\n //\n // A Java module version is defined by this class:\n // https://docs.oracle.com/javase/9/docs/api/java/lang/module/ModuleDescriptor.Version.html\n // This is the implementation of the `parse` method in JDK13:\n // https://github.com/matcdac/jdk/blob/2305df71d1b7710266ae0956d73927a225132c0f/src/java.base/share/classes/java/lang/module/ModuleDescriptor.java#L1108\n // However, to keep this simple, a version will be matched by the pattern /@[\\w$.+-]*/.\n 'stack-frame': {\n pattern: /^([\\t ]*)at (?:[\\w$./]|@[\\w$.+-]*\\/)+(?:<init>)?\\([^()]*\\)/m,\n lookbehind: true,\n inside: {\n keyword: {\n pattern: /^(\\s*)at(?= )/,\n lookbehind: true\n },\n source: [\n // (Main.java:15)\n // (Main.scala:15)\n {\n pattern: /(\\()\\w+\\.\\w+:\\d+(?=\\))/,\n lookbehind: true,\n inside: {\n file: /^\\w+\\.\\w+/,\n punctuation: /:/,\n 'line-number': {\n pattern: /\\b\\d+\\b/,\n alias: 'number'\n }\n }\n }, // (Unknown Source)\n // (Native Method)\n // (...something...)\n {\n pattern: /(\\()[^()]*(?=\\))/,\n lookbehind: true,\n inside: {\n keyword: /^(?:Native Method|Unknown Source)$/\n }\n }\n ],\n 'class-name': /[\\w$]+(?=\\.(?:<init>|[\\w$]+)\\()/,\n function: /(?:<init>|[\\w$]+)(?=\\()/,\n 'class-loader': {\n pattern: /(\\s)[a-z]\\w*(?:\\.[a-z]\\w*)*(?=\\/[\\w@$.]*\\/)/,\n lookbehind: true,\n alias: 'namespace',\n inside: {\n punctuation: /\\./\n }\n },\n module: {\n pattern: /([\\s/])[a-z]\\w*(?:\\.[a-z]\\w*)*(?:@[\\w$.+-]*)?(?=\\/)/,\n lookbehind: true,\n inside: {\n version: {\n pattern: /(@)[\\s\\S]+/,\n lookbehind: true,\n alias: 'number'\n },\n punctuation: /[@.]/\n }\n },\n namespace: {\n pattern: /(?:\\b[a-z]\\w*\\.)+/,\n inside: {\n punctuation: /\\./\n }\n },\n punctuation: /[()/.]/\n }\n },\n // ... 32 more\n // ... 32 common frames omitted\n more: {\n pattern: /^([\\t ]*)\\.{3} \\d+ [a-z]+(?: [a-z]+)*/m,\n lookbehind: true,\n inside: {\n punctuation: /\\.{3}/,\n number: /\\d+/,\n keyword: /\\b[a-z]+(?: [a-z]+)*\\b/\n }\n }\n }\n}\n","'use strict'\n\nmodule.exports = jexl\njexl.displayName = 'jexl'\njexl.aliases = []\nfunction jexl(Prism) {\n Prism.languages.jexl = {\n string: /([\"'])(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1/,\n transform: {\n pattern:\n /(\\|\\s*)[a-zA-Zа-яА-Я_\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u00FF$][\\wа-яА-Я\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u00FF$]*/,\n alias: 'function',\n lookbehind: true\n },\n function:\n /[a-zA-Zа-яА-Я_\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u00FF$][\\wа-яА-Я\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u00FF$]*\\s*(?=\\()/,\n number: /\\b\\d+(?:\\.\\d+)?\\b|\\B\\.\\d+\\b/,\n operator: /[<>!]=?|-|\\+|&&|==|\\|\\|?|\\/\\/?|[?:*^%]/,\n boolean: /\\b(?:false|true)\\b/,\n keyword: /\\bin\\b/,\n punctuation: /[{}[\\](),.]/\n }\n}\n","'use strict'\n\nmodule.exports = jolie\njolie.displayName = 'jolie'\njolie.aliases = []\nfunction jolie(Prism) {\n Prism.languages.jolie = Prism.languages.extend('clike', {\n string: {\n pattern: /(^|[^\\\\])\"(?:\\\\[\\s\\S]|[^\"\\\\])*\"/,\n lookbehind: true,\n greedy: true\n },\n 'class-name': {\n pattern:\n /((?:\\b(?:as|courier|embed|in|inputPort|outputPort|service)\\b|@)[ \\t]*)\\w+/,\n lookbehind: true\n },\n keyword:\n /\\b(?:as|cH|comp|concurrent|constants|courier|cset|csets|default|define|else|embed|embedded|execution|exit|extender|for|foreach|forward|from|global|if|import|in|include|init|inputPort|install|instanceof|interface|is_defined|linkIn|linkOut|main|new|nullProcess|outputPort|over|private|provide|public|scope|sequential|service|single|spawn|synchronized|this|throw|throws|type|undef|until|while|with)\\b/,\n function: /\\b[a-z_]\\w*(?=[ \\t]*[@(])/i,\n number: /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?l?/i,\n operator: /-[-=>]?|\\+[+=]?|<[<=]?|[>=*!]=?|&&|\\|\\||[?\\/%^@|]/,\n punctuation: /[()[\\]{},;.:]/,\n builtin:\n /\\b(?:Byte|any|bool|char|double|enum|float|int|length|long|ranges|regex|string|undefined|void)\\b/\n })\n Prism.languages.insertBefore('jolie', 'keyword', {\n aggregates: {\n pattern:\n /(\\bAggregates\\s*:\\s*)(?:\\w+(?:\\s+with\\s+\\w+)?\\s*,\\s*)*\\w+(?:\\s+with\\s+\\w+)?/,\n lookbehind: true,\n inside: {\n keyword: /\\bwith\\b/,\n 'class-name': /\\w+/,\n punctuation: /,/\n }\n },\n redirects: {\n pattern:\n /(\\bRedirects\\s*:\\s*)(?:\\w+\\s*=>\\s*\\w+\\s*,\\s*)*(?:\\w+\\s*=>\\s*\\w+)/,\n lookbehind: true,\n inside: {\n punctuation: /,/,\n 'class-name': /\\w+/,\n operator: /=>/\n }\n },\n property: {\n pattern:\n /\\b(?:Aggregates|[Ii]nterfaces|Java|Javascript|Jolie|[Ll]ocation|OneWay|[Pp]rotocol|Redirects|RequestResponse)\\b(?=[ \\t]*:)/\n }\n })\n}\n","'use strict'\n\nmodule.exports = jq\njq.displayName = 'jq'\njq.aliases = []\nfunction jq(Prism) {\n ;(function (Prism) {\n var interpolation = /\\\\\\((?:[^()]|\\([^()]*\\))*\\)/.source\n var string = RegExp(\n /(^|[^\\\\])\"(?:[^\"\\r\\n\\\\]|\\\\[^\\r\\n(]|__)*\"/.source.replace(\n /__/g,\n function () {\n return interpolation\n }\n )\n )\n var stringInterpolation = {\n interpolation: {\n pattern: RegExp(/((?:^|[^\\\\])(?:\\\\{2})*)/.source + interpolation),\n lookbehind: true,\n inside: {\n content: {\n pattern: /^(\\\\\\()[\\s\\S]+(?=\\)$)/,\n lookbehind: true,\n inside: null // see below\n },\n punctuation: /^\\\\\\(|\\)$/\n }\n }\n }\n var jq = (Prism.languages.jq = {\n comment: /#.*/,\n property: {\n pattern: RegExp(string.source + /(?=\\s*:(?!:))/.source),\n lookbehind: true,\n greedy: true,\n inside: stringInterpolation\n },\n string: {\n pattern: string,\n lookbehind: true,\n greedy: true,\n inside: stringInterpolation\n },\n function: {\n pattern: /(\\bdef\\s+)[a-z_]\\w+/i,\n lookbehind: true\n },\n variable: /\\B\\$\\w+/,\n 'property-literal': {\n pattern: /\\b[a-z_]\\w*(?=\\s*:(?!:))/i,\n alias: 'property'\n },\n keyword:\n /\\b(?:as|break|catch|def|elif|else|end|foreach|if|import|include|label|module|modulemeta|null|reduce|then|try|while)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number: /(?:\\b\\d+\\.|\\B\\.)?\\b\\d+(?:[eE][+-]?\\d+)?\\b/,\n operator: [\n {\n pattern: /\\|=?/,\n alias: 'pipe'\n },\n /\\.\\.|[!=<>]?=|\\?\\/\\/|\\/\\/=?|[-+*/%]=?|[<>?]|\\b(?:and|not|or)\\b/\n ],\n 'c-style-function': {\n pattern: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n alias: 'function'\n },\n punctuation: /::|[()\\[\\]{},:;]|\\.(?=\\s*[\\[\\w$])/,\n dot: {\n pattern: /\\./,\n alias: 'important'\n }\n })\n stringInterpolation.interpolation.inside.content.inside = jq\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = jsExtras\njsExtras.displayName = 'jsExtras'\njsExtras.aliases = []\nfunction jsExtras(Prism) {\n ;(function (Prism) {\n Prism.languages.insertBefore('javascript', 'function-variable', {\n 'method-variable': {\n pattern: RegExp(\n '(\\\\.\\\\s*)' +\n Prism.languages.javascript['function-variable'].pattern.source\n ),\n lookbehind: true,\n alias: ['function-variable', 'method', 'function', 'property-access']\n }\n })\n Prism.languages.insertBefore('javascript', 'function', {\n method: {\n pattern: RegExp(\n '(\\\\.\\\\s*)' + Prism.languages.javascript['function'].source\n ),\n lookbehind: true,\n alias: ['function', 'property-access']\n }\n })\n Prism.languages.insertBefore('javascript', 'constant', {\n 'known-class-name': [\n {\n // standard built-ins\n // https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects\n pattern:\n /\\b(?:(?:Float(?:32|64)|(?:Int|Uint)(?:8|16|32)|Uint8Clamped)?Array|ArrayBuffer|BigInt|Boolean|DataView|Date|Error|Function|Intl|JSON|(?:Weak)?(?:Map|Set)|Math|Number|Object|Promise|Proxy|Reflect|RegExp|String|Symbol|WebAssembly)\\b/,\n alias: 'class-name'\n },\n {\n // errors\n pattern: /\\b(?:[A-Z]\\w*)Error\\b/,\n alias: 'class-name'\n }\n ]\n })\n /**\n * Replaces the `<ID>` placeholder in the given pattern with a pattern for general JS identifiers.\n *\n * @param {string} source\n * @param {string} [flags]\n * @returns {RegExp}\n */\n function withId(source, flags) {\n return RegExp(\n source.replace(/<ID>/g, function () {\n return /(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*/.source\n }),\n flags\n )\n }\n Prism.languages.insertBefore('javascript', 'keyword', {\n imports: {\n // https://tc39.es/ecma262/#sec-imports\n pattern: withId(\n /(\\bimport\\b\\s*)(?:<ID>(?:\\s*,\\s*(?:\\*\\s*as\\s+<ID>|\\{[^{}]*\\}))?|\\*\\s*as\\s+<ID>|\\{[^{}]*\\})(?=\\s*\\bfrom\\b)/\n .source\n ),\n lookbehind: true,\n inside: Prism.languages.javascript\n },\n exports: {\n // https://tc39.es/ecma262/#sec-exports\n pattern: withId(\n /(\\bexport\\b\\s*)(?:\\*(?:\\s*as\\s+<ID>)?(?=\\s*\\bfrom\\b)|\\{[^{}]*\\})/\n .source\n ),\n lookbehind: true,\n inside: Prism.languages.javascript\n }\n })\n Prism.languages.javascript['keyword'].unshift(\n {\n pattern: /\\b(?:as|default|export|from|import)\\b/,\n alias: 'module'\n },\n {\n pattern:\n /\\b(?:await|break|catch|continue|do|else|finally|for|if|return|switch|throw|try|while|yield)\\b/,\n alias: 'control-flow'\n },\n {\n pattern: /\\bnull\\b/,\n alias: ['null', 'nil']\n },\n {\n pattern: /\\bundefined\\b/,\n alias: 'nil'\n }\n )\n Prism.languages.insertBefore('javascript', 'operator', {\n spread: {\n pattern: /\\.{3}/,\n alias: 'operator'\n },\n arrow: {\n pattern: /=>/,\n alias: 'operator'\n }\n })\n Prism.languages.insertBefore('javascript', 'punctuation', {\n 'property-access': {\n pattern: withId(/(\\.\\s*)#?<ID>/.source),\n lookbehind: true\n },\n 'maybe-class-name': {\n pattern: /(^|[^$\\w\\xA0-\\uFFFF])[A-Z][$\\w\\xA0-\\uFFFF]+/,\n lookbehind: true\n },\n dom: {\n // this contains only a few commonly used DOM variables\n pattern:\n /\\b(?:document|(?:local|session)Storage|location|navigator|performance|window)\\b/,\n alias: 'variable'\n },\n console: {\n pattern: /\\bconsole(?=\\s*\\.)/,\n alias: 'class-name'\n }\n }) // add 'maybe-class-name' to tokens which might be a class name\n var maybeClassNameTokens = [\n 'function',\n 'function-variable',\n 'method',\n 'method-variable',\n 'property-access'\n ]\n for (var i = 0; i < maybeClassNameTokens.length; i++) {\n var token = maybeClassNameTokens[i]\n var value = Prism.languages.javascript[token] // convert regex to object\n if (Prism.util.type(value) === 'RegExp') {\n value = Prism.languages.javascript[token] = {\n pattern: value\n }\n } // keep in mind that we don't support arrays\n var inside = value.inside || {}\n value.inside = inside\n inside['maybe-class-name'] = /^[A-Z][\\s\\S]*/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = jsTemplates\njsTemplates.displayName = 'jsTemplates'\njsTemplates.aliases = []\nfunction jsTemplates(Prism) {\n ;(function (Prism) {\n var templateString = Prism.languages.javascript['template-string'] // see the pattern in prism-javascript.js\n var templateLiteralPattern = templateString.pattern.source\n var interpolationObject = templateString.inside['interpolation']\n var interpolationPunctuationObject =\n interpolationObject.inside['interpolation-punctuation']\n var interpolationPattern = interpolationObject.pattern.source\n /**\n * Creates a new pattern to match a template string with a special tag.\n *\n * This will return `undefined` if there is no grammar with the given language id.\n *\n * @param {string} language The language id of the embedded language. E.g. `markdown`.\n * @param {string} tag The regex pattern to match the tag.\n * @returns {object | undefined}\n * @example\n * createTemplate('css', /\\bcss/.source);\n */\n function createTemplate(language, tag) {\n if (!Prism.languages[language]) {\n return undefined\n }\n return {\n pattern: RegExp('((?:' + tag + ')\\\\s*)' + templateLiteralPattern),\n lookbehind: true,\n greedy: true,\n inside: {\n 'template-punctuation': {\n pattern: /^`|`$/,\n alias: 'string'\n },\n 'embedded-code': {\n pattern: /[\\s\\S]+/,\n alias: language\n }\n }\n }\n }\n Prism.languages.javascript['template-string'] = [\n // styled-jsx:\n // css`a { color: #25F; }`\n // styled-components:\n // styled.h1`color: red;`\n createTemplate(\n 'css',\n /\\b(?:styled(?:\\([^)]*\\))?(?:\\s*\\.\\s*\\w+(?:\\([^)]*\\))*)*|css(?:\\s*\\.\\s*(?:global|resolve))?|createGlobalStyle|keyframes)/\n .source\n ), // html`<p></p>`\n // div.innerHTML = `<p></p>`\n createTemplate('html', /\\bhtml|\\.\\s*(?:inner|outer)HTML\\s*\\+?=/.source), // svg`<path fill=\"#fff\" d=\"M55.37 ...\"/>`\n createTemplate('svg', /\\bsvg/.source), // md`# h1`, markdown`## h2`\n createTemplate('markdown', /\\b(?:markdown|md)/.source), // gql`...`, graphql`...`, graphql.experimental`...`\n createTemplate(\n 'graphql',\n /\\b(?:gql|graphql(?:\\s*\\.\\s*experimental)?)/.source\n ), // sql`...`\n createTemplate('sql', /\\bsql/.source), // vanilla template string\n templateString\n ].filter(Boolean)\n /**\n * Returns a specific placeholder literal for the given language.\n *\n * @param {number} counter\n * @param {string} language\n * @returns {string}\n */\n function getPlaceholder(counter, language) {\n return '___' + language.toUpperCase() + '_' + counter + '___'\n }\n /**\n * Returns the tokens of `Prism.tokenize` but also runs the `before-tokenize` and `after-tokenize` hooks.\n *\n * @param {string} code\n * @param {any} grammar\n * @param {string} language\n * @returns {(string|Token)[]}\n */\n function tokenizeWithHooks(code, grammar, language) {\n var env = {\n code: code,\n grammar: grammar,\n language: language\n }\n Prism.hooks.run('before-tokenize', env)\n env.tokens = Prism.tokenize(env.code, env.grammar)\n Prism.hooks.run('after-tokenize', env)\n return env.tokens\n }\n /**\n * Returns the token of the given JavaScript interpolation expression.\n *\n * @param {string} expression The code of the expression. E.g. `\"${42}\"`\n * @returns {Token}\n */\n function tokenizeInterpolationExpression(expression) {\n var tempGrammar = {}\n tempGrammar['interpolation-punctuation'] = interpolationPunctuationObject\n /** @type {Array} */\n var tokens = Prism.tokenize(expression, tempGrammar)\n if (tokens.length === 3) {\n /**\n * The token array will look like this\n * [\n * [\"interpolation-punctuation\", \"${\"]\n * \"...\" // JavaScript expression of the interpolation\n * [\"interpolation-punctuation\", \"}\"]\n * ]\n */\n var args = [1, 1]\n args.push.apply(\n args,\n tokenizeWithHooks(tokens[1], Prism.languages.javascript, 'javascript')\n )\n tokens.splice.apply(tokens, args)\n }\n return new Prism.Token(\n 'interpolation',\n tokens,\n interpolationObject.alias,\n expression\n )\n }\n /**\n * Tokenizes the given code with support for JavaScript interpolation expressions mixed in.\n *\n * This function has 3 phases:\n *\n * 1. Replace all JavaScript interpolation expression with a placeholder.\n * The placeholder will have the syntax of a identify of the target language.\n * 2. Tokenize the code with placeholders.\n * 3. Tokenize the interpolation expressions and re-insert them into the tokenize code.\n * The insertion only works if a placeholder hasn't been \"ripped apart\" meaning that the placeholder has been\n * tokenized as two tokens by the grammar of the embedded language.\n *\n * @param {string} code\n * @param {object} grammar\n * @param {string} language\n * @returns {Token}\n */\n function tokenizeEmbedded(code, grammar, language) {\n // 1. First filter out all interpolations\n // because they might be escaped, we need a lookbehind, so we use Prism\n /** @type {(Token|string)[]} */\n var _tokens = Prism.tokenize(code, {\n interpolation: {\n pattern: RegExp(interpolationPattern),\n lookbehind: true\n }\n }) // replace all interpolations with a placeholder which is not in the code already\n var placeholderCounter = 0\n /** @type {Object<string, string>} */\n var placeholderMap = {}\n var embeddedCode = _tokens\n .map(function (token) {\n if (typeof token === 'string') {\n return token\n } else {\n var interpolationExpression = token.content\n var placeholder\n while (\n code.indexOf(\n (placeholder = getPlaceholder(placeholderCounter++, language))\n ) !== -1\n ) {\n /* noop */\n }\n placeholderMap[placeholder] = interpolationExpression\n return placeholder\n }\n })\n .join('') // 2. Tokenize the embedded code\n var embeddedTokens = tokenizeWithHooks(embeddedCode, grammar, language) // 3. Re-insert the interpolation\n var placeholders = Object.keys(placeholderMap)\n placeholderCounter = 0\n /**\n *\n * @param {(Token|string)[]} tokens\n * @returns {void}\n */\n function walkTokens(tokens) {\n for (var i = 0; i < tokens.length; i++) {\n if (placeholderCounter >= placeholders.length) {\n return\n }\n var token = tokens[i]\n if (typeof token === 'string' || typeof token.content === 'string') {\n var placeholder = placeholders[placeholderCounter]\n var s =\n typeof token === 'string'\n ? token\n : /** @type {string} */\n token.content\n var index = s.indexOf(placeholder)\n if (index !== -1) {\n ++placeholderCounter\n var before = s.substring(0, index)\n var middle = tokenizeInterpolationExpression(\n placeholderMap[placeholder]\n )\n var after = s.substring(index + placeholder.length)\n var replacement = []\n if (before) {\n replacement.push(before)\n }\n replacement.push(middle)\n if (after) {\n var afterTokens = [after]\n walkTokens(afterTokens)\n replacement.push.apply(replacement, afterTokens)\n }\n if (typeof token === 'string') {\n tokens.splice.apply(tokens, [i, 1].concat(replacement))\n i += replacement.length - 1\n } else {\n token.content = replacement\n }\n }\n } else {\n var content = token.content\n if (Array.isArray(content)) {\n walkTokens(content)\n } else {\n walkTokens([content])\n }\n }\n }\n }\n walkTokens(embeddedTokens)\n return new Prism.Token(\n language,\n embeddedTokens,\n 'language-' + language,\n code\n )\n }\n /**\n * The languages for which JS templating will handle tagged template literals.\n *\n * JS templating isn't active for only JavaScript but also related languages like TypeScript, JSX, and TSX.\n */\n var supportedLanguages = {\n javascript: true,\n js: true,\n typescript: true,\n ts: true,\n jsx: true,\n tsx: true\n }\n Prism.hooks.add('after-tokenize', function (env) {\n if (!(env.language in supportedLanguages)) {\n return\n }\n /**\n * Finds and tokenizes all template strings with an embedded languages.\n *\n * @param {(Token | string)[]} tokens\n * @returns {void}\n */\n function findTemplateStrings(tokens) {\n for (var i = 0, l = tokens.length; i < l; i++) {\n var token = tokens[i]\n if (typeof token === 'string') {\n continue\n }\n var content = token.content\n if (!Array.isArray(content)) {\n if (typeof content !== 'string') {\n findTemplateStrings([content])\n }\n continue\n }\n if (token.type === 'template-string') {\n /**\n * A JavaScript template-string token will look like this:\n *\n * [\"template-string\", [\n * [\"template-punctuation\", \"`\"],\n * (\n * An array of \"string\" and \"interpolation\" tokens. This is the simple string case.\n * or\n * [\"embedded-code\", \"...\"] This is the token containing the embedded code.\n * It also has an alias which is the language of the embedded code.\n * ),\n * [\"template-punctuation\", \"`\"]\n * ]]\n */\n var embedded = content[1]\n if (\n content.length === 3 &&\n typeof embedded !== 'string' &&\n embedded.type === 'embedded-code'\n ) {\n // get string content\n var code = stringContent(embedded)\n var alias = embedded.alias\n var language = Array.isArray(alias) ? alias[0] : alias\n var grammar = Prism.languages[language]\n if (!grammar) {\n // the embedded language isn't registered.\n continue\n }\n content[1] = tokenizeEmbedded(code, grammar, language)\n }\n } else {\n findTemplateStrings(content)\n }\n }\n }\n findTemplateStrings(env.tokens)\n })\n /**\n * Returns the string content of a token or token stream.\n *\n * @param {string | Token | (string | Token)[]} value\n * @returns {string}\n */\n function stringContent(value) {\n if (typeof value === 'string') {\n return value\n } else if (Array.isArray(value)) {\n return value.map(stringContent).join('')\n } else {\n return stringContent(value.content)\n }\n }\n })(Prism)\n}\n","'use strict'\nvar refractorJavadoclike = require('./javadoclike.js')\nvar refractorTypescript = require('./typescript.js')\nmodule.exports = jsdoc\njsdoc.displayName = 'jsdoc'\njsdoc.aliases = []\nfunction jsdoc(Prism) {\n Prism.register(refractorJavadoclike)\n Prism.register(refractorTypescript)\n ;(function (Prism) {\n var javascript = Prism.languages.javascript\n var type = /\\{(?:[^{}]|\\{(?:[^{}]|\\{[^{}]*\\})*\\})+\\}/.source\n var parameterPrefix =\n '(@(?:arg|argument|param|property)\\\\s+(?:' + type + '\\\\s+)?)'\n Prism.languages.jsdoc = Prism.languages.extend('javadoclike', {\n parameter: {\n // @param {string} foo - foo bar\n pattern: RegExp(\n parameterPrefix + /(?:(?!\\s)[$\\w\\xA0-\\uFFFF.])+(?=\\s|$)/.source\n ),\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n }\n })\n Prism.languages.insertBefore('jsdoc', 'keyword', {\n 'optional-parameter': {\n // @param {string} [baz.foo=\"bar\"] foo bar\n pattern: RegExp(\n parameterPrefix +\n /\\[(?:(?!\\s)[$\\w\\xA0-\\uFFFF.])+(?:=[^[\\]]+)?\\](?=\\s|$)/.source\n ),\n lookbehind: true,\n inside: {\n parameter: {\n pattern: /(^\\[)[$\\w\\xA0-\\uFFFF\\.]+/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n code: {\n pattern: /(=)[\\s\\S]*(?=\\]$)/,\n lookbehind: true,\n inside: javascript,\n alias: 'language-javascript'\n },\n punctuation: /[=[\\]]/\n }\n },\n 'class-name': [\n {\n pattern: RegExp(\n /(@(?:augments|class|extends|interface|memberof!?|template|this|typedef)\\s+(?:<TYPE>\\s+)?)[A-Z]\\w*(?:\\.[A-Z]\\w*)*/.source.replace(\n /<TYPE>/g,\n function () {\n return type\n }\n )\n ),\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n {\n pattern: RegExp('(@[a-z]+\\\\s+)' + type),\n lookbehind: true,\n inside: {\n string: javascript.string,\n number: javascript.number,\n boolean: javascript.boolean,\n keyword: Prism.languages.typescript.keyword,\n operator: /=>|\\.\\.\\.|[&|?:*]/,\n punctuation: /[.,;=<>{}()[\\]]/\n }\n }\n ],\n example: {\n pattern:\n /(@example\\s+(?!\\s))(?:[^@\\s]|\\s+(?!\\s))+?(?=\\s*(?:\\*\\s*)?(?:@\\w|\\*\\/))/,\n lookbehind: true,\n inside: {\n code: {\n pattern: /^([\\t ]*(?:\\*\\s*)?)\\S.*$/m,\n lookbehind: true,\n inside: javascript,\n alias: 'language-javascript'\n }\n }\n }\n })\n Prism.languages.javadoclike.addSupport('javascript', Prism.languages.jsdoc)\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = json\njson.displayName = 'json'\njson.aliases = ['webmanifest']\nfunction json(Prism) {\n // https://www.json.org/json-en.html\n Prism.languages.json = {\n property: {\n pattern: /(^|[^\\\\])\"(?:\\\\.|[^\\\\\"\\r\\n])*\"(?=\\s*:)/,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /(^|[^\\\\])\"(?:\\\\.|[^\\\\\"\\r\\n])*\"(?!\\s*:)/,\n lookbehind: true,\n greedy: true\n },\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n greedy: true\n },\n number: /-?\\b\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?\\b/i,\n punctuation: /[{}[\\],]/,\n operator: /:/,\n boolean: /\\b(?:false|true)\\b/,\n null: {\n pattern: /\\bnull\\b/,\n alias: 'keyword'\n }\n }\n Prism.languages.webmanifest = Prism.languages.json\n}\n","'use strict'\nvar refractorJson = require('./json.js')\nmodule.exports = json5\njson5.displayName = 'json5'\njson5.aliases = []\nfunction json5(Prism) {\n Prism.register(refractorJson)\n ;(function (Prism) {\n var string = /(\"|')(?:\\\\(?:\\r\\n?|\\n|.)|(?!\\1)[^\\\\\\r\\n])*\\1/\n Prism.languages.json5 = Prism.languages.extend('json', {\n property: [\n {\n pattern: RegExp(string.source + '(?=\\\\s*:)'),\n greedy: true\n },\n {\n pattern:\n /(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*:)/,\n alias: 'unquoted'\n }\n ],\n string: {\n pattern: string,\n greedy: true\n },\n number:\n /[+-]?\\b(?:NaN|Infinity|0x[a-fA-F\\d]+)\\b|[+-]?(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[eE][+-]?\\d+\\b)?/\n })\n })(Prism)\n}\n","'use strict'\nvar refractorJson = require('./json.js')\nmodule.exports = jsonp\njsonp.displayName = 'jsonp'\njsonp.aliases = []\nfunction jsonp(Prism) {\n Prism.register(refractorJson)\n Prism.languages.jsonp = Prism.languages.extend('json', {\n punctuation: /[{}[\\]();,.]/\n })\n Prism.languages.insertBefore('jsonp', 'punctuation', {\n function: /(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?=\\s*\\()/\n })\n}\n","'use strict'\n\nmodule.exports = jsstacktrace\njsstacktrace.displayName = 'jsstacktrace'\njsstacktrace.aliases = []\nfunction jsstacktrace(Prism) {\n Prism.languages.jsstacktrace = {\n 'error-message': {\n pattern: /^\\S.*/m,\n alias: 'string'\n },\n 'stack-frame': {\n pattern: /(^[ \\t]+)at[ \\t].*/m,\n lookbehind: true,\n inside: {\n 'not-my-code': {\n pattern:\n /^at[ \\t]+(?!\\s)(?:node\\.js|<unknown>|.*(?:node_modules|\\(<anonymous>\\)|\\(<unknown>|<anonymous>$|\\(internal\\/|\\(node\\.js)).*/m,\n alias: 'comment'\n },\n filename: {\n pattern: /(\\bat\\s+(?!\\s)|\\()(?:[a-zA-Z]:)?[^():]+(?=:)/,\n lookbehind: true,\n alias: 'url'\n },\n function: {\n pattern:\n /(\\bat\\s+(?:new\\s+)?)(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF<][.$\\w\\xA0-\\uFFFF<>]*/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n punctuation: /[()]/,\n keyword: /\\b(?:at|new)\\b/,\n alias: {\n pattern: /\\[(?:as\\s+)?(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF][$\\w\\xA0-\\uFFFF]*\\]/,\n alias: 'variable'\n },\n 'line-number': {\n pattern: /:\\d+(?::\\d+)?\\b/,\n alias: 'number',\n inside: {\n punctuation: /:/\n }\n }\n }\n }\n }\n}\n","'use strict'\n\nmodule.exports = jsx\njsx.displayName = 'jsx'\njsx.aliases = []\nfunction jsx(Prism) {\n ;(function (Prism) {\n var javascript = Prism.util.clone(Prism.languages.javascript)\n var space = /(?:\\s|\\/\\/.*(?!.)|\\/\\*(?:[^*]|\\*(?!\\/))\\*\\/)/.source\n var braces = /(?:\\{(?:\\{(?:\\{[^{}]*\\}|[^{}])*\\}|[^{}])*\\})/.source\n var spread = /(?:\\{<S>*\\.{3}(?:[^{}]|<BRACES>)*\\})/.source\n /**\n * @param {string} source\n * @param {string} [flags]\n */\n function re(source, flags) {\n source = source\n .replace(/<S>/g, function () {\n return space\n })\n .replace(/<BRACES>/g, function () {\n return braces\n })\n .replace(/<SPREAD>/g, function () {\n return spread\n })\n return RegExp(source, flags)\n }\n spread = re(spread).source\n Prism.languages.jsx = Prism.languages.extend('markup', javascript)\n Prism.languages.jsx.tag.pattern = re(\n /<\\/?(?:[\\w.:-]+(?:<S>+(?:[\\w.:$-]+(?:=(?:\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"|'(?:\\\\[\\s\\S]|[^\\\\'])*'|[^\\s{'\"/>=]+|<BRACES>))?|<SPREAD>))*<S>*\\/?)?>/\n .source\n )\n Prism.languages.jsx.tag.inside['tag'].pattern = /^<\\/?[^\\s>\\/]*/\n Prism.languages.jsx.tag.inside['attr-value'].pattern =\n /=(?!\\{)(?:\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"|'(?:\\\\[\\s\\S]|[^\\\\'])*'|[^\\s'\">]+)/\n Prism.languages.jsx.tag.inside['tag'].inside['class-name'] =\n /^[A-Z]\\w*(?:\\.[A-Z]\\w*)*$/\n Prism.languages.jsx.tag.inside['comment'] = javascript['comment']\n Prism.languages.insertBefore(\n 'inside',\n 'attr-name',\n {\n spread: {\n pattern: re(/<SPREAD>/.source),\n inside: Prism.languages.jsx\n }\n },\n Prism.languages.jsx.tag\n )\n Prism.languages.insertBefore(\n 'inside',\n 'special-attr',\n {\n script: {\n // Allow for two levels of nesting\n pattern: re(/=<BRACES>/.source),\n alias: 'language-javascript',\n inside: {\n 'script-punctuation': {\n pattern: /^=(?=\\{)/,\n alias: 'punctuation'\n },\n rest: Prism.languages.jsx\n }\n }\n },\n Prism.languages.jsx.tag\n ) // The following will handle plain text inside tags\n var stringifyToken = function (token) {\n if (!token) {\n return ''\n }\n if (typeof token === 'string') {\n return token\n }\n if (typeof token.content === 'string') {\n return token.content\n }\n return token.content.map(stringifyToken).join('')\n }\n var walkTokens = function (tokens) {\n var openedTags = []\n for (var i = 0; i < tokens.length; i++) {\n var token = tokens[i]\n var notTagNorBrace = false\n if (typeof token !== 'string') {\n if (\n token.type === 'tag' &&\n token.content[0] &&\n token.content[0].type === 'tag'\n ) {\n // We found a tag, now find its kind\n if (token.content[0].content[0].content === '</') {\n // Closing tag\n if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].tagName ===\n stringifyToken(token.content[0].content[1])\n ) {\n // Pop matching opening tag\n openedTags.pop()\n }\n } else {\n if (token.content[token.content.length - 1].content === '/>') {\n // Autoclosed tag, ignore\n } else {\n // Opening tag\n openedTags.push({\n tagName: stringifyToken(token.content[0].content[1]),\n openedBraces: 0\n })\n }\n }\n } else if (\n openedTags.length > 0 &&\n token.type === 'punctuation' &&\n token.content === '{'\n ) {\n // Here we might have entered a JSX context inside a tag\n openedTags[openedTags.length - 1].openedBraces++\n } else if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].openedBraces > 0 &&\n token.type === 'punctuation' &&\n token.content === '}'\n ) {\n // Here we might have left a JSX context inside a tag\n openedTags[openedTags.length - 1].openedBraces--\n } else {\n notTagNorBrace = true\n }\n }\n if (notTagNorBrace || typeof token === 'string') {\n if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].openedBraces === 0\n ) {\n // Here we are inside a tag, and not inside a JSX context.\n // That's plain text: drop any tokens matched.\n var plainText = stringifyToken(token) // And merge text with adjacent text\n if (\n i < tokens.length - 1 &&\n (typeof tokens[i + 1] === 'string' ||\n tokens[i + 1].type === 'plain-text')\n ) {\n plainText += stringifyToken(tokens[i + 1])\n tokens.splice(i + 1, 1)\n }\n if (\n i > 0 &&\n (typeof tokens[i - 1] === 'string' ||\n tokens[i - 1].type === 'plain-text')\n ) {\n plainText = stringifyToken(tokens[i - 1]) + plainText\n tokens.splice(i - 1, 1)\n i--\n }\n tokens[i] = new Prism.Token(\n 'plain-text',\n plainText,\n null,\n plainText\n )\n }\n }\n if (token.content && typeof token.content !== 'string') {\n walkTokens(token.content)\n }\n }\n }\n Prism.hooks.add('after-tokenize', function (env) {\n if (env.language !== 'jsx' && env.language !== 'tsx') {\n return\n }\n walkTokens(env.tokens)\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = julia\njulia.displayName = 'julia'\njulia.aliases = []\nfunction julia(Prism) {\n Prism.languages.julia = {\n comment: {\n // support one level of nested comments\n // https://github.com/JuliaLang/julia/pull/6128\n pattern:\n /(^|[^\\\\])(?:#=(?:[^#=]|=(?!#)|#(?!=)|#=(?:[^#=]|=(?!#)|#(?!=))*=#)*=#|#.*)/,\n lookbehind: true\n },\n regex: {\n // https://docs.julialang.org/en/v1/manual/strings/#Regular-Expressions-1\n pattern: /r\"(?:\\\\.|[^\"\\\\\\r\\n])*\"[imsx]{0,4}/,\n greedy: true\n },\n string: {\n // https://docs.julialang.org/en/v1/manual/strings/#String-Basics-1\n // https://docs.julialang.org/en/v1/manual/strings/#non-standard-string-literals-1\n // https://docs.julialang.org/en/v1/manual/running-external-programs/#Running-External-Programs-1\n pattern:\n /\"\"\"[\\s\\S]+?\"\"\"|(?:\\b\\w+)?\"(?:\\\\.|[^\"\\\\\\r\\n])*\"|`(?:[^\\\\`\\r\\n]|\\\\.)*`/,\n greedy: true\n },\n char: {\n // https://docs.julialang.org/en/v1/manual/strings/#man-characters-1\n pattern: /(^|[^\\w'])'(?:\\\\[^\\r\\n][^'\\r\\n]*|[^\\\\\\r\\n])'/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /\\b(?:abstract|baremodule|begin|bitstype|break|catch|ccall|const|continue|do|else|elseif|end|export|finally|for|function|global|if|immutable|import|importall|in|let|local|macro|module|print|println|quote|return|struct|try|type|typealias|using|while)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number:\n /(?:\\b(?=\\d)|\\B(?=\\.))(?:0[box])?(?:[\\da-f]+(?:_[\\da-f]+)*(?:\\.(?:\\d+(?:_\\d+)*)?)?|\\.\\d+(?:_\\d+)*)(?:[efp][+-]?\\d+(?:_\\d+)*)?j?/i,\n // https://docs.julialang.org/en/v1/manual/mathematical-operations/\n // https://docs.julialang.org/en/v1/manual/mathematical-operations/#Operator-Precedence-and-Associativity-1\n operator:\n /&&|\\|\\||[-+*^%÷⊻&$\\\\]=?|\\/[\\/=]?|!=?=?|\\|[=>]?|<(?:<=?|[=:|])?|>(?:=|>>?=?)?|==?=?|[~≠≤≥'√∛]/,\n punctuation: /::?|[{}[\\]();,.?]/,\n // https://docs.julialang.org/en/v1/base/numbers/#Base.im\n constant: /\\b(?:(?:Inf|NaN)(?:16|32|64)?|im|pi)\\b|[πℯ]/\n }\n}\n","'use strict'\n\nmodule.exports = keepalived\nkeepalived.displayName = 'keepalived'\nkeepalived.aliases = []\nfunction keepalived(Prism) {\n Prism.languages.keepalived = {\n comment: {\n pattern: /[#!].*/,\n greedy: true\n },\n string: {\n pattern:\n /(^|[^\\\\])(?:\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"|'(?:\\\\(?:\\r\\n|[\\s\\S])|[^'\\\\\\r\\n])*')/,\n lookbehind: true,\n greedy: true\n },\n // support IPv4, IPv6, subnet mask\n ip: {\n pattern: RegExp(\n /\\b(?:(?:(?:[\\da-f]{1,4}:){7}[\\da-f]{1,4}|(?:[\\da-f]{1,4}:){6}:[\\da-f]{1,4}|(?:[\\da-f]{1,4}:){5}:(?:[\\da-f]{1,4}:)?[\\da-f]{1,4}|(?:[\\da-f]{1,4}:){4}:(?:[\\da-f]{1,4}:){0,2}[\\da-f]{1,4}|(?:[\\da-f]{1,4}:){3}:(?:[\\da-f]{1,4}:){0,3}[\\da-f]{1,4}|(?:[\\da-f]{1,4}:){2}:(?:[\\da-f]{1,4}:){0,4}[\\da-f]{1,4}|(?:[\\da-f]{1,4}:){6}<ipv4>|(?:[\\da-f]{1,4}:){0,5}:<ipv4>|::(?:[\\da-f]{1,4}:){0,5}<ipv4>|[\\da-f]{1,4}::(?:[\\da-f]{1,4}:){0,5}[\\da-f]{1,4}|::(?:[\\da-f]{1,4}:){0,6}[\\da-f]{1,4}|(?:[\\da-f]{1,4}:){1,7}:)(?:\\/\\d{1,3})?|<ipv4>(?:\\/\\d{1,2})?)\\b/.source.replace(\n /<ipv4>/g,\n function () {\n return /(?:(?:(?:25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]\\d|\\d)\\.){3}(?:25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]\\d|\\d))/\n .source\n }\n ),\n 'i'\n ),\n alias: 'number'\n },\n // support *nix / Windows, directory / file\n path: {\n pattern:\n /(\\s)\\/(?:[^\\/\\s]+\\/)*[^\\/\\s]*|\\b[a-zA-Z]:\\\\(?:[^\\\\\\s]+\\\\)*[^\\\\\\s]*/,\n lookbehind: true,\n alias: 'string'\n },\n variable: /\\$\\{?\\w+\\}?/,\n email: {\n pattern: /[\\w-]+@[\\w-]+(?:\\.[\\w-]{2,3}){1,2}/,\n alias: 'string'\n },\n 'conditional-configuration': {\n pattern: /@\\^?[\\w-]+/,\n alias: 'variable'\n },\n operator: /=/,\n property:\n /\\b(?:BFD_CHECK|DNS_CHECK|FILE_CHECK|HTTP_GET|MISC_CHECK|NAME|PING_CHECK|SCRIPTS|SMTP_CHECK|SSL|SSL_GET|TCP_CHECK|UDP_CHECK|accept|advert_int|alpha|auth_pass|auth_type|authentication|bfd_cpu_affinity|bfd_instance|bfd_no_swap|bfd_priority|bfd_process_name|bfd_rlimit_rttime|bfd_rt_priority|bind_if|bind_port|bindto|ca|certificate|check_unicast_src|checker|checker_cpu_affinity|checker_log_all_failures|checker_no_swap|checker_priority|checker_rlimit_rttime|checker_rt_priority|child_wait_time|connect_ip|connect_port|connect_timeout|dbus_service_name|debug|default_interface|delay|delay_before_retry|delay_loop|digest|dont_track_primary|dynamic|dynamic_interfaces|enable_(?:dbus|script_security|sni|snmp_checker|snmp_rfc|snmp_rfcv2|snmp_rfcv3|snmp_vrrp|traps)|end|fall|fast_recovery|file|flag-[123]|fork_delay|full_command|fwmark|garp_group|garp_interval|garp_lower_prio_delay|garp_lower_prio_repeat|garp_master_delay|garp_master_refresh|garp_master_refresh_repeat|garp_master_repeat|global_defs|global_tracking|gna_interval|group|ha_suspend|hashed|helo_name|higher_prio_send_advert|hoplimit|http_protocol|hysteresis|idle_tx|include|inhibit_on_failure|init_fail|init_file|instance|interface|interfaces|interval|ip_family|ipvs_process_name|keepalived.conf|kernel_rx_buf_size|key|linkbeat_interfaces|linkbeat_use_polling|log_all_failures|log_unknown_vrids|lower_prio_no_advert|lthreshold|lvs_flush|lvs_flush_onstop|lvs_method|lvs_netlink_cmd_rcv_bufs|lvs_netlink_cmd_rcv_bufs_force|lvs_netlink_monitor_rcv_bufs|lvs_netlink_monitor_rcv_bufs_force|lvs_notify_fifo|lvs_notify_fifo_script|lvs_sched|lvs_sync_daemon|max_auto_priority|max_hops|mcast_src_ip|mh-fallback|mh-port|min_auto_priority_delay|min_rx|min_tx|misc_dynamic|misc_path|misc_timeout|multiplier|name|namespace_with_ipsets|native_ipv6|neighbor_ip|net_namespace|net_namespace_ipvs|nftables|nftables_counters|nftables_ifindex|nftables_priority|no_accept|no_checker_emails|no_email_faults|nopreempt|notification_email|notification_email_from|notify|notify_backup|notify_deleted|notify_down|notify_fault|notify_fifo|notify_fifo_script|notify_master|notify_master_rx_lower_pri|notify_priority_changes|notify_stop|notify_up|old_unicast_checksum|omega|ops|param_match|passive|password|path|persistence_engine|persistence_granularity|persistence_timeout|preempt|preempt_delay|priority|process|process_monitor_rcv_bufs|process_monitor_rcv_bufs_force|process_name|process_names|promote_secondaries|protocol|proxy_arp|proxy_arp_pvlan|quorum|quorum_down|quorum_max|quorum_up|random_seed|real_server|regex|regex_max_offset|regex_min_offset|regex_no_match|regex_options|regex_stack|reload_repeat|reload_time_file|require_reply|retry|rise|router_id|rs_init_notifies|script|script_user|sh-fallback|sh-port|shutdown_script|shutdown_script_timeout|skip_check_adv_addr|smtp_alert|smtp_alert_checker|smtp_alert_vrrp|smtp_connect_timeout|smtp_helo_name|smtp_server|snmp_socket|sorry_server|sorry_server_inhibit|sorry_server_lvs_method|source_ip|start|startup_script|startup_script_timeout|state|static_ipaddress|static_routes|static_rules|status_code|step|strict_mode|sync_group_tracking_weight|terminate_delay|timeout|track_bfd|track_file|track_group|track_interface|track_process|track_script|track_src_ip|ttl|type|umask|unicast_peer|unicast_src_ip|unicast_ttl|url|use_ipvlan|use_pid_dir|use_vmac|user|uthreshold|val[123]|version|virtual_ipaddress|virtual_ipaddress_excluded|virtual_router_id|virtual_routes|virtual_rules|virtual_server|virtual_server_group|virtualhost|vmac_xmit_base|vrrp|vrrp_(?:check_unicast_src|cpu_affinity|garp_interval|garp_lower_prio_delay|garp_lower_prio_repeat|garp_master_delay|garp_master_refresh|garp_master_refresh_repeat|garp_master_repeat|gna_interval|higher_prio_send_advert|instance|ipsets|iptables|lower_prio_no_advert|mcast_group4|mcast_group6|min_garp|netlink_cmd_rcv_bufs|netlink_cmd_rcv_bufs_force|netlink_monitor_rcv_bufs|netlink_monitor_rcv_bufs_force|no_swap|notify_fifo|notify_fifo_script|notify_priority_changes|priority|process_name|rlimit_rttime|rt_priority|rx_bufs_multiplier|rx_bufs_policy|script|skip_check_adv_addr|startup_delay|strict|sync_group|track_process|version)|warmup|weight)\\b/,\n constant:\n /\\b(?:A|AAAA|AH|BACKUP|CNAME|DR|MASTER|MX|NAT|NS|PASS|SCTP|SOA|TCP|TUN|TXT|UDP|dh|fo|lblc|lblcr|lc|mh|nq|ovf|rr|sed|sh|wlc|wrr)\\b/,\n number: {\n pattern: /(^|[^\\w.-])-?\\d+(?:\\.\\d+)?/,\n lookbehind: true\n },\n boolean: /\\b(?:false|no|off|on|true|yes)\\b/,\n punctuation: /[\\{\\}]/\n }\n}\n","'use strict'\n\nmodule.exports = keyman\nkeyman.displayName = 'keyman'\nkeyman.aliases = []\nfunction keyman(Prism) {\n Prism.languages.keyman = {\n comment: {\n pattern: /\\bc .*/i,\n greedy: true\n },\n string: {\n pattern: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/,\n greedy: true\n },\n 'virtual-key': {\n pattern:\n /\\[\\s*(?:(?:ALT|CAPS|CTRL|LALT|LCTRL|NCAPS|RALT|RCTRL|SHIFT)\\s+)*(?:[TKU]_[\\w?]+|[A-E]\\d\\d?|\"[^\"\\r\\n]*\"|'[^'\\r\\n]*')\\s*\\]/i,\n greedy: true,\n alias: 'function' // alias for styles\n },\n // https://help.keyman.com/developer/language/guide/headers\n 'header-keyword': {\n pattern: /&\\w+/,\n alias: 'bold' // alias for styles\n },\n 'header-statement': {\n pattern:\n /\\b(?:bitmap|bitmaps|caps always off|caps on only|copyright|hotkey|language|layout|message|name|shift frees caps|version)\\b/i,\n alias: 'bold' // alias for styles\n },\n 'rule-keyword': {\n pattern:\n /\\b(?:any|baselayout|beep|call|context|deadkey|dk|if|index|layer|notany|nul|outs|platform|reset|return|save|set|store|use)\\b/i,\n alias: 'keyword'\n },\n 'structural-keyword': {\n pattern: /\\b(?:ansi|begin|group|match|nomatch|unicode|using keys)\\b/i,\n alias: 'keyword'\n },\n 'compile-target': {\n pattern: /\\$(?:keyman|keymanonly|keymanweb|kmfl|weaver):/i,\n alias: 'property'\n },\n // U+####, x###, d### characters and numbers\n number: /\\b(?:U\\+[\\dA-F]+|d\\d+|x[\\da-f]+|\\d+)\\b/i,\n operator: /[+>\\\\$]|\\.\\./,\n punctuation: /[()=,]/\n }\n}\n","'use strict'\n\nmodule.exports = kotlin\nkotlin.displayName = 'kotlin'\nkotlin.aliases = ['kt', 'kts']\nfunction kotlin(Prism) {\n ;(function (Prism) {\n Prism.languages.kotlin = Prism.languages.extend('clike', {\n keyword: {\n // The lookbehind prevents wrong highlighting of e.g. kotlin.properties.get\n pattern:\n /(^|[^.])\\b(?:abstract|actual|annotation|as|break|by|catch|class|companion|const|constructor|continue|crossinline|data|do|dynamic|else|enum|expect|external|final|finally|for|fun|get|if|import|in|infix|init|inline|inner|interface|internal|is|lateinit|noinline|null|object|open|operator|out|override|package|private|protected|public|reified|return|sealed|set|super|suspend|tailrec|this|throw|to|try|typealias|val|var|vararg|when|where|while)\\b/,\n lookbehind: true\n },\n function: [\n {\n pattern: /(?:`[^\\r\\n`]+`|\\b\\w+)(?=\\s*\\()/,\n greedy: true\n },\n {\n pattern: /(\\.)(?:`[^\\r\\n`]+`|\\w+)(?=\\s*\\{)/,\n lookbehind: true,\n greedy: true\n }\n ],\n number:\n /\\b(?:0[xX][\\da-fA-F]+(?:_[\\da-fA-F]+)*|0[bB][01]+(?:_[01]+)*|\\d+(?:_\\d+)*(?:\\.\\d+(?:_\\d+)*)?(?:[eE][+-]?\\d+(?:_\\d+)*)?[fFL]?)\\b/,\n operator:\n /\\+[+=]?|-[-=>]?|==?=?|!(?:!|==?)?|[\\/*%<>]=?|[?:]:?|\\.\\.|&&|\\|\\||\\b(?:and|inv|or|shl|shr|ushr|xor)\\b/\n })\n delete Prism.languages.kotlin['class-name']\n var interpolationInside = {\n 'interpolation-punctuation': {\n pattern: /^\\$\\{?|\\}$/,\n alias: 'punctuation'\n },\n expression: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.kotlin\n }\n }\n Prism.languages.insertBefore('kotlin', 'string', {\n // https://kotlinlang.org/spec/expressions.html#string-interpolation-expressions\n 'string-literal': [\n {\n pattern: /\"\"\"(?:[^$]|\\$(?:(?!\\{)|\\{[^{}]*\\}))*?\"\"\"/,\n alias: 'multiline',\n inside: {\n interpolation: {\n pattern: /\\$(?:[a-z_]\\w*|\\{[^{}]*\\})/i,\n inside: interpolationInside\n },\n string: /[\\s\\S]+/\n }\n },\n {\n pattern: /\"(?:[^\"\\\\\\r\\n$]|\\\\.|\\$(?:(?!\\{)|\\{[^{}]*\\}))*\"/,\n alias: 'singleline',\n inside: {\n interpolation: {\n pattern: /((?:^|[^\\\\])(?:\\\\{2})*)\\$(?:[a-z_]\\w*|\\{[^{}]*\\})/i,\n lookbehind: true,\n inside: interpolationInside\n },\n string: /[\\s\\S]+/\n }\n }\n ],\n char: {\n // https://kotlinlang.org/spec/expressions.html#character-literals\n pattern: /'(?:[^'\\\\\\r\\n]|\\\\(?:.|u[a-fA-F0-9]{0,4}))'/,\n greedy: true\n }\n })\n delete Prism.languages.kotlin['string']\n Prism.languages.insertBefore('kotlin', 'keyword', {\n annotation: {\n pattern: /\\B@(?:\\w+:)?(?:[A-Z]\\w*|\\[[^\\]]+\\])/,\n alias: 'builtin'\n }\n })\n Prism.languages.insertBefore('kotlin', 'function', {\n label: {\n pattern: /\\b\\w+@|@\\w+\\b/,\n alias: 'symbol'\n }\n })\n Prism.languages.kt = Prism.languages.kotlin\n Prism.languages.kts = Prism.languages.kotlin\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = kumir\nkumir.displayName = 'kumir'\nkumir.aliases = ['kum']\nfunction kumir(Prism) {\n /* eslint-disable regexp/no-dupe-characters-character-class */\n ;(function (Prism) {\n /**\n * Regular expression for characters that are not allowed in identifiers.\n *\n * @type {string}\n */\n var nonId = /\\s\\x00-\\x1f\\x22-\\x2f\\x3a-\\x3f\\x5b-\\x5e\\x60\\x7b-\\x7e/.source\n /**\n * Surround a regular expression for IDs with patterns for non-ID sequences.\n *\n * @param {string} pattern A regular expression for identifiers.\n * @param {string} [flags] The regular expression flags.\n * @returns {RegExp} A wrapped regular expression for identifiers.\n */\n function wrapId(pattern, flags) {\n return RegExp(pattern.replace(/<nonId>/g, nonId), flags)\n }\n Prism.languages.kumir = {\n comment: {\n pattern: /\\|.*/\n },\n prolog: {\n pattern: /#.*/,\n greedy: true\n },\n string: {\n pattern: /\"[^\\n\\r\"]*\"|'[^\\n\\r']*'/,\n greedy: true\n },\n boolean: {\n pattern: wrapId(/(^|[<nonId>])(?:да|нет)(?=[<nonId>]|$)/.source),\n lookbehind: true\n },\n 'operator-word': {\n pattern: wrapId(/(^|[<nonId>])(?:и|или|не)(?=[<nonId>]|$)/.source),\n lookbehind: true,\n alias: 'keyword'\n },\n 'system-variable': {\n pattern: wrapId(/(^|[<nonId>])знач(?=[<nonId>]|$)/.source),\n lookbehind: true,\n alias: 'keyword'\n },\n type: [\n {\n pattern: wrapId(\n /(^|[<nonId>])(?:вещ|лит|лог|сим|цел)(?:\\x20*таб)?(?=[<nonId>]|$)/\n .source\n ),\n lookbehind: true,\n alias: 'builtin'\n },\n {\n pattern: wrapId(\n /(^|[<nonId>])(?:компл|сканкод|файл|цвет)(?=[<nonId>]|$)/.source\n ),\n lookbehind: true,\n alias: 'important'\n }\n ],\n /**\n * Should be performed after searching for type names because of \"таб\".\n * \"таб\" is a reserved word, but never used without a preceding type name.\n * \"НАЗНАЧИТЬ\", \"Фввод\", and \"Фвывод\" are not reserved words.\n */\n keyword: {\n pattern: wrapId(\n /(^|[<nonId>])(?:алг|арг(?:\\x20*рез)?|ввод|ВКЛЮЧИТЬ|вс[её]|выбор|вывод|выход|дано|для|до|дс|если|иначе|исп|использовать|кон(?:(?:\\x20+|_)исп)?|кц(?:(?:\\x20+|_)при)?|надо|нач|нс|нц|от|пауза|пока|при|раза?|рез|стоп|таб|то|утв|шаг)(?=[<nonId>]|$)/\n .source\n ),\n lookbehind: true\n },\n /** Should be performed after searching for reserved words. */\n name: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: wrapId(\n /(^|[<nonId>])[^\\d<nonId>][^<nonId>]*(?:\\x20+[^<nonId>]+)*(?=[<nonId>]|$)/\n .source\n ),\n lookbehind: true\n },\n /** Should be performed after searching for names. */\n number: {\n pattern: wrapId(\n /(^|[<nonId>])(?:\\B\\$[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?)(?=[<nonId>]|$)/\n .source,\n 'i'\n ),\n lookbehind: true\n },\n /** Should be performed after searching for words. */\n punctuation: /:=|[(),:;\\[\\]]/,\n /**\n * Should be performed after searching for\n * - numeric constants (because of \"+\" and \"-\");\n * - punctuation marks (because of \":=\" and \"=\").\n */\n 'operator-char': {\n pattern: /\\*\\*?|<[=>]?|>=?|[-+/=]/,\n alias: 'operator'\n }\n }\n Prism.languages.kum = Prism.languages.kumir\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = kusto\nkusto.displayName = 'kusto'\nkusto.aliases = []\nfunction kusto(Prism) {\n Prism.languages.kusto = {\n comment: {\n pattern: /\\/\\/.*/,\n greedy: true\n },\n string: {\n pattern:\n /```[\\s\\S]*?```|[hH]?(?:\"(?:[^\\r\\n\\\\\"]|\\\\.)*\"|'(?:[^\\r\\n\\\\']|\\\\.)*'|@(?:\"[^\\r\\n\"]*\"|'[^\\r\\n']*'))/,\n greedy: true\n },\n verb: {\n pattern: /(\\|\\s*)[a-z][\\w-]*/i,\n lookbehind: true,\n alias: 'keyword'\n },\n command: {\n pattern: /\\.[a-z][a-z\\d-]*\\b/,\n alias: 'keyword'\n },\n 'class-name':\n /\\b(?:bool|datetime|decimal|dynamic|guid|int|long|real|string|timespan)\\b/,\n keyword:\n /\\b(?:access|alias|and|anti|as|asc|auto|between|by|(?:contains|(?:ends|starts)with|has(?:perfix|suffix)?)(?:_cs)?|database|declare|desc|external|from|fullouter|has_all|in|ingestion|inline|inner|innerunique|into|(?:left|right)(?:anti(?:semi)?|inner|outer|semi)?|let|like|local|not|of|on|or|pattern|print|query_parameters|range|restrict|schema|set|step|table|tables|to|view|where|with|matches\\s+regex|nulls\\s+(?:first|last))(?![\\w-])/,\n boolean: /\\b(?:false|null|true)\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/,\n datetime: [\n {\n // RFC 822 + RFC 850\n pattern:\n /\\b(?:(?:Fri|Friday|Mon|Monday|Sat|Saturday|Sun|Sunday|Thu|Thursday|Tue|Tuesday|Wed|Wednesday)\\s*,\\s*)?\\d{1,2}(?:\\s+|-)(?:Apr|Aug|Dec|Feb|Jan|Jul|Jun|Mar|May|Nov|Oct|Sep)(?:\\s+|-)\\d{2}\\s+\\d{2}:\\d{2}(?::\\d{2})?(?:\\s*(?:\\b(?:[A-Z]|(?:[ECMT][DS]|GM|U)T)|[+-]\\d{4}))?\\b/,\n alias: 'number'\n },\n {\n // ISO 8601\n pattern:\n /[+-]?\\b(?:\\d{4}-\\d{2}-\\d{2}(?:[ T]\\d{2}:\\d{2}(?::\\d{2}(?:\\.\\d+)?)?)?|\\d{2}:\\d{2}(?::\\d{2}(?:\\.\\d+)?)?)Z?/,\n alias: 'number'\n }\n ],\n number:\n /\\b(?:0x[0-9A-Fa-f]+|\\d+(?:\\.\\d+)?(?:[Ee][+-]?\\d+)?)(?:(?:min|sec|[mnµ]s|[dhms]|microsecond|tick)\\b)?|[+-]?\\binf\\b/,\n operator: /=>|[!=]~|[!=<>]=?|[-+*/%|]|\\.\\./,\n punctuation: /[()\\[\\]{},;.:]/\n }\n}\n","'use strict'\n\nmodule.exports = latex\nlatex.displayName = 'latex'\nlatex.aliases = ['tex', 'context']\nfunction latex(Prism) {\n ;(function (Prism) {\n var funcPattern = /\\\\(?:[^a-z()[\\]]|[a-z*]+)/i\n var insideEqu = {\n 'equation-command': {\n pattern: funcPattern,\n alias: 'regex'\n }\n }\n Prism.languages.latex = {\n comment: /%.*/,\n // the verbatim environment prints whitespace to the document\n cdata: {\n pattern:\n /(\\\\begin\\{((?:lstlisting|verbatim)\\*?)\\})[\\s\\S]*?(?=\\\\end\\{\\2\\})/,\n lookbehind: true\n },\n /*\n * equations can be between $$ $$ or $ $ or \\( \\) or \\[ \\]\n * (all are multiline)\n */\n equation: [\n {\n pattern:\n /\\$\\$(?:\\\\[\\s\\S]|[^\\\\$])+\\$\\$|\\$(?:\\\\[\\s\\S]|[^\\\\$])+\\$|\\\\\\([\\s\\S]*?\\\\\\)|\\\\\\[[\\s\\S]*?\\\\\\]/,\n inside: insideEqu,\n alias: 'string'\n },\n {\n pattern:\n /(\\\\begin\\{((?:align|eqnarray|equation|gather|math|multline)\\*?)\\})[\\s\\S]*?(?=\\\\end\\{\\2\\})/,\n lookbehind: true,\n inside: insideEqu,\n alias: 'string'\n }\n ],\n /*\n * arguments which are keywords or references are highlighted\n * as keywords\n */\n keyword: {\n pattern:\n /(\\\\(?:begin|cite|documentclass|end|label|ref|usepackage)(?:\\[[^\\]]+\\])?\\{)[^}]+(?=\\})/,\n lookbehind: true\n },\n url: {\n pattern: /(\\\\url\\{)[^}]+(?=\\})/,\n lookbehind: true\n },\n /*\n * section or chapter headlines are highlighted as bold so that\n * they stand out more\n */\n headline: {\n pattern:\n /(\\\\(?:chapter|frametitle|paragraph|part|section|subparagraph|subsection|subsubparagraph|subsubsection|subsubsubparagraph)\\*?(?:\\[[^\\]]+\\])?\\{)[^}]+(?=\\})/,\n lookbehind: true,\n alias: 'class-name'\n },\n function: {\n pattern: funcPattern,\n alias: 'selector'\n },\n punctuation: /[[\\]{}&]/\n }\n Prism.languages.tex = Prism.languages.latex\n Prism.languages.context = Prism.languages.latex\n })(Prism)\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nvar refractorPhp = require('./php.js')\nmodule.exports = latte\nlatte.displayName = 'latte'\nlatte.aliases = []\nfunction latte(Prism) {\n Prism.register(refractorMarkupTemplating)\n Prism.register(refractorPhp)\n ;(function (Prism) {\n Prism.languages.latte = {\n comment: /^\\{\\*[\\s\\S]*/,\n 'latte-tag': {\n // https://latte.nette.org/en/tags\n pattern: /(^\\{(?:\\/(?=[a-z]))?)(?:[=_]|[a-z]\\w*\\b(?!\\())/i,\n lookbehind: true,\n alias: 'important'\n },\n delimiter: {\n pattern: /^\\{\\/?|\\}$/,\n alias: 'punctuation'\n },\n php: {\n pattern: /\\S(?:[\\s\\S]*\\S)?/,\n alias: 'language-php',\n inside: Prism.languages.php\n }\n }\n var markupLatte = Prism.languages.extend('markup', {})\n Prism.languages.insertBefore(\n 'inside',\n 'attr-value',\n {\n 'n-attr': {\n pattern: /n:[\\w-]+(?:\\s*=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\">=]+))?/,\n inside: {\n 'attr-name': {\n pattern: /^[^\\s=]+/,\n alias: 'important'\n },\n 'attr-value': {\n pattern: /=[\\s\\S]+/,\n inside: {\n punctuation: [\n /^=/,\n {\n pattern: /^(\\s*)[\"']|[\"']$/,\n lookbehind: true\n }\n ],\n php: {\n pattern: /\\S(?:[\\s\\S]*\\S)?/,\n inside: Prism.languages.php\n }\n }\n }\n }\n }\n },\n markupLatte.tag\n )\n Prism.hooks.add('before-tokenize', function (env) {\n if (env.language !== 'latte') {\n return\n }\n var lattePattern =\n /\\{\\*[\\s\\S]*?\\*\\}|\\{[^'\"\\s{}*](?:[^\"'/{}]|\\/(?![*/])|(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/)*\\}/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'latte',\n lattePattern\n )\n env.grammar = markupLatte\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'latte')\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = less\nless.displayName = 'less'\nless.aliases = []\nfunction less(Prism) {\n /* FIXME :\n:extend() is not handled specifically : its highlighting is buggy.\nMixin usage must be inside a ruleset to be highlighted.\nAt-rules (e.g. import) containing interpolations are buggy.\nDetached rulesets are highlighted as at-rules.\nA comment before a mixin usage prevents the latter to be properly highlighted.\n*/\n Prism.languages.less = Prism.languages.extend('css', {\n comment: [\n /\\/\\*[\\s\\S]*?\\*\\//,\n {\n pattern: /(^|[^\\\\])\\/\\/.*/,\n lookbehind: true\n }\n ],\n atrule: {\n pattern:\n /@[\\w-](?:\\((?:[^(){}]|\\([^(){}]*\\))*\\)|[^(){};\\s]|\\s+(?!\\s))*?(?=\\s*\\{)/,\n inside: {\n punctuation: /[:()]/\n }\n },\n // selectors and mixins are considered the same\n selector: {\n pattern:\n /(?:@\\{[\\w-]+\\}|[^{};\\s@])(?:@\\{[\\w-]+\\}|\\((?:[^(){}]|\\([^(){}]*\\))*\\)|[^(){};@\\s]|\\s+(?!\\s))*?(?=\\s*\\{)/,\n inside: {\n // mixin parameters\n variable: /@+[\\w-]+/\n }\n },\n property: /(?:@\\{[\\w-]+\\}|[\\w-])+(?:\\+_?)?(?=\\s*:)/,\n operator: /[+\\-*\\/]/\n })\n Prism.languages.insertBefore('less', 'property', {\n variable: [\n // Variable declaration (the colon must be consumed!)\n {\n pattern: /@[\\w-]+\\s*:/,\n inside: {\n punctuation: /:/\n }\n }, // Variable usage\n /@@?[\\w-]+/\n ],\n 'mixin-usage': {\n pattern: /([{;]\\s*)[.#](?!\\d)[\\w-].*?(?=[(;])/,\n lookbehind: true,\n alias: 'function'\n }\n })\n}\n","'use strict'\nvar refractorScheme = require('./scheme.js')\nmodule.exports = lilypond\nlilypond.displayName = 'lilypond'\nlilypond.aliases = []\nfunction lilypond(Prism) {\n Prism.register(refractorScheme)\n ;(function (Prism) {\n var schemeExpression =\n /\\((?:[^();\"#\\\\]|\\\\[\\s\\S]|;.*(?!.)|\"(?:[^\"\\\\]|\\\\.)*\"|#(?:\\{(?:(?!#\\})[\\s\\S])*#\\}|[^{])|<expr>)*\\)/\n .source // allow for up to pow(2, recursivenessLog2) many levels of recursive brace expressions\n // For some reason, this can't be 4\n var recursivenessLog2 = 5\n for (var i = 0; i < recursivenessLog2; i++) {\n schemeExpression = schemeExpression.replace(/<expr>/g, function () {\n return schemeExpression\n })\n }\n schemeExpression = schemeExpression.replace(/<expr>/g, /[^\\s\\S]/.source)\n var lilypond = (Prism.languages.lilypond = {\n comment: /%(?:(?!\\{).*|\\{[\\s\\S]*?%\\})/,\n 'embedded-scheme': {\n pattern: RegExp(\n /(^|[=\\s])#(?:\"(?:[^\"\\\\]|\\\\.)*\"|[^\\s()\"]*(?:[^\\s()]|<expr>))/.source.replace(\n /<expr>/g,\n function () {\n return schemeExpression\n }\n ),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n scheme: {\n pattern: /^(#)[\\s\\S]+$/,\n lookbehind: true,\n alias: 'language-scheme',\n inside: {\n 'embedded-lilypond': {\n pattern: /#\\{[\\s\\S]*?#\\}/,\n greedy: true,\n inside: {\n punctuation: /^#\\{|#\\}$/,\n lilypond: {\n pattern: /[\\s\\S]+/,\n alias: 'language-lilypond',\n inside: null // see below\n }\n }\n },\n rest: Prism.languages.scheme\n }\n },\n punctuation: /#/\n }\n },\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\.)*\"/,\n greedy: true\n },\n 'class-name': {\n pattern: /(\\\\new\\s+)[\\w-]+/,\n lookbehind: true\n },\n keyword: {\n pattern: /\\\\[a-z][-\\w]*/i,\n inside: {\n punctuation: /^\\\\/\n }\n },\n operator: /[=|]|<<|>>/,\n punctuation: {\n pattern:\n /(^|[a-z\\d])(?:'+|,+|[_^]?-[_^]?(?:[-+^!>._]|(?=\\d))|[_^]\\.?|[.!])|[{}()[\\]<>^~]|\\\\[()[\\]<>\\\\!]|--|__/,\n lookbehind: true\n },\n number: /\\b\\d+(?:\\/\\d+)?\\b/\n })\n lilypond['embedded-scheme'].inside['scheme'].inside[\n 'embedded-lilypond'\n ].inside['lilypond'].inside = lilypond\n Prism.languages.ly = lilypond\n })(Prism)\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = liquid\nliquid.displayName = 'liquid'\nliquid.aliases = []\nfunction liquid(Prism) {\n Prism.register(refractorMarkupTemplating)\n Prism.languages.liquid = {\n comment: {\n pattern: /(^\\{%\\s*comment\\s*%\\})[\\s\\S]+(?=\\{%\\s*endcomment\\s*%\\}$)/,\n lookbehind: true\n },\n delimiter: {\n pattern: /^\\{(?:\\{\\{|[%\\{])-?|-?(?:\\}\\}|[%\\}])\\}$/,\n alias: 'punctuation'\n },\n string: {\n pattern: /\"[^\"]*\"|'[^']*'/,\n greedy: true\n },\n keyword:\n /\\b(?:as|assign|break|(?:end)?(?:capture|case|comment|for|form|if|paginate|raw|style|tablerow|unless)|continue|cycle|decrement|echo|else|elsif|in|include|increment|limit|liquid|offset|range|render|reversed|section|when|with)\\b/,\n object:\n /\\b(?:address|all_country_option_tags|article|block|blog|cart|checkout|collection|color|country|country_option_tags|currency|current_page|current_tags|customer|customer_address|date|discount_allocation|discount_application|external_video|filter|filter_value|font|forloop|fulfillment|generic_file|gift_card|group|handle|image|line_item|link|linklist|localization|location|measurement|media|metafield|model|model_source|order|page|page_description|page_image|page_title|part|policy|product|product_option|recommendations|request|robots|routes|rule|script|search|selling_plan|selling_plan_allocation|selling_plan_group|shipping_method|shop|shop_locale|sitemap|store_availability|tax_line|template|theme|transaction|unit_price_measurement|user_agent|variant|video|video_source)\\b/,\n function: [\n {\n pattern: /(\\|\\s*)\\w+/,\n lookbehind: true,\n alias: 'filter'\n },\n {\n // array functions\n pattern: /(\\.\\s*)(?:first|last|size)/,\n lookbehind: true\n }\n ],\n boolean: /\\b(?:false|nil|true)\\b/,\n range: {\n pattern: /\\.\\./,\n alias: 'operator'\n },\n // https://github.com/Shopify/liquid/blob/698f5e0d967423e013f6169d9111bd969bd78337/lib/liquid/lexer.rb#L21\n number: /\\b\\d+(?:\\.\\d+)?\\b/,\n operator: /[!=]=|<>|[<>]=?|[|?:=-]|\\b(?:and|contains(?=\\s)|or)\\b/,\n punctuation: /[.,\\[\\]()]/,\n empty: {\n pattern: /\\bempty\\b/,\n alias: 'keyword'\n }\n }\n Prism.hooks.add('before-tokenize', function (env) {\n var liquidPattern =\n /\\{%\\s*comment\\s*%\\}[\\s\\S]*?\\{%\\s*endcomment\\s*%\\}|\\{(?:%[\\s\\S]*?%|\\{\\{[\\s\\S]*?\\}\\}|\\{[\\s\\S]*?\\})\\}/g\n var insideRaw = false\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'liquid',\n liquidPattern,\n function (match) {\n var tagMatch = /^\\{%-?\\s*(\\w+)/.exec(match)\n if (tagMatch) {\n var tag = tagMatch[1]\n if (tag === 'raw' && !insideRaw) {\n insideRaw = true\n return true\n } else if (tag === 'endraw') {\n insideRaw = false\n return true\n }\n }\n return !insideRaw\n }\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'liquid')\n })\n}\n","'use strict'\n\nmodule.exports = lisp\nlisp.displayName = 'lisp'\nlisp.aliases = []\nfunction lisp(Prism) {\n ;(function (Prism) {\n /**\n * Functions to construct regular expressions\n * e.g. (interactive ... or (interactive)\n *\n * @param {string} name\n * @returns {RegExp}\n */\n function simple_form(name) {\n return RegExp(/(\\()/.source + '(?:' + name + ')' + /(?=[\\s\\)])/.source)\n }\n /**\n * booleans and numbers\n *\n * @param {string} pattern\n * @returns {RegExp}\n */\n function primitive(pattern) {\n return RegExp(\n /([\\s([])/.source + '(?:' + pattern + ')' + /(?=[\\s)])/.source\n )\n } // Patterns in regular expressions\n // Symbol name. See https://www.gnu.org/software/emacs/manual/html_node/elisp/Symbol-Type.html\n // & and : are excluded as they are usually used for special purposes\n var symbol = /(?!\\d)[-+*/~!@$%^=<>{}\\w]+/.source // symbol starting with & used in function arguments\n var marker = '&' + symbol // Open parenthesis for look-behind\n var par = '(\\\\()'\n var endpar = '(?=\\\\))' // End the pattern with look-ahead space\n var space = '(?=\\\\s)'\n var nestedPar =\n /(?:[^()]|\\((?:[^()]|\\((?:[^()]|\\((?:[^()]|\\((?:[^()]|\\([^()]*\\))*\\))*\\))*\\))*\\))*/\n .source\n var language = {\n // Three or four semicolons are considered a heading.\n // See https://www.gnu.org/software/emacs/manual/html_node/elisp/Comment-Tips.html\n heading: {\n pattern: /;;;.*/,\n alias: ['comment', 'title']\n },\n comment: /;.*/,\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\.)*\"/,\n greedy: true,\n inside: {\n argument: /[-A-Z]+(?=[.,\\s])/,\n symbol: RegExp('`' + symbol + \"'\")\n }\n },\n 'quoted-symbol': {\n pattern: RegExp(\"#?'\" + symbol),\n alias: ['variable', 'symbol']\n },\n 'lisp-property': {\n pattern: RegExp(':' + symbol),\n alias: 'property'\n },\n splice: {\n pattern: RegExp(',@?' + symbol),\n alias: ['symbol', 'variable']\n },\n keyword: [\n {\n pattern: RegExp(\n par +\n '(?:and|(?:cl-)?letf|cl-loop|cond|cons|error|if|(?:lexical-)?let\\\\*?|message|not|null|or|provide|require|setq|unless|use-package|when|while)' +\n space\n ),\n lookbehind: true\n },\n {\n pattern: RegExp(\n par +\n '(?:append|by|collect|concat|do|finally|for|in|return)' +\n space\n ),\n lookbehind: true\n }\n ],\n declare: {\n pattern: simple_form(/declare/.source),\n lookbehind: true,\n alias: 'keyword'\n },\n interactive: {\n pattern: simple_form(/interactive/.source),\n lookbehind: true,\n alias: 'keyword'\n },\n boolean: {\n pattern: primitive(/nil|t/.source),\n lookbehind: true\n },\n number: {\n pattern: primitive(/[-+]?\\d+(?:\\.\\d*)?/.source),\n lookbehind: true\n },\n defvar: {\n pattern: RegExp(par + 'def(?:const|custom|group|var)\\\\s+' + symbol),\n lookbehind: true,\n inside: {\n keyword: /^def[a-z]+/,\n variable: RegExp(symbol)\n }\n },\n defun: {\n pattern: RegExp(\n par +\n /(?:cl-)?(?:defmacro|defun\\*?)\\s+/.source +\n symbol +\n /\\s+\\(/.source +\n nestedPar +\n /\\)/.source\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n keyword: /^(?:cl-)?def\\S+/,\n // See below, this property needs to be defined later so that it can\n // reference the language object.\n arguments: null,\n function: {\n pattern: RegExp('(^\\\\s)' + symbol),\n lookbehind: true\n },\n punctuation: /[()]/\n }\n },\n lambda: {\n pattern: RegExp(\n par +\n 'lambda\\\\s+\\\\(\\\\s*(?:&?' +\n symbol +\n '(?:\\\\s+&?' +\n symbol +\n ')*\\\\s*)?\\\\)'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n keyword: /^lambda/,\n // See below, this property needs to be defined later so that it can\n // reference the language object.\n arguments: null,\n punctuation: /[()]/\n }\n },\n car: {\n pattern: RegExp(par + symbol),\n lookbehind: true\n },\n punctuation: [\n // open paren, brackets, and close paren\n /(?:['`,]?\\(|[)\\[\\]])/, // cons\n {\n pattern: /(\\s)\\.(?=\\s)/,\n lookbehind: true\n }\n ]\n }\n var arg = {\n 'lisp-marker': RegExp(marker),\n varform: {\n pattern: RegExp(\n /\\(/.source + symbol + /\\s+(?=\\S)/.source + nestedPar + /\\)/.source\n ),\n inside: language\n },\n argument: {\n pattern: RegExp(/(^|[\\s(])/.source + symbol),\n lookbehind: true,\n alias: 'variable'\n },\n rest: language\n }\n var forms = '\\\\S+(?:\\\\s+\\\\S+)*'\n var arglist = {\n pattern: RegExp(par + nestedPar + endpar),\n lookbehind: true,\n inside: {\n 'rest-vars': {\n pattern: RegExp('&(?:body|rest)\\\\s+' + forms),\n inside: arg\n },\n 'other-marker-vars': {\n pattern: RegExp('&(?:aux|optional)\\\\s+' + forms),\n inside: arg\n },\n keys: {\n pattern: RegExp('&key\\\\s+' + forms + '(?:\\\\s+&allow-other-keys)?'),\n inside: arg\n },\n argument: {\n pattern: RegExp(symbol),\n alias: 'variable'\n },\n punctuation: /[()]/\n }\n }\n language['lambda'].inside.arguments = arglist\n language['defun'].inside.arguments = Prism.util.clone(arglist)\n language['defun'].inside.arguments.inside.sublist = arglist\n Prism.languages.lisp = language\n Prism.languages.elisp = language\n Prism.languages.emacs = language\n Prism.languages['emacs-lisp'] = language\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = livescript\nlivescript.displayName = 'livescript'\nlivescript.aliases = []\nfunction livescript(Prism) {\n Prism.languages.livescript = {\n comment: [\n {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?\\*\\//,\n lookbehind: true\n },\n {\n pattern: /(^|[^\\\\])#.*/,\n lookbehind: true\n }\n ],\n 'interpolated-string': {\n /* Look-behind and look-ahead prevents wrong behavior of the greedy pattern\n * forcing it to match \"\"\"-quoted string when it would otherwise match \"-quoted first. */\n pattern: /(^|[^\"])(\"\"\"|\")(?:\\\\[\\s\\S]|(?!\\2)[^\\\\])*\\2(?!\")/,\n lookbehind: true,\n greedy: true,\n inside: {\n variable: {\n pattern: /(^|[^\\\\])#[a-z_](?:-?[a-z]|[\\d_])*/m,\n lookbehind: true\n },\n interpolation: {\n pattern: /(^|[^\\\\])#\\{[^}]+\\}/m,\n lookbehind: true,\n inside: {\n 'interpolation-punctuation': {\n pattern: /^#\\{|\\}$/,\n alias: 'variable'\n } // See rest below\n }\n },\n string: /[\\s\\S]+/\n }\n },\n string: [\n {\n pattern: /('''|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1/,\n greedy: true\n },\n {\n pattern: /<\\[[\\s\\S]*?\\]>/,\n greedy: true\n },\n /\\\\[^\\s,;\\])}]+/\n ],\n regex: [\n {\n pattern: /\\/\\/(?:\\[[^\\r\\n\\]]*\\]|\\\\.|(?!\\/\\/)[^\\\\\\[])+\\/\\/[gimyu]{0,5}/,\n greedy: true,\n inside: {\n comment: {\n pattern: /(^|[^\\\\])#.*/,\n lookbehind: true\n }\n }\n },\n {\n pattern: /\\/(?:\\[[^\\r\\n\\]]*\\]|\\\\.|[^/\\\\\\r\\n\\[])+\\/[gimyu]{0,5}/,\n greedy: true\n }\n ],\n keyword: {\n pattern:\n /(^|(?!-).)\\b(?:break|case|catch|class|const|continue|default|do|else|extends|fallthrough|finally|for(?: ever)?|function|if|implements|it|let|loop|new|null|otherwise|own|return|super|switch|that|then|this|throw|try|unless|until|var|void|when|while|yield)(?!-)\\b/m,\n lookbehind: true\n },\n 'keyword-operator': {\n pattern:\n /(^|[^-])\\b(?:(?:delete|require|typeof)!|(?:and|by|delete|export|from|import(?: all)?|in|instanceof|is(?: not|nt)?|not|of|or|til|to|typeof|with|xor)(?!-)\\b)/m,\n lookbehind: true,\n alias: 'operator'\n },\n boolean: {\n pattern: /(^|[^-])\\b(?:false|no|off|on|true|yes)(?!-)\\b/m,\n lookbehind: true\n },\n argument: {\n // Don't match .&. nor &&\n pattern: /(^|(?!\\.&\\.)[^&])&(?!&)\\d*/m,\n lookbehind: true,\n alias: 'variable'\n },\n number: /\\b(?:\\d+~[\\da-z]+|\\d[\\d_]*(?:\\.\\d[\\d_]*)?(?:[a-z]\\w*)?)/i,\n identifier: /[a-z_](?:-?[a-z]|[\\d_])*/i,\n operator: [\n // Spaced .\n {\n pattern: /( )\\.(?= )/,\n lookbehind: true\n }, // Full list, in order:\n // .= .~ .. ...\n // .&. .^. .<<. .>>. .>>>.\n // := :: ::=\n // &&\n // || |>\n // < << <<< <<<<\n // <- <-- <-! <--!\n // <~ <~~ <~! <~~!\n // <| <= <?\n // > >> >= >?\n // - -- -> -->\n // + ++\n // @ @@\n // % %%\n // * **\n // ! != !~=\n // !~> !~~>\n // !-> !-->\n // ~ ~> ~~> ~=\n // = ==\n // ^ ^^\n // / ?\n /\\.(?:[=~]|\\.\\.?)|\\.(?:[&|^]|<<|>>>?)\\.|:(?:=|:=?)|&&|\\|[|>]|<(?:<<?<?|--?!?|~~?!?|[|=?])?|>[>=?]?|-(?:->?|>)?|\\+\\+?|@@?|%%?|\\*\\*?|!(?:~?=|--?>|~?~>)?|~(?:~?>|=)?|==?|\\^\\^?|[\\/?]/\n ],\n punctuation: /[(){}\\[\\]|.,:;`]/\n }\n Prism.languages.livescript['interpolated-string'].inside[\n 'interpolation'\n ].inside.rest = Prism.languages.livescript\n}\n","'use strict'\n\nmodule.exports = llvm\nllvm.displayName = 'llvm'\nllvm.aliases = []\nfunction llvm(Prism) {\n ;(function (Prism) {\n Prism.languages.llvm = {\n comment: /;.*/,\n string: {\n pattern: /\"[^\"]*\"/,\n greedy: true\n },\n boolean: /\\b(?:false|true)\\b/,\n variable: /[%@!#](?:(?!\\d)(?:[-$.\\w]|\\\\[a-f\\d]{2})+|\\d+)/i,\n label: /(?!\\d)(?:[-$.\\w]|\\\\[a-f\\d]{2})+:/i,\n type: {\n pattern:\n /\\b(?:double|float|fp128|half|i[1-9]\\d*|label|metadata|ppc_fp128|token|void|x86_fp80|x86_mmx)\\b/,\n alias: 'class-name'\n },\n keyword: /\\b[a-z_][a-z_0-9]*\\b/,\n number:\n /[+-]?\\b\\d+(?:\\.\\d+)?(?:[eE][+-]?\\d+)?\\b|\\b0x[\\dA-Fa-f]+\\b|\\b0xK[\\dA-Fa-f]{20}\\b|\\b0x[ML][\\dA-Fa-f]{32}\\b|\\b0xH[\\dA-Fa-f]{4}\\b/,\n punctuation: /[{}[\\];(),.!*=<>]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = log\nlog.displayName = 'log'\nlog.aliases = []\nfunction log(Prism) {\n // This is a language definition for generic log files.\n // Since there is no one log format, this language definition has to support all formats to some degree.\n //\n // Based on https://github.com/MTDL9/vim-log-highlighting\n Prism.languages.log = {\n string: {\n // Single-quoted strings must not be confused with plain text. E.g. Can't isn't Susan's Chris' toy\n pattern: /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?![st] | \\w)(?:[^'\\\\\\r\\n]|\\\\.)*'/,\n greedy: true\n },\n exception: {\n pattern:\n /(^|[^\\w.])[a-z][\\w.]*(?:Error|Exception):.*(?:(?:\\r\\n?|\\n)[ \\t]*(?:at[ \\t].+|\\.{3}.*|Caused by:.*))+(?:(?:\\r\\n?|\\n)[ \\t]*\\.\\.\\. .*)?/,\n lookbehind: true,\n greedy: true,\n alias: ['javastacktrace', 'language-javastacktrace'],\n inside: Prism.languages['javastacktrace'] || {\n keyword: /\\bat\\b/,\n function: /[a-z_][\\w$]*(?=\\()/,\n punctuation: /[.:()]/\n }\n },\n level: [\n {\n pattern:\n /\\b(?:ALERT|CRIT|CRITICAL|EMERG|EMERGENCY|ERR|ERROR|FAILURE|FATAL|SEVERE)\\b/,\n alias: ['error', 'important']\n },\n {\n pattern: /\\b(?:WARN|WARNING|WRN)\\b/,\n alias: ['warning', 'important']\n },\n {\n pattern: /\\b(?:DISPLAY|INF|INFO|NOTICE|STATUS)\\b/,\n alias: ['info', 'keyword']\n },\n {\n pattern: /\\b(?:DBG|DEBUG|FINE)\\b/,\n alias: ['debug', 'keyword']\n },\n {\n pattern: /\\b(?:FINER|FINEST|TRACE|TRC|VERBOSE|VRB)\\b/,\n alias: ['trace', 'comment']\n }\n ],\n property: {\n pattern:\n /((?:^|[\\]|])[ \\t]*)[a-z_](?:[\\w-]|\\b\\/\\b)*(?:[. ]\\(?\\w(?:[\\w-]|\\b\\/\\b)*\\)?)*:(?=\\s)/im,\n lookbehind: true\n },\n separator: {\n pattern: /(^|[^-+])-{3,}|={3,}|\\*{3,}|- - /m,\n lookbehind: true,\n alias: 'comment'\n },\n url: /\\b(?:file|ftp|https?):\\/\\/[^\\s|,;'\"]*[^\\s|,;'\">.]/,\n email: {\n pattern: /(^|\\s)[-\\w+.]+@[a-z][a-z0-9-]*(?:\\.[a-z][a-z0-9-]*)+(?=\\s)/,\n lookbehind: true,\n alias: 'url'\n },\n 'ip-address': {\n pattern: /\\b(?:\\d{1,3}(?:\\.\\d{1,3}){3})\\b/,\n alias: 'constant'\n },\n 'mac-address': {\n pattern: /\\b[a-f0-9]{2}(?::[a-f0-9]{2}){5}\\b/i,\n alias: 'constant'\n },\n domain: {\n pattern:\n /(^|\\s)[a-z][a-z0-9-]*(?:\\.[a-z][a-z0-9-]*)*\\.[a-z][a-z0-9-]+(?=\\s)/,\n lookbehind: true,\n alias: 'constant'\n },\n uuid: {\n pattern:\n /\\b[0-9a-f]{8}-[0-9a-f]{4}-[0-9a-f]{4}-[0-9a-f]{4}-[0-9a-f]{12}\\b/i,\n alias: 'constant'\n },\n hash: {\n pattern: /\\b(?:[a-f0-9]{32}){1,2}\\b/i,\n alias: 'constant'\n },\n 'file-path': {\n pattern:\n /\\b[a-z]:[\\\\/][^\\s|,;:(){}\\[\\]\"']+|(^|[\\s:\\[\\](>|])\\.{0,2}\\/\\w[^\\s|,;:(){}\\[\\]\"']*/i,\n lookbehind: true,\n greedy: true,\n alias: 'string'\n },\n date: {\n pattern: RegExp(\n /\\b\\d{4}[-/]\\d{2}[-/]\\d{2}(?:T(?=\\d{1,2}:)|(?=\\s\\d{1,2}:))/.source +\n '|' +\n /\\b\\d{1,4}[-/ ](?:\\d{1,2}|Apr|Aug|Dec|Feb|Jan|Jul|Jun|Mar|May|Nov|Oct|Sep)[-/ ]\\d{2,4}T?\\b/\n .source +\n '|' +\n /\\b(?:(?:Fri|Mon|Sat|Sun|Thu|Tue|Wed)(?:\\s{1,2}(?:Apr|Aug|Dec|Feb|Jan|Jul|Jun|Mar|May|Nov|Oct|Sep))?|Apr|Aug|Dec|Feb|Jan|Jul|Jun|Mar|May|Nov|Oct|Sep)\\s{1,2}\\d{1,2}\\b/\n .source,\n 'i'\n ),\n alias: 'number'\n },\n time: {\n pattern:\n /\\b\\d{1,2}:\\d{1,2}:\\d{1,2}(?:[.,:]\\d+)?(?:\\s?[+-]\\d{2}:?\\d{2}|Z)?\\b/,\n alias: 'number'\n },\n boolean: /\\b(?:false|null|true)\\b/i,\n number: {\n pattern:\n /(^|[^.\\w])(?:0x[a-f0-9]+|0o[0-7]+|0b[01]+|v?\\d[\\da-f]*(?:\\.\\d+)*(?:e[+-]?\\d+)?[a-z]{0,3}\\b)\\b(?!\\.\\w)/i,\n lookbehind: true\n },\n operator: /[;:?<=>~/@!$%&+\\-|^(){}*#]/,\n punctuation: /[\\[\\].,]/\n }\n}\n","'use strict'\n\nmodule.exports = lolcode\nlolcode.displayName = 'lolcode'\nlolcode.aliases = []\nfunction lolcode(Prism) {\n Prism.languages.lolcode = {\n comment: [/\\bOBTW\\s[\\s\\S]*?\\sTLDR\\b/, /\\bBTW.+/],\n string: {\n pattern: /\"(?::.|[^\":])*\"/,\n inside: {\n variable: /:\\{[^}]+\\}/,\n symbol: [/:\\([a-f\\d]+\\)/i, /:\\[[^\\]]+\\]/, /:[)>o\":]/]\n },\n greedy: true\n },\n number: /(?:\\B-)?(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)/,\n symbol: {\n pattern: /(^|\\s)(?:A )?(?:BUKKIT|NOOB|NUMBAR|NUMBR|TROOF|YARN)(?=\\s|,|$)/,\n lookbehind: true,\n inside: {\n keyword: /A(?=\\s)/\n }\n },\n label: {\n pattern: /((?:^|\\s)(?:IM IN YR|IM OUTTA YR) )[a-zA-Z]\\w*/,\n lookbehind: true,\n alias: 'string'\n },\n function: {\n pattern: /((?:^|\\s)(?:HOW IZ I|I IZ|IZ) )[a-zA-Z]\\w*/,\n lookbehind: true\n },\n keyword: [\n {\n pattern:\n /(^|\\s)(?:AN|FOUND YR|GIMMEH|GTFO|HAI|HAS A|HOW IZ I|I HAS A|I IZ|IF U SAY SO|IM IN YR|IM OUTTA YR|IS NOW(?: A)?|ITZ(?: A)?|IZ|KTHX|KTHXBYE|LIEK(?: A)?|MAEK|MEBBE|MKAY|NERFIN|NO WAI|O HAI IM|O RLY\\?|OIC|OMG|OMGWTF|R|SMOOSH|SRS|TIL|UPPIN|VISIBLE|WILE|WTF\\?|YA RLY|YR)(?=\\s|,|$)/,\n lookbehind: true\n },\n /'Z(?=\\s|,|$)/\n ],\n boolean: {\n pattern: /(^|\\s)(?:FAIL|WIN)(?=\\s|,|$)/,\n lookbehind: true\n },\n variable: {\n pattern: /(^|\\s)IT(?=\\s|,|$)/,\n lookbehind: true\n },\n operator: {\n pattern:\n /(^|\\s)(?:NOT|BOTH SAEM|DIFFRINT|(?:ALL|ANY|BIGGR|BOTH|DIFF|EITHER|MOD|PRODUKT|QUOSHUNT|SMALLR|SUM|WON) OF)(?=\\s|,|$)/,\n lookbehind: true\n },\n punctuation: /\\.{3}|…|,|!/\n }\n}\n","'use strict'\n\nmodule.exports = lua\nlua.displayName = 'lua'\nlua.aliases = []\nfunction lua(Prism) {\n Prism.languages.lua = {\n comment: /^#!.+|--(?:\\[(=*)\\[[\\s\\S]*?\\]\\1\\]|.*)/m,\n // \\z may be used to skip the following space\n string: {\n pattern:\n /([\"'])(?:(?!\\1)[^\\\\\\r\\n]|\\\\z(?:\\r\\n|\\s)|\\\\(?:\\r\\n|[^z]))*\\1|\\[(=*)\\[[\\s\\S]*?\\]\\2\\]/,\n greedy: true\n },\n number:\n /\\b0x[a-f\\d]+(?:\\.[a-f\\d]*)?(?:p[+-]?\\d+)?\\b|\\b\\d+(?:\\.\\B|(?:\\.\\d*)?(?:e[+-]?\\d+)?\\b)|\\B\\.\\d+(?:e[+-]?\\d+)?\\b/i,\n keyword:\n /\\b(?:and|break|do|else|elseif|end|false|for|function|goto|if|in|local|nil|not|or|repeat|return|then|true|until|while)\\b/,\n function: /(?!\\d)\\w+(?=\\s*(?:[({]))/,\n operator: [\n /[-+*%^&|#]|\\/\\/?|<[<=]?|>[>=]?|[=~]=?/,\n {\n // Match \"..\" but don't break \"...\"\n pattern: /(^|[^.])\\.\\.(?!\\.)/,\n lookbehind: true\n }\n ],\n punctuation: /[\\[\\](){},;]|\\.+|:+/\n }\n}\n","'use strict'\n\nmodule.exports = magma\nmagma.displayName = 'magma'\nmagma.aliases = []\nfunction magma(Prism) {\n Prism.languages.magma = {\n output: {\n pattern:\n /^(>.*(?:\\r(?:\\n|(?!\\n))|\\n))(?!>)(?:.+|(?:\\r(?:\\n|(?!\\n))|\\n)(?!>).*)(?:(?:\\r(?:\\n|(?!\\n))|\\n)(?!>).*)*/m,\n lookbehind: true,\n greedy: true\n },\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n string: {\n pattern: /(^|[^\\\\\"])\"(?:[^\\r\\n\\\\\"]|\\\\.)*\"/,\n lookbehind: true,\n greedy: true\n },\n // http://magma.maths.usyd.edu.au/magma/handbook/text/82\n keyword:\n /\\b(?:_|adj|and|assert|assert2|assert3|assigned|break|by|case|cat|catch|clear|cmpeq|cmpne|continue|declare|default|delete|diff|div|do|elif|else|end|eq|error|eval|exists|exit|for|forall|forward|fprintf|freeze|function|ge|gt|if|iload|import|in|intrinsic|is|join|le|load|local|lt|meet|mod|ne|not|notadj|notin|notsubset|or|print|printf|procedure|quit|random|read|readi|repeat|require|requirege|requirerange|restore|return|save|sdiff|select|subset|then|time|to|try|until|vprint|vprintf|vtime|when|where|while|xor)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n generator: {\n pattern: /\\b[a-z_]\\w*(?=\\s*<)/i,\n alias: 'class-name'\n },\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n number: {\n pattern:\n /(^|[^\\w.]|\\.\\.)(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:[eE][+-]?\\d+)?(?:_[a-z]?)?(?=$|[^\\w.]|\\.\\.)/,\n lookbehind: true\n },\n operator: /->|[-+*/^~!|#=]|:=|\\.\\./,\n punctuation: /[()[\\]{}<>,;.:]/\n }\n}\n","'use strict'\n\nmodule.exports = makefile\nmakefile.displayName = 'makefile'\nmakefile.aliases = []\nfunction makefile(Prism) {\n Prism.languages.makefile = {\n comment: {\n pattern: /(^|[^\\\\])#(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\r\\n])*/,\n lookbehind: true\n },\n string: {\n pattern: /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n 'builtin-target': {\n pattern: /\\.[A-Z][^:#=\\s]+(?=\\s*:(?!=))/,\n alias: 'builtin'\n },\n target: {\n pattern: /^(?:[^:=\\s]|[ \\t]+(?![\\s:]))+(?=\\s*:(?!=))/m,\n alias: 'symbol',\n inside: {\n variable: /\\$+(?:(?!\\$)[^(){}:#=\\s]+|(?=[({]))/\n }\n },\n variable: /\\$+(?:(?!\\$)[^(){}:#=\\s]+|\\([@*%<^+?][DF]\\)|(?=[({]))/,\n // Directives\n keyword:\n /-include\\b|\\b(?:define|else|endef|endif|export|ifn?def|ifn?eq|include|override|private|sinclude|undefine|unexport|vpath)\\b/,\n function: {\n pattern:\n /(\\()(?:abspath|addsuffix|and|basename|call|dir|error|eval|file|filter(?:-out)?|findstring|firstword|flavor|foreach|guile|if|info|join|lastword|load|notdir|or|origin|patsubst|realpath|shell|sort|strip|subst|suffix|value|warning|wildcard|word(?:list|s)?)(?=[ \\t])/,\n lookbehind: true\n },\n operator: /(?:::|[?:+!])?=|[|@]/,\n punctuation: /[:;(){}]/\n }\n}\n","'use strict'\n\nmodule.exports = markdown\nmarkdown.displayName = 'markdown'\nmarkdown.aliases = ['md']\nfunction markdown(Prism) {\n ;(function (Prism) {\n // Allow only one line break\n var inner = /(?:\\\\.|[^\\\\\\n\\r]|(?:\\n|\\r\\n?)(?![\\r\\n]))/.source\n /**\n * This function is intended for the creation of the bold or italic pattern.\n *\n * This also adds a lookbehind group to the given pattern to ensure that the pattern is not backslash-escaped.\n *\n * _Note:_ Keep in mind that this adds a capturing group.\n *\n * @param {string} pattern\n * @returns {RegExp}\n */\n function createInline(pattern) {\n pattern = pattern.replace(/<inner>/g, function () {\n return inner\n })\n return RegExp(/((?:^|[^\\\\])(?:\\\\{2})*)/.source + '(?:' + pattern + ')')\n }\n var tableCell = /(?:\\\\.|``(?:[^`\\r\\n]|`(?!`))+``|`[^`\\r\\n]+`|[^\\\\|\\r\\n`])+/\n .source\n var tableRow =\n /\\|?__(?:\\|__)+\\|?(?:(?:\\n|\\r\\n?)|(?![\\s\\S]))/.source.replace(\n /__/g,\n function () {\n return tableCell\n }\n )\n var tableLine =\n /\\|?[ \\t]*:?-{3,}:?[ \\t]*(?:\\|[ \\t]*:?-{3,}:?[ \\t]*)+\\|?(?:\\n|\\r\\n?)/\n .source\n Prism.languages.markdown = Prism.languages.extend('markup', {})\n Prism.languages.insertBefore('markdown', 'prolog', {\n 'front-matter-block': {\n pattern: /(^(?:\\s*[\\r\\n])?)---(?!.)[\\s\\S]*?[\\r\\n]---(?!.)/,\n lookbehind: true,\n greedy: true,\n inside: {\n punctuation: /^---|---$/,\n 'front-matter': {\n pattern: /\\S+(?:\\s+\\S+)*/,\n alias: ['yaml', 'language-yaml'],\n inside: Prism.languages.yaml\n }\n }\n },\n blockquote: {\n // > ...\n pattern: /^>(?:[\\t ]*>)*/m,\n alias: 'punctuation'\n },\n table: {\n pattern: RegExp(\n '^' + tableRow + tableLine + '(?:' + tableRow + ')*',\n 'm'\n ),\n inside: {\n 'table-data-rows': {\n pattern: RegExp(\n '^(' + tableRow + tableLine + ')(?:' + tableRow + ')*$'\n ),\n lookbehind: true,\n inside: {\n 'table-data': {\n pattern: RegExp(tableCell),\n inside: Prism.languages.markdown\n },\n punctuation: /\\|/\n }\n },\n 'table-line': {\n pattern: RegExp('^(' + tableRow + ')' + tableLine + '$'),\n lookbehind: true,\n inside: {\n punctuation: /\\||:?-{3,}:?/\n }\n },\n 'table-header-row': {\n pattern: RegExp('^' + tableRow + '$'),\n inside: {\n 'table-header': {\n pattern: RegExp(tableCell),\n alias: 'important',\n inside: Prism.languages.markdown\n },\n punctuation: /\\|/\n }\n }\n }\n },\n code: [\n {\n // Prefixed by 4 spaces or 1 tab and preceded by an empty line\n pattern:\n /((?:^|\\n)[ \\t]*\\n|(?:^|\\r\\n?)[ \\t]*\\r\\n?)(?: {4}|\\t).+(?:(?:\\n|\\r\\n?)(?: {4}|\\t).+)*/,\n lookbehind: true,\n alias: 'keyword'\n },\n {\n // ```optional language\n // code block\n // ```\n pattern: /^```[\\s\\S]*?^```$/m,\n greedy: true,\n inside: {\n 'code-block': {\n pattern: /^(```.*(?:\\n|\\r\\n?))[\\s\\S]+?(?=(?:\\n|\\r\\n?)^```$)/m,\n lookbehind: true\n },\n 'code-language': {\n pattern: /^(```).+/,\n lookbehind: true\n },\n punctuation: /```/\n }\n }\n ],\n title: [\n {\n // title 1\n // =======\n // title 2\n // -------\n pattern: /\\S.*(?:\\n|\\r\\n?)(?:==+|--+)(?=[ \\t]*$)/m,\n alias: 'important',\n inside: {\n punctuation: /==+$|--+$/\n }\n },\n {\n // # title 1\n // ###### title 6\n pattern: /(^\\s*)#.+/m,\n lookbehind: true,\n alias: 'important',\n inside: {\n punctuation: /^#+|#+$/\n }\n }\n ],\n hr: {\n // ***\n // ---\n // * * *\n // -----------\n pattern: /(^\\s*)([*-])(?:[\\t ]*\\2){2,}(?=\\s*$)/m,\n lookbehind: true,\n alias: 'punctuation'\n },\n list: {\n // * item\n // + item\n // - item\n // 1. item\n pattern: /(^\\s*)(?:[*+-]|\\d+\\.)(?=[\\t ].)/m,\n lookbehind: true,\n alias: 'punctuation'\n },\n 'url-reference': {\n // [id]: http://example.com \"Optional title\"\n // [id]: http://example.com 'Optional title'\n // [id]: http://example.com (Optional title)\n // [id]: <http://example.com> \"Optional title\"\n pattern:\n /!?\\[[^\\]]+\\]:[\\t ]+(?:\\S+|<(?:\\\\.|[^>\\\\])+>)(?:[\\t ]+(?:\"(?:\\\\.|[^\"\\\\])*\"|'(?:\\\\.|[^'\\\\])*'|\\((?:\\\\.|[^)\\\\])*\\)))?/,\n inside: {\n variable: {\n pattern: /^(!?\\[)[^\\]]+/,\n lookbehind: true\n },\n string:\n /(?:\"(?:\\\\.|[^\"\\\\])*\"|'(?:\\\\.|[^'\\\\])*'|\\((?:\\\\.|[^)\\\\])*\\))$/,\n punctuation: /^[\\[\\]!:]|[<>]/\n },\n alias: 'url'\n },\n bold: {\n // **strong**\n // __strong__\n // allow one nested instance of italic text using the same delimiter\n pattern: createInline(\n /\\b__(?:(?!_)<inner>|_(?:(?!_)<inner>)+_)+__\\b|\\*\\*(?:(?!\\*)<inner>|\\*(?:(?!\\*)<inner>)+\\*)+\\*\\*/\n .source\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n content: {\n pattern: /(^..)[\\s\\S]+(?=..$)/,\n lookbehind: true,\n inside: {} // see below\n },\n punctuation: /\\*\\*|__/\n }\n },\n italic: {\n // *em*\n // _em_\n // allow one nested instance of bold text using the same delimiter\n pattern: createInline(\n /\\b_(?:(?!_)<inner>|__(?:(?!_)<inner>)+__)+_\\b|\\*(?:(?!\\*)<inner>|\\*\\*(?:(?!\\*)<inner>)+\\*\\*)+\\*/\n .source\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n content: {\n pattern: /(^.)[\\s\\S]+(?=.$)/,\n lookbehind: true,\n inside: {} // see below\n },\n punctuation: /[*_]/\n }\n },\n strike: {\n // ~~strike through~~\n // ~strike~\n // eslint-disable-next-line regexp/strict\n pattern: createInline(/(~~?)(?:(?!~)<inner>)+\\2/.source),\n lookbehind: true,\n greedy: true,\n inside: {\n content: {\n pattern: /(^~~?)[\\s\\S]+(?=\\1$)/,\n lookbehind: true,\n inside: {} // see below\n },\n punctuation: /~~?/\n }\n },\n 'code-snippet': {\n // `code`\n // ``code``\n pattern:\n /(^|[^\\\\`])(?:``[^`\\r\\n]+(?:`[^`\\r\\n]+)*``(?!`)|`[^`\\r\\n]+`(?!`))/,\n lookbehind: true,\n greedy: true,\n alias: ['code', 'keyword']\n },\n url: {\n // [example](http://example.com \"Optional title\")\n // [example][id]\n // [example] [id]\n pattern: createInline(\n /!?\\[(?:(?!\\])<inner>)+\\](?:\\([^\\s)]+(?:[\\t ]+\"(?:\\\\.|[^\"\\\\])*\")?\\)|[ \\t]?\\[(?:(?!\\])<inner>)+\\])/\n .source\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n operator: /^!/,\n content: {\n pattern: /(^\\[)[^\\]]+(?=\\])/,\n lookbehind: true,\n inside: {} // see below\n },\n variable: {\n pattern: /(^\\][ \\t]?\\[)[^\\]]+(?=\\]$)/,\n lookbehind: true\n },\n url: {\n pattern: /(^\\]\\()[^\\s)]+/,\n lookbehind: true\n },\n string: {\n pattern: /(^[ \\t]+)\"(?:\\\\.|[^\"\\\\])*\"(?=\\)$)/,\n lookbehind: true\n }\n }\n }\n })\n ;['url', 'bold', 'italic', 'strike'].forEach(function (token) {\n ;['url', 'bold', 'italic', 'strike', 'code-snippet'].forEach(function (\n inside\n ) {\n if (token !== inside) {\n Prism.languages.markdown[token].inside.content.inside[inside] =\n Prism.languages.markdown[inside]\n }\n })\n })\n Prism.hooks.add('after-tokenize', function (env) {\n if (env.language !== 'markdown' && env.language !== 'md') {\n return\n }\n function walkTokens(tokens) {\n if (!tokens || typeof tokens === 'string') {\n return\n }\n for (var i = 0, l = tokens.length; i < l; i++) {\n var token = tokens[i]\n if (token.type !== 'code') {\n walkTokens(token.content)\n continue\n }\n /*\n * Add the correct `language-xxxx` class to this code block. Keep in mind that the `code-language` token\n * is optional. But the grammar is defined so that there is only one case we have to handle:\n *\n * token.content = [\n * <span class=\"punctuation\">```</span>,\n * <span class=\"code-language\">xxxx</span>,\n * '\\n', // exactly one new lines (\\r or \\n or \\r\\n)\n * <span class=\"code-block\">...</span>,\n * '\\n', // exactly one new lines again\n * <span class=\"punctuation\">```</span>\n * ];\n */\n var codeLang = token.content[1]\n var codeBlock = token.content[3]\n if (\n codeLang &&\n codeBlock &&\n codeLang.type === 'code-language' &&\n codeBlock.type === 'code-block' &&\n typeof codeLang.content === 'string'\n ) {\n // this might be a language that Prism does not support\n // do some replacements to support C++, C#, and F#\n var lang = codeLang.content\n .replace(/\\b#/g, 'sharp')\n .replace(/\\b\\+\\+/g, 'pp') // only use the first word\n lang = (/[a-z][\\w-]*/i.exec(lang) || [''])[0].toLowerCase()\n var alias = 'language-' + lang // add alias\n if (!codeBlock.alias) {\n codeBlock.alias = [alias]\n } else if (typeof codeBlock.alias === 'string') {\n codeBlock.alias = [codeBlock.alias, alias]\n } else {\n codeBlock.alias.push(alias)\n }\n }\n }\n }\n walkTokens(env.tokens)\n })\n Prism.hooks.add('wrap', function (env) {\n if (env.type !== 'code-block') {\n return\n }\n var codeLang = ''\n for (var i = 0, l = env.classes.length; i < l; i++) {\n var cls = env.classes[i]\n var match = /language-(.+)/.exec(cls)\n if (match) {\n codeLang = match[1]\n break\n }\n }\n var grammar = Prism.languages[codeLang]\n if (!grammar) {\n if (codeLang && codeLang !== 'none' && Prism.plugins.autoloader) {\n var id =\n 'md-' +\n new Date().valueOf() +\n '-' +\n Math.floor(Math.random() * 1e16)\n env.attributes['id'] = id\n Prism.plugins.autoloader.loadLanguages(codeLang, function () {\n var ele = document.getElementById(id)\n if (ele) {\n ele.innerHTML = Prism.highlight(\n ele.textContent,\n Prism.languages[codeLang],\n codeLang\n )\n }\n })\n }\n } else {\n env.content = Prism.highlight(\n textContent(env.content.value),\n grammar,\n codeLang\n )\n }\n })\n var tagPattern = RegExp(Prism.languages.markup.tag.pattern.source, 'gi')\n /**\n * A list of known entity names.\n *\n * This will always be incomplete to save space. The current list is the one used by lowdash's unescape function.\n *\n * @see {@link https://github.com/lodash/lodash/blob/2da024c3b4f9947a48517639de7560457cd4ec6c/unescape.js#L2}\n */\n var KNOWN_ENTITY_NAMES = {\n amp: '&',\n lt: '<',\n gt: '>',\n quot: '\"'\n } // IE 11 doesn't support `String.fromCodePoint`\n var fromCodePoint = String.fromCodePoint || String.fromCharCode\n /**\n * Returns the text content of a given HTML source code string.\n *\n * @param {string} html\n * @returns {string}\n */\n function textContent(html) {\n // remove all tags\n var text = html.replace(tagPattern, '') // decode known entities\n text = text.replace(/&(\\w{1,8}|#x?[\\da-f]{1,8});/gi, function (m, code) {\n code = code.toLowerCase()\n if (code[0] === '#') {\n var value\n if (code[1] === 'x') {\n value = parseInt(code.slice(2), 16)\n } else {\n value = Number(code.slice(1))\n }\n return fromCodePoint(value)\n } else {\n var known = KNOWN_ENTITY_NAMES[code]\n if (known) {\n return known\n } // unable to decode\n return m\n }\n })\n return text\n }\n Prism.languages.md = Prism.languages.markdown\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = markupTemplating\nmarkupTemplating.displayName = 'markupTemplating'\nmarkupTemplating.aliases = []\nfunction markupTemplating(Prism) {\n ;(function (Prism) {\n /**\n * Returns the placeholder for the given language id and index.\n *\n * @param {string} language\n * @param {string|number} index\n * @returns {string}\n */\n function getPlaceholder(language, index) {\n return '___' + language.toUpperCase() + index + '___'\n }\n Object.defineProperties((Prism.languages['markup-templating'] = {}), {\n buildPlaceholders: {\n /**\n * Tokenize all inline templating expressions matching `placeholderPattern`.\n *\n * If `replaceFilter` is provided, only matches of `placeholderPattern` for which `replaceFilter` returns\n * `true` will be replaced.\n *\n * @param {object} env The environment of the `before-tokenize` hook.\n * @param {string} language The language id.\n * @param {RegExp} placeholderPattern The matches of this pattern will be replaced by placeholders.\n * @param {(match: string) => boolean} [replaceFilter]\n */\n value: function (env, language, placeholderPattern, replaceFilter) {\n if (env.language !== language) {\n return\n }\n var tokenStack = (env.tokenStack = [])\n env.code = env.code.replace(placeholderPattern, function (match) {\n if (typeof replaceFilter === 'function' && !replaceFilter(match)) {\n return match\n }\n var i = tokenStack.length\n var placeholder // Check for existing strings\n while (\n env.code.indexOf((placeholder = getPlaceholder(language, i))) !==\n -1\n ) {\n ++i\n } // Create a sparse array\n tokenStack[i] = match\n return placeholder\n }) // Switch the grammar to markup\n env.grammar = Prism.languages.markup\n }\n },\n tokenizePlaceholders: {\n /**\n * Replace placeholders with proper tokens after tokenizing.\n *\n * @param {object} env The environment of the `after-tokenize` hook.\n * @param {string} language The language id.\n */\n value: function (env, language) {\n if (env.language !== language || !env.tokenStack) {\n return\n } // Switch the grammar back\n env.grammar = Prism.languages[language]\n var j = 0\n var keys = Object.keys(env.tokenStack)\n function walkTokens(tokens) {\n for (var i = 0; i < tokens.length; i++) {\n // all placeholders are replaced already\n if (j >= keys.length) {\n break\n }\n var token = tokens[i]\n if (\n typeof token === 'string' ||\n (token.content && typeof token.content === 'string')\n ) {\n var k = keys[j]\n var t = env.tokenStack[k]\n var s = typeof token === 'string' ? token : token.content\n var placeholder = getPlaceholder(language, k)\n var index = s.indexOf(placeholder)\n if (index > -1) {\n ++j\n var before = s.substring(0, index)\n var middle = new Prism.Token(\n language,\n Prism.tokenize(t, env.grammar),\n 'language-' + language,\n t\n )\n var after = s.substring(index + placeholder.length)\n var replacement = []\n if (before) {\n replacement.push.apply(replacement, walkTokens([before]))\n }\n replacement.push(middle)\n if (after) {\n replacement.push.apply(replacement, walkTokens([after]))\n }\n if (typeof token === 'string') {\n tokens.splice.apply(tokens, [i, 1].concat(replacement))\n } else {\n token.content = replacement\n }\n }\n } else if (\n token.content\n /* && typeof token.content !== 'string' */\n ) {\n walkTokens(token.content)\n }\n }\n return tokens\n }\n walkTokens(env.tokens)\n }\n }\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = markup\nmarkup.displayName = 'markup'\nmarkup.aliases = ['html', 'mathml', 'svg', 'xml', 'ssml', 'atom', 'rss']\nfunction markup(Prism) {\n Prism.languages.markup = {\n comment: {\n pattern: /<!--(?:(?!<!--)[\\s\\S])*?-->/,\n greedy: true\n },\n prolog: {\n pattern: /<\\?[\\s\\S]+?\\?>/,\n greedy: true\n },\n doctype: {\n // https://www.w3.org/TR/xml/#NT-doctypedecl\n pattern:\n /<!DOCTYPE(?:[^>\"'[\\]]|\"[^\"]*\"|'[^']*')+(?:\\[(?:[^<\"'\\]]|\"[^\"]*\"|'[^']*'|<(?!!--)|<!--(?:[^-]|-(?!->))*-->)*\\]\\s*)?>/i,\n greedy: true,\n inside: {\n 'internal-subset': {\n pattern: /(^[^\\[]*\\[)[\\s\\S]+(?=\\]>$)/,\n lookbehind: true,\n greedy: true,\n inside: null // see below\n },\n string: {\n pattern: /\"[^\"]*\"|'[^']*'/,\n greedy: true\n },\n punctuation: /^<!|>$|[[\\]]/,\n 'doctype-tag': /^DOCTYPE/i,\n name: /[^\\s<>'\"]+/\n }\n },\n cdata: {\n pattern: /<!\\[CDATA\\[[\\s\\S]*?\\]\\]>/i,\n greedy: true\n },\n tag: {\n pattern:\n /<\\/?(?!\\d)[^\\s>\\/=$<%]+(?:\\s(?:\\s*[^\\s>\\/=]+(?:\\s*=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\">=]+(?=[\\s>]))|(?=[\\s/>])))+)?\\s*\\/?>/,\n greedy: true,\n inside: {\n tag: {\n pattern: /^<\\/?[^\\s>\\/]+/,\n inside: {\n punctuation: /^<\\/?/,\n namespace: /^[^\\s>\\/:]+:/\n }\n },\n 'special-attr': [],\n 'attr-value': {\n pattern: /=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\">=]+)/,\n inside: {\n punctuation: [\n {\n pattern: /^=/,\n alias: 'attr-equals'\n },\n /\"|'/\n ]\n }\n },\n punctuation: /\\/?>/,\n 'attr-name': {\n pattern: /[^\\s>\\/]+/,\n inside: {\n namespace: /^[^\\s>\\/:]+:/\n }\n }\n }\n },\n entity: [\n {\n pattern: /&[\\da-z]{1,8};/i,\n alias: 'named-entity'\n },\n /&#x?[\\da-f]{1,8};/i\n ]\n }\n Prism.languages.markup['tag'].inside['attr-value'].inside['entity'] =\n Prism.languages.markup['entity']\n Prism.languages.markup['doctype'].inside['internal-subset'].inside =\n Prism.languages.markup // Plugin to make entity title show the real entity, idea by Roman Komarov\n Prism.hooks.add('wrap', function (env) {\n if (env.type === 'entity') {\n env.attributes['title'] = env.content.value.replace(/&/, '&')\n }\n })\n Object.defineProperty(Prism.languages.markup.tag, 'addInlined', {\n /**\n * Adds an inlined language to markup.\n *\n * An example of an inlined language is CSS with `<style>` tags.\n *\n * @param {string} tagName The name of the tag that contains the inlined language. This name will be treated as\n * case insensitive.\n * @param {string} lang The language key.\n * @example\n * addInlined('style', 'css');\n */\n value: function addInlined(tagName, lang) {\n var includedCdataInside = {}\n includedCdataInside['language-' + lang] = {\n pattern: /(^<!\\[CDATA\\[)[\\s\\S]+?(?=\\]\\]>$)/i,\n lookbehind: true,\n inside: Prism.languages[lang]\n }\n includedCdataInside['cdata'] = /^<!\\[CDATA\\[|\\]\\]>$/i\n var inside = {\n 'included-cdata': {\n pattern: /<!\\[CDATA\\[[\\s\\S]*?\\]\\]>/i,\n inside: includedCdataInside\n }\n }\n inside['language-' + lang] = {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages[lang]\n }\n var def = {}\n def[tagName] = {\n pattern: RegExp(\n /(<__[^>]*>)(?:<!\\[CDATA\\[(?:[^\\]]|\\](?!\\]>))*\\]\\]>|(?!<!\\[CDATA\\[)[\\s\\S])*?(?=<\\/__>)/.source.replace(\n /__/g,\n function () {\n return tagName\n }\n ),\n 'i'\n ),\n lookbehind: true,\n greedy: true,\n inside: inside\n }\n Prism.languages.insertBefore('markup', 'cdata', def)\n }\n })\n Object.defineProperty(Prism.languages.markup.tag, 'addAttribute', {\n /**\n * Adds an pattern to highlight languages embedded in HTML attributes.\n *\n * An example of an inlined language is CSS with `style` attributes.\n *\n * @param {string} attrName The name of the tag that contains the inlined language. This name will be treated as\n * case insensitive.\n * @param {string} lang The language key.\n * @example\n * addAttribute('style', 'css');\n */\n value: function (attrName, lang) {\n Prism.languages.markup.tag.inside['special-attr'].push({\n pattern: RegExp(\n /(^|[\"'\\s])/.source +\n '(?:' +\n attrName +\n ')' +\n /\\s*=\\s*(?:\"[^\"]*\"|'[^']*'|[^\\s'\">=]+(?=[\\s>]))/.source,\n 'i'\n ),\n lookbehind: true,\n inside: {\n 'attr-name': /^[^\\s=]+/,\n 'attr-value': {\n pattern: /=[\\s\\S]+/,\n inside: {\n value: {\n pattern: /(^=\\s*([\"']|(?![\"'])))\\S[\\s\\S]*(?=\\2$)/,\n lookbehind: true,\n alias: [lang, 'language-' + lang],\n inside: Prism.languages[lang]\n },\n punctuation: [\n {\n pattern: /^=/,\n alias: 'attr-equals'\n },\n /\"|'/\n ]\n }\n }\n }\n })\n }\n })\n Prism.languages.html = Prism.languages.markup\n Prism.languages.mathml = Prism.languages.markup\n Prism.languages.svg = Prism.languages.markup\n Prism.languages.xml = Prism.languages.extend('markup', {})\n Prism.languages.ssml = Prism.languages.xml\n Prism.languages.atom = Prism.languages.xml\n Prism.languages.rss = Prism.languages.xml\n}\n","'use strict'\n\nmodule.exports = matlab\nmatlab.displayName = 'matlab'\nmatlab.aliases = []\nfunction matlab(Prism) {\n Prism.languages.matlab = {\n comment: [/%\\{[\\s\\S]*?\\}%/, /%.+/],\n string: {\n pattern: /\\B'(?:''|[^'\\r\\n])*'/,\n greedy: true\n },\n // FIXME We could handle imaginary numbers as a whole\n number: /(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[eE][+-]?\\d+)?(?:[ij])?|\\b[ij]\\b/,\n keyword:\n /\\b(?:NaN|break|case|catch|continue|else|elseif|end|for|function|if|inf|otherwise|parfor|pause|pi|return|switch|try|while)\\b/,\n function: /\\b(?!\\d)\\w+(?=\\s*\\()/,\n operator: /\\.?[*^\\/\\\\']|[+\\-:@]|[<>=~]=?|&&?|\\|\\|?/,\n punctuation: /\\.{3}|[.,;\\[\\](){}!]/\n }\n}\n","'use strict'\n\nmodule.exports = maxscript\nmaxscript.displayName = 'maxscript'\nmaxscript.aliases = []\nfunction maxscript(Prism) {\n ;(function (Prism) {\n var keywords =\n /\\b(?:about|and|animate|as|at|attributes|by|case|catch|collect|continue|coordsys|do|else|exit|fn|for|from|function|global|if|in|local|macroscript|mapped|max|not|of|off|on|or|parameters|persistent|plugin|rcmenu|return|rollout|set|struct|then|throw|to|tool|try|undo|utility|when|where|while|with)\\b/i\n Prism.languages.maxscript = {\n comment: {\n pattern: /\\/\\*[\\s\\S]*?(?:\\*\\/|$)|--.*/,\n greedy: true\n },\n string: {\n pattern: /(^|[^\"\\\\@])(?:\"(?:[^\"\\\\]|\\\\[\\s\\S])*\"|@\"[^\"]*\")/,\n lookbehind: true,\n greedy: true\n },\n path: {\n pattern: /\\$(?:[\\w/\\\\.*?]|'[^']*')*/,\n greedy: true,\n alias: 'string'\n },\n 'function-call': {\n pattern: RegExp(\n '((?:' + // start of line\n (/^/.source +\n '|' + // operators and other language constructs\n /[;=<>+\\-*/^({\\[]/.source +\n '|' + // keywords as part of statements\n /\\b(?:and|by|case|catch|collect|do|else|if|in|not|or|return|then|to|try|where|while|with)\\b/\n .source) +\n ')[ \\t]*)' +\n '(?!' +\n keywords.source +\n ')' +\n /[a-z_]\\w*\\b/.source +\n '(?=[ \\t]*(?:' + // variable\n ('(?!' +\n keywords.source +\n ')' +\n /[a-z_]/.source +\n '|' + // number\n /\\d|-\\.?\\d/.source +\n '|' + // other expressions or literals\n /[({'\"$@#?]/.source) +\n '))',\n 'im'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'function'\n },\n 'function-definition': {\n pattern: /(\\b(?:fn|function)\\s+)\\w+\\b/i,\n lookbehind: true,\n alias: 'function'\n },\n argument: {\n pattern: /\\b[a-z_]\\w*(?=:)/i,\n alias: 'attr-name'\n },\n keyword: keywords,\n boolean: /\\b(?:false|true)\\b/,\n time: {\n pattern:\n /(^|[^\\w.])(?:(?:(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:[eEdD][+-]\\d+|[LP])?[msft])+|\\d+:\\d+(?:\\.\\d*)?)(?![\\w.:])/,\n lookbehind: true,\n alias: 'number'\n },\n number: [\n {\n pattern:\n /(^|[^\\w.])(?:(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:[eEdD][+-]\\d+|[LP])?|0x[a-fA-F0-9]+)(?![\\w.:])/,\n lookbehind: true\n },\n /\\b(?:e|pi)\\b/\n ],\n constant: /\\b(?:dontcollect|ok|silentValue|undefined|unsupplied)\\b/,\n color: {\n pattern: /\\b(?:black|blue|brown|gray|green|orange|red|white|yellow)\\b/i,\n alias: 'constant'\n },\n operator: /[-+*/<>=!]=?|[&^?]|#(?!\\()/,\n punctuation: /[()\\[\\]{}.:,;]|#(?=\\()|\\\\$/m\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = mel\nmel.displayName = 'mel'\nmel.aliases = []\nfunction mel(Prism) {\n Prism.languages.mel = {\n comment: /\\/\\/.*/,\n code: {\n pattern: /`(?:\\\\.|[^\\\\`\\r\\n])*`/,\n greedy: true,\n alias: 'italic',\n inside: {\n delimiter: {\n pattern: /^`|`$/,\n alias: 'punctuation'\n } // See rest below\n }\n },\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/,\n greedy: true\n },\n variable: /\\$\\w+/,\n number: /\\b0x[\\da-fA-F]+\\b|\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+/,\n flag: {\n pattern: /-[^\\d\\W]\\w*/,\n alias: 'operator'\n },\n keyword:\n /\\b(?:break|case|continue|default|do|else|float|for|global|if|in|int|matrix|proc|return|string|switch|vector|while)\\b/,\n function:\n /\\b\\w+(?=\\()|\\b(?:CBG|HfAddAttractorToAS|HfAssignAS|HfBuildEqualMap|HfBuildFurFiles|HfBuildFurImages|HfCancelAFR|HfConnectASToHF|HfCreateAttractor|HfDeleteAS|HfEditAS|HfPerformCreateAS|HfRemoveAttractorFromAS|HfSelectAttached|HfSelectAttractors|HfUnAssignAS|Mayatomr|about|abs|addAttr|addAttributeEditorNodeHelp|addDynamic|addNewShelfTab|addPP|addPanelCategory|addPrefixToName|advanceToNextDrivenKey|affectedNet|affects|aimConstraint|air|alias|aliasAttr|align|alignCtx|alignCurve|alignSurface|allViewFit|ambientLight|angle|angleBetween|animCone|animCurveEditor|animDisplay|animView|annotate|appendStringArray|applicationName|applyAttrPreset|applyTake|arcLenDimContext|arcLengthDimension|arclen|arrayMapper|art3dPaintCtx|artAttrCtx|artAttrPaintVertexCtx|artAttrSkinPaintCtx|artAttrTool|artBuildPaintMenu|artFluidAttrCtx|artPuttyCtx|artSelectCtx|artSetPaintCtx|artUserPaintCtx|assignCommand|assignInputDevice|assignViewportFactories|attachCurve|attachDeviceAttr|attachSurface|attrColorSliderGrp|attrCompatibility|attrControlGrp|attrEnumOptionMenu|attrEnumOptionMenuGrp|attrFieldGrp|attrFieldSliderGrp|attrNavigationControlGrp|attrPresetEditWin|attributeExists|attributeInfo|attributeMenu|attributeQuery|autoKeyframe|autoPlace|bakeClip|bakeFluidShading|bakePartialHistory|bakeResults|bakeSimulation|basename|basenameEx|batchRender|bessel|bevel|bevelPlus|binMembership|bindSkin|blend2|blendShape|blendShapeEditor|blendShapePanel|blendTwoAttr|blindDataType|boneLattice|boundary|boxDollyCtx|boxZoomCtx|bufferCurve|buildBookmarkMenu|buildKeyframeMenu|button|buttonManip|cacheFile|cacheFileCombine|cacheFileMerge|cacheFileTrack|camera|cameraView|canCreateManip|canvas|capitalizeString|catch|catchQuiet|ceil|changeSubdivComponentDisplayLevel|changeSubdivRegion|channelBox|character|characterMap|characterOutlineEditor|characterize|chdir|checkBox|checkBoxGrp|checkDefaultRenderGlobals|choice|circle|circularFillet|clamp|clear|clearCache|clip|clipEditor|clipEditorCurrentTimeCtx|clipSchedule|clipSchedulerOutliner|clipTrimBefore|closeCurve|closeSurface|cluster|cmdFileOutput|cmdScrollFieldExecuter|cmdScrollFieldReporter|cmdShell|coarsenSubdivSelectionList|collision|color|colorAtPoint|colorEditor|colorIndex|colorIndexSliderGrp|colorSliderButtonGrp|colorSliderGrp|columnLayout|commandEcho|commandLine|commandPort|compactHairSystem|componentEditor|compositingInterop|computePolysetVolume|condition|cone|confirmDialog|connectAttr|connectControl|connectDynamic|connectJoint|connectionInfo|constrain|constrainValue|constructionHistory|container|containsMultibyte|contextInfo|control|convertFromOldLayers|convertIffToPsd|convertLightmap|convertSolidTx|convertTessellation|convertUnit|copyArray|copyFlexor|copyKey|copySkinWeights|cos|cpButton|cpCache|cpClothSet|cpCollision|cpConstraint|cpConvClothToMesh|cpForces|cpGetSolverAttr|cpPanel|cpProperty|cpRigidCollisionFilter|cpSeam|cpSetEdit|cpSetSolverAttr|cpSolver|cpSolverTypes|cpTool|cpUpdateClothUVs|createDisplayLayer|createDrawCtx|createEditor|createLayeredPsdFile|createMotionField|createNewShelf|createNode|createRenderLayer|createSubdivRegion|cross|crossProduct|ctxAbort|ctxCompletion|ctxEditMode|ctxTraverse|currentCtx|currentTime|currentTimeCtx|currentUnit|curve|curveAddPtCtx|curveCVCtx|curveEPCtx|curveEditorCtx|curveIntersect|curveMoveEPCtx|curveOnSurface|curveSketchCtx|cutKey|cycleCheck|cylinder|dagPose|date|defaultLightListCheckBox|defaultNavigation|defineDataServer|defineVirtualDevice|deformer|deg_to_rad|delete|deleteAttr|deleteShadingGroupsAndMaterials|deleteShelfTab|deleteUI|deleteUnusedBrushes|delrandstr|detachCurve|detachDeviceAttr|detachSurface|deviceEditor|devicePanel|dgInfo|dgdirty|dgeval|dgtimer|dimWhen|directKeyCtx|directionalLight|dirmap|dirname|disable|disconnectAttr|disconnectJoint|diskCache|displacementToPoly|displayAffected|displayColor|displayCull|displayLevelOfDetail|displayPref|displayRGBColor|displaySmoothness|displayStats|displayString|displaySurface|distanceDimContext|distanceDimension|doBlur|dolly|dollyCtx|dopeSheetEditor|dot|dotProduct|doubleProfileBirailSurface|drag|dragAttrContext|draggerContext|dropoffLocator|duplicate|duplicateCurve|duplicateSurface|dynCache|dynControl|dynExport|dynExpression|dynGlobals|dynPaintEditor|dynParticleCtx|dynPref|dynRelEdPanel|dynRelEditor|dynamicLoad|editAttrLimits|editDisplayLayerGlobals|editDisplayLayerMembers|editRenderLayerAdjustment|editRenderLayerGlobals|editRenderLayerMembers|editor|editorTemplate|effector|emit|emitter|enableDevice|encodeString|endString|endsWith|env|equivalent|equivalentTol|erf|error|eval|evalDeferred|evalEcho|event|exactWorldBoundingBox|exclusiveLightCheckBox|exec|executeForEachObject|exists|exp|expression|expressionEditorListen|extendCurve|extendSurface|extrude|fcheck|fclose|feof|fflush|fgetline|fgetword|file|fileBrowserDialog|fileDialog|fileExtension|fileInfo|filetest|filletCurve|filter|filterCurve|filterExpand|filterStudioImport|findAllIntersections|findAnimCurves|findKeyframe|findMenuItem|findRelatedSkinCluster|finder|firstParentOf|fitBspline|flexor|floatEq|floatField|floatFieldGrp|floatScrollBar|floatSlider|floatSlider2|floatSliderButtonGrp|floatSliderGrp|floor|flow|fluidCacheInfo|fluidEmitter|fluidVoxelInfo|flushUndo|fmod|fontDialog|fopen|formLayout|format|fprint|frameLayout|fread|freeFormFillet|frewind|fromNativePath|fwrite|gamma|gauss|geometryConstraint|getApplicationVersionAsFloat|getAttr|getClassification|getDefaultBrush|getFileList|getFluidAttr|getInputDeviceRange|getMayaPanelTypes|getModifiers|getPanel|getParticleAttr|getPluginResource|getenv|getpid|glRender|glRenderEditor|globalStitch|gmatch|goal|gotoBindPose|grabColor|gradientControl|gradientControlNoAttr|graphDollyCtx|graphSelectContext|graphTrackCtx|gravity|grid|gridLayout|group|groupObjectsByName|hardenPointCurve|hardware|hardwareRenderPanel|headsUpDisplay|headsUpMessage|help|helpLine|hermite|hide|hilite|hitTest|hotBox|hotkey|hotkeyCheck|hsv_to_rgb|hudButton|hudSlider|hudSliderButton|hwReflectionMap|hwRender|hwRenderLoad|hyperGraph|hyperPanel|hyperShade|hypot|iconTextButton|iconTextCheckBox|iconTextRadioButton|iconTextRadioCollection|iconTextScrollList|iconTextStaticLabel|ikHandle|ikHandleCtx|ikHandleDisplayScale|ikSolver|ikSplineHandleCtx|ikSystem|ikSystemInfo|ikfkDisplayMethod|illustratorCurves|image|imfPlugins|inheritTransform|insertJoint|insertJointCtx|insertKeyCtx|insertKnotCurve|insertKnotSurface|instance|instanceable|instancer|intField|intFieldGrp|intScrollBar|intSlider|intSliderGrp|interToUI|internalVar|intersect|iprEngine|isAnimCurve|isConnected|isDirty|isParentOf|isSameObject|isTrue|isValidObjectName|isValidString|isValidUiName|isolateSelect|itemFilter|itemFilterAttr|itemFilterRender|itemFilterType|joint|jointCluster|jointCtx|jointDisplayScale|jointLattice|keyTangent|keyframe|keyframeOutliner|keyframeRegionCurrentTimeCtx|keyframeRegionDirectKeyCtx|keyframeRegionDollyCtx|keyframeRegionInsertKeyCtx|keyframeRegionMoveKeyCtx|keyframeRegionScaleKeyCtx|keyframeRegionSelectKeyCtx|keyframeRegionSetKeyCtx|keyframeRegionTrackCtx|keyframeStats|lassoContext|lattice|latticeDeformKeyCtx|launch|launchImageEditor|layerButton|layeredShaderPort|layeredTexturePort|layout|layoutDialog|lightList|lightListEditor|lightListPanel|lightlink|lineIntersection|linearPrecision|linstep|listAnimatable|listAttr|listCameras|listConnections|listDeviceAttachments|listHistory|listInputDeviceAxes|listInputDeviceButtons|listInputDevices|listMenuAnnotation|listNodeTypes|listPanelCategories|listRelatives|listSets|listTransforms|listUnselected|listerEditor|loadFluid|loadNewShelf|loadPlugin|loadPluginLanguageResources|loadPrefObjects|localizedPanelLabel|lockNode|loft|log|longNameOf|lookThru|ls|lsThroughFilter|lsType|lsUI|mag|makeIdentity|makeLive|makePaintable|makeRoll|makeSingleSurface|makeTubeOn|makebot|manipMoveContext|manipMoveLimitsCtx|manipOptions|manipRotateContext|manipRotateLimitsCtx|manipScaleContext|manipScaleLimitsCtx|marker|match|max|memory|menu|menuBarLayout|menuEditor|menuItem|menuItemToShelf|menuSet|menuSetPref|messageLine|min|minimizeApp|mirrorJoint|modelCurrentTimeCtx|modelEditor|modelPanel|mouse|movIn|movOut|move|moveIKtoFK|moveKeyCtx|moveVertexAlongDirection|multiProfileBirailSurface|mute|nParticle|nameCommand|nameField|namespace|namespaceInfo|newPanelItems|newton|nodeCast|nodeIconButton|nodeOutliner|nodePreset|nodeType|noise|nonLinear|normalConstraint|normalize|nurbsBoolean|nurbsCopyUVSet|nurbsCube|nurbsEditUV|nurbsPlane|nurbsSelect|nurbsSquare|nurbsToPoly|nurbsToPolygonsPref|nurbsToSubdiv|nurbsToSubdivPref|nurbsUVSet|nurbsViewDirectionVector|objExists|objectCenter|objectLayer|objectType|objectTypeUI|obsoleteProc|oceanNurbsPreviewPlane|offsetCurve|offsetCurveOnSurface|offsetSurface|openGLExtension|openMayaPref|optionMenu|optionMenuGrp|optionVar|orbit|orbitCtx|orientConstraint|outlinerEditor|outlinerPanel|overrideModifier|paintEffectsDisplay|pairBlend|palettePort|paneLayout|panel|panelConfiguration|panelHistory|paramDimContext|paramDimension|paramLocator|parent|parentConstraint|particle|particleExists|particleInstancer|particleRenderInfo|partition|pasteKey|pathAnimation|pause|pclose|percent|performanceOptions|pfxstrokes|pickWalk|picture|pixelMove|planarSrf|plane|play|playbackOptions|playblast|plugAttr|plugNode|pluginInfo|pluginResourceUtil|pointConstraint|pointCurveConstraint|pointLight|pointMatrixMult|pointOnCurve|pointOnSurface|pointPosition|poleVectorConstraint|polyAppend|polyAppendFacetCtx|polyAppendVertex|polyAutoProjection|polyAverageNormal|polyAverageVertex|polyBevel|polyBlendColor|polyBlindData|polyBoolOp|polyBridgeEdge|polyCacheMonitor|polyCheck|polyChipOff|polyClipboard|polyCloseBorder|polyCollapseEdge|polyCollapseFacet|polyColorBlindData|polyColorDel|polyColorPerVertex|polyColorSet|polyCompare|polyCone|polyCopyUV|polyCrease|polyCreaseCtx|polyCreateFacet|polyCreateFacetCtx|polyCube|polyCut|polyCutCtx|polyCylinder|polyCylindricalProjection|polyDelEdge|polyDelFacet|polyDelVertex|polyDuplicateAndConnect|polyDuplicateEdge|polyEditUV|polyEditUVShell|polyEvaluate|polyExtrudeEdge|polyExtrudeFacet|polyExtrudeVertex|polyFlipEdge|polyFlipUV|polyForceUV|polyGeoSampler|polyHelix|polyInfo|polyInstallAction|polyLayoutUV|polyListComponentConversion|polyMapCut|polyMapDel|polyMapSew|polyMapSewMove|polyMergeEdge|polyMergeEdgeCtx|polyMergeFacet|polyMergeFacetCtx|polyMergeUV|polyMergeVertex|polyMirrorFace|polyMoveEdge|polyMoveFacet|polyMoveFacetUV|polyMoveUV|polyMoveVertex|polyNormal|polyNormalPerVertex|polyNormalizeUV|polyOptUvs|polyOptions|polyOutput|polyPipe|polyPlanarProjection|polyPlane|polyPlatonicSolid|polyPoke|polyPrimitive|polyPrism|polyProjection|polyPyramid|polyQuad|polyQueryBlindData|polyReduce|polySelect|polySelectConstraint|polySelectConstraintMonitor|polySelectCtx|polySelectEditCtx|polySeparate|polySetToFaceNormal|polySewEdge|polyShortestPathCtx|polySmooth|polySoftEdge|polySphere|polySphericalProjection|polySplit|polySplitCtx|polySplitEdge|polySplitRing|polySplitVertex|polyStraightenUVBorder|polySubdivideEdge|polySubdivideFacet|polyToSubdiv|polyTorus|polyTransfer|polyTriangulate|polyUVSet|polyUnite|polyWedgeFace|popen|popupMenu|pose|pow|preloadRefEd|print|progressBar|progressWindow|projFileViewer|projectCurve|projectTangent|projectionContext|projectionManip|promptDialog|propModCtx|propMove|psdChannelOutliner|psdEditTextureFile|psdExport|psdTextureFile|putenv|pwd|python|querySubdiv|quit|rad_to_deg|radial|radioButton|radioButtonGrp|radioCollection|radioMenuItemCollection|rampColorPort|rand|randomizeFollicles|randstate|rangeControl|readTake|rebuildCurve|rebuildSurface|recordAttr|recordDevice|redo|reference|referenceEdit|referenceQuery|refineSubdivSelectionList|refresh|refreshAE|registerPluginResource|rehash|reloadImage|removeJoint|removeMultiInstance|removePanelCategory|rename|renameAttr|renameSelectionList|renameUI|render|renderGlobalsNode|renderInfo|renderLayerButton|renderLayerParent|renderLayerPostProcess|renderLayerUnparent|renderManip|renderPartition|renderQualityNode|renderSettings|renderThumbnailUpdate|renderWindowEditor|renderWindowSelectContext|renderer|reorder|reorderDeformers|requires|reroot|resampleFluid|resetAE|resetPfxToPolyCamera|resetTool|resolutionNode|retarget|reverseCurve|reverseSurface|revolve|rgb_to_hsv|rigidBody|rigidSolver|roll|rollCtx|rootOf|rot|rotate|rotationInterpolation|roundConstantRadius|rowColumnLayout|rowLayout|runTimeCommand|runup|sampleImage|saveAllShelves|saveAttrPreset|saveFluid|saveImage|saveInitialState|saveMenu|savePrefObjects|savePrefs|saveShelf|saveToolSettings|scale|scaleBrushBrightness|scaleComponents|scaleConstraint|scaleKey|scaleKeyCtx|sceneEditor|sceneUIReplacement|scmh|scriptCtx|scriptEditorInfo|scriptJob|scriptNode|scriptTable|scriptToShelf|scriptedPanel|scriptedPanelType|scrollField|scrollLayout|sculpt|searchPathArray|seed|selLoadSettings|select|selectContext|selectCurveCV|selectKey|selectKeyCtx|selectKeyframeRegionCtx|selectMode|selectPref|selectPriority|selectType|selectedNodes|selectionConnection|separator|setAttr|setAttrEnumResource|setAttrMapping|setAttrNiceNameResource|setConstraintRestPosition|setDefaultShadingGroup|setDrivenKeyframe|setDynamic|setEditCtx|setEditor|setFluidAttr|setFocus|setInfinity|setInputDeviceMapping|setKeyCtx|setKeyPath|setKeyframe|setKeyframeBlendshapeTargetWts|setMenuMode|setNodeNiceNameResource|setNodeTypeFlag|setParent|setParticleAttr|setPfxToPolyCamera|setPluginResource|setProject|setStampDensity|setStartupMessage|setState|setToolTo|setUITemplate|setXformManip|sets|shadingConnection|shadingGeometryRelCtx|shadingLightRelCtx|shadingNetworkCompare|shadingNode|shapeCompare|shelfButton|shelfLayout|shelfTabLayout|shellField|shortNameOf|showHelp|showHidden|showManipCtx|showSelectionInTitle|showShadingGroupAttrEditor|showWindow|sign|simplify|sin|singleProfileBirailSurface|size|sizeBytes|skinCluster|skinPercent|smoothCurve|smoothTangentSurface|smoothstep|snap2to2|snapKey|snapMode|snapTogetherCtx|snapshot|soft|softMod|softModCtx|sort|sound|soundControl|source|spaceLocator|sphere|sphrand|spotLight|spotLightPreviewPort|spreadSheetEditor|spring|sqrt|squareSurface|srtContext|stackTrace|startString|startsWith|stitchAndExplodeShell|stitchSurface|stitchSurfacePoints|strcmp|stringArrayCatenate|stringArrayContains|stringArrayCount|stringArrayInsertAtIndex|stringArrayIntersector|stringArrayRemove|stringArrayRemoveAtIndex|stringArrayRemoveDuplicates|stringArrayRemoveExact|stringArrayToString|stringToStringArray|strip|stripPrefixFromName|stroke|subdAutoProjection|subdCleanTopology|subdCollapse|subdDuplicateAndConnect|subdEditUV|subdListComponentConversion|subdMapCut|subdMapSewMove|subdMatchTopology|subdMirror|subdToBlind|subdToPoly|subdTransferUVsToCache|subdiv|subdivCrease|subdivDisplaySmoothness|substitute|substituteAllString|substituteGeometry|substring|surface|surfaceSampler|surfaceShaderList|swatchDisplayPort|switchTable|symbolButton|symbolCheckBox|sysFile|system|tabLayout|tan|tangentConstraint|texLatticeDeformContext|texManipContext|texMoveContext|texMoveUVShellContext|texRotateContext|texScaleContext|texSelectContext|texSelectShortestPathCtx|texSmudgeUVContext|texWinToolCtx|text|textCurves|textField|textFieldButtonGrp|textFieldGrp|textManip|textScrollList|textToShelf|textureDisplacePlane|textureHairColor|texturePlacementContext|textureWindow|threadCount|threePointArcCtx|timeControl|timePort|timerX|toNativePath|toggle|toggleAxis|toggleWindowVisibility|tokenize|tokenizeList|tolerance|tolower|toolButton|toolCollection|toolDropped|toolHasOptions|toolPropertyWindow|torus|toupper|trace|track|trackCtx|transferAttributes|transformCompare|transformLimits|translator|trim|trunc|truncateFluidCache|truncateHairCache|tumble|tumbleCtx|turbulence|twoPointArcCtx|uiRes|uiTemplate|unassignInputDevice|undo|undoInfo|ungroup|uniform|unit|unloadPlugin|untangleUV|untitledFileName|untrim|upAxis|updateAE|userCtx|uvLink|uvSnapshot|validateShelfName|vectorize|view2dToolCtx|viewCamera|viewClipPlane|viewFit|viewHeadOn|viewLookAt|viewManip|viewPlace|viewSet|visor|volumeAxis|vortex|waitCursor|warning|webBrowser|webBrowserPrefs|whatIs|window|windowPref|wire|wireContext|workspace|wrinkle|wrinkleContext|writeTake|xbmLangPathList|xform)\\b/,\n operator: [\n /\\+[+=]?|-[-=]?|&&|\\|\\||[<>]=|[*\\/!=]=?|[%^]/,\n {\n // We don't want to match <<\n pattern: /(^|[^<])<(?!<)/,\n lookbehind: true\n },\n {\n // We don't want to match >>\n pattern: /(^|[^>])>(?!>)/,\n lookbehind: true\n }\n ],\n punctuation: /<<|>>|[.,:;?\\[\\](){}]/\n }\n Prism.languages.mel['code'].inside.rest = Prism.languages.mel\n}\n","'use strict'\n\nmodule.exports = mermaid\nmermaid.displayName = 'mermaid'\nmermaid.aliases = []\nfunction mermaid(Prism) {\n Prism.languages.mermaid = {\n comment: {\n pattern: /%%.*/,\n greedy: true\n },\n style: {\n pattern:\n /^([ \\t]*(?:classDef|linkStyle|style)[ \\t]+[\\w$-]+[ \\t]+)\\w.*[^\\s;]/m,\n lookbehind: true,\n inside: {\n property: /\\b\\w[\\w-]*(?=[ \\t]*:)/,\n operator: /:/,\n punctuation: /,/\n }\n },\n 'inter-arrow-label': {\n pattern:\n /([^<>ox.=-])(?:-[-.]|==)(?![<>ox.=-])[ \\t]*(?:\"[^\"\\r\\n]*\"|[^\\s\".=-](?:[^\\r\\n.=-]*[^\\s.=-])?)[ \\t]*(?:\\.+->?|--+[->]|==+[=>])(?![<>ox.=-])/,\n lookbehind: true,\n greedy: true,\n inside: {\n arrow: {\n pattern: /(?:\\.+->?|--+[->]|==+[=>])$/,\n alias: 'operator'\n },\n label: {\n pattern: /^([\\s\\S]{2}[ \\t]*)\\S(?:[\\s\\S]*\\S)?/,\n lookbehind: true,\n alias: 'property'\n },\n 'arrow-head': {\n pattern: /^\\S+/,\n alias: ['arrow', 'operator']\n }\n }\n },\n arrow: [\n // This might look complex but it really isn't.\n // There are many possible arrows (see tests) and it's impossible to fit all of them into one pattern. The\n // problem is that we only have one lookbehind per pattern. However, we cannot disallow too many arrow\n // characters in the one lookbehind because that would create too many false negatives. So we have to split the\n // arrows into different patterns.\n {\n // ER diagram\n pattern: /(^|[^{}|o.-])[|}][|o](?:--|\\.\\.)[|o][|{](?![{}|o.-])/,\n lookbehind: true,\n alias: 'operator'\n },\n {\n // flow chart\n // (?:==+|--+|-\\.*-)\n pattern:\n /(^|[^<>ox.=-])(?:[<ox](?:==+|--+|-\\.*-)[>ox]?|(?:==+|--+|-\\.*-)[>ox]|===+|---+|-\\.+-)(?![<>ox.=-])/,\n lookbehind: true,\n alias: 'operator'\n },\n {\n // sequence diagram\n pattern:\n /(^|[^<>()x-])(?:--?(?:>>|[x>)])(?![<>()x])|(?:<<|[x<(])--?(?!-))/,\n lookbehind: true,\n alias: 'operator'\n },\n {\n // class diagram\n pattern:\n /(^|[^<>|*o.-])(?:[*o]--|--[*o]|<\\|?(?:--|\\.\\.)|(?:--|\\.\\.)\\|?>|--|\\.\\.)(?![<>|*o.-])/,\n lookbehind: true,\n alias: 'operator'\n }\n ],\n label: {\n pattern: /(^|[^|<])\\|(?:[^\\r\\n\"|]|\"[^\"\\r\\n]*\")+\\|/,\n lookbehind: true,\n greedy: true,\n alias: 'property'\n },\n text: {\n pattern: /(?:[(\\[{]+|\\b>)(?:[^\\r\\n\"()\\[\\]{}]|\"[^\"\\r\\n]*\")+(?:[)\\]}]+|>)/,\n alias: 'string'\n },\n string: {\n pattern: /\"[^\"\\r\\n]*\"/,\n greedy: true\n },\n annotation: {\n pattern:\n /<<(?:abstract|choice|enumeration|fork|interface|join|service)>>|\\[\\[(?:choice|fork|join)\\]\\]/i,\n alias: 'important'\n },\n keyword: [\n // This language has both case-sensitive and case-insensitive keywords\n {\n pattern:\n /(^[ \\t]*)(?:action|callback|class|classDef|classDiagram|click|direction|erDiagram|flowchart|gantt|gitGraph|graph|journey|link|linkStyle|pie|requirementDiagram|sequenceDiagram|stateDiagram|stateDiagram-v2|style|subgraph)(?![\\w$-])/m,\n lookbehind: true,\n greedy: true\n },\n {\n pattern:\n /(^[ \\t]*)(?:activate|alt|and|as|autonumber|deactivate|else|end(?:[ \\t]+note)?|loop|opt|par|participant|rect|state|note[ \\t]+(?:over|(?:left|right)[ \\t]+of))(?![\\w$-])/im,\n lookbehind: true,\n greedy: true\n }\n ],\n entity: /#[a-z0-9]+;/,\n operator: {\n pattern: /(\\w[ \\t]*)&(?=[ \\t]*\\w)|:::|:/,\n lookbehind: true\n },\n punctuation: /[(){};]/\n }\n}\n","'use strict'\n\nmodule.exports = mizar\nmizar.displayName = 'mizar'\nmizar.aliases = []\nfunction mizar(Prism) {\n Prism.languages.mizar = {\n comment: /::.+/,\n keyword:\n /@proof\\b|\\b(?:according|aggregate|all|and|antonym|are|as|associativity|assume|asymmetry|attr|be|begin|being|by|canceled|case|cases|clusters?|coherence|commutativity|compatibility|connectedness|consider|consistency|constructors|contradiction|correctness|def|deffunc|define|definitions?|defpred|do|does|end|environ|equals|ex|exactly|existence|for|from|func|given|hence|hereby|holds|idempotence|identity|iff?|implies|involutiveness|irreflexivity|is|it|let|means|mode|non|not|notations?|now|of|or|otherwise|over|per|pred|prefix|projectivity|proof|provided|qua|reconsider|redefine|reduce|reducibility|reflexivity|registrations?|requirements|reserve|sch|schemes?|section|selector|set|sethood|st|struct|such|suppose|symmetry|synonym|take|that|the|then|theorems?|thesis|thus|to|transitivity|uniqueness|vocabular(?:ies|y)|when|where|with|wrt)\\b/,\n parameter: {\n pattern: /\\$(?:10|\\d)/,\n alias: 'variable'\n },\n variable: /\\b\\w+(?=:)/,\n number: /(?:\\b|-)\\d+\\b/,\n operator: /\\.\\.\\.|->|&|\\.?=/,\n punctuation: /\\(#|#\\)|[,:;\\[\\](){}]/\n }\n}\n","'use strict'\n\nmodule.exports = mongodb\nmongodb.displayName = 'mongodb'\nmongodb.aliases = []\nfunction mongodb(Prism) {\n ;(function (Prism) {\n var operators = [\n // query and projection\n '$eq',\n '$gt',\n '$gte',\n '$in',\n '$lt',\n '$lte',\n '$ne',\n '$nin',\n '$and',\n '$not',\n '$nor',\n '$or',\n '$exists',\n '$type',\n '$expr',\n '$jsonSchema',\n '$mod',\n '$regex',\n '$text',\n '$where',\n '$geoIntersects',\n '$geoWithin',\n '$near',\n '$nearSphere',\n '$all',\n '$elemMatch',\n '$size',\n '$bitsAllClear',\n '$bitsAllSet',\n '$bitsAnyClear',\n '$bitsAnySet',\n '$comment',\n '$elemMatch',\n '$meta',\n '$slice', // update\n '$currentDate',\n '$inc',\n '$min',\n '$max',\n '$mul',\n '$rename',\n '$set',\n '$setOnInsert',\n '$unset',\n '$addToSet',\n '$pop',\n '$pull',\n '$push',\n '$pullAll',\n '$each',\n '$position',\n '$slice',\n '$sort',\n '$bit', // aggregation pipeline stages\n '$addFields',\n '$bucket',\n '$bucketAuto',\n '$collStats',\n '$count',\n '$currentOp',\n '$facet',\n '$geoNear',\n '$graphLookup',\n '$group',\n '$indexStats',\n '$limit',\n '$listLocalSessions',\n '$listSessions',\n '$lookup',\n '$match',\n '$merge',\n '$out',\n '$planCacheStats',\n '$project',\n '$redact',\n '$replaceRoot',\n '$replaceWith',\n '$sample',\n '$set',\n '$skip',\n '$sort',\n '$sortByCount',\n '$unionWith',\n '$unset',\n '$unwind',\n '$setWindowFields', // aggregation pipeline operators\n '$abs',\n '$accumulator',\n '$acos',\n '$acosh',\n '$add',\n '$addToSet',\n '$allElementsTrue',\n '$and',\n '$anyElementTrue',\n '$arrayElemAt',\n '$arrayToObject',\n '$asin',\n '$asinh',\n '$atan',\n '$atan2',\n '$atanh',\n '$avg',\n '$binarySize',\n '$bsonSize',\n '$ceil',\n '$cmp',\n '$concat',\n '$concatArrays',\n '$cond',\n '$convert',\n '$cos',\n '$dateFromParts',\n '$dateToParts',\n '$dateFromString',\n '$dateToString',\n '$dayOfMonth',\n '$dayOfWeek',\n '$dayOfYear',\n '$degreesToRadians',\n '$divide',\n '$eq',\n '$exp',\n '$filter',\n '$first',\n '$floor',\n '$function',\n '$gt',\n '$gte',\n '$hour',\n '$ifNull',\n '$in',\n '$indexOfArray',\n '$indexOfBytes',\n '$indexOfCP',\n '$isArray',\n '$isNumber',\n '$isoDayOfWeek',\n '$isoWeek',\n '$isoWeekYear',\n '$last',\n '$last',\n '$let',\n '$literal',\n '$ln',\n '$log',\n '$log10',\n '$lt',\n '$lte',\n '$ltrim',\n '$map',\n '$max',\n '$mergeObjects',\n '$meta',\n '$min',\n '$millisecond',\n '$minute',\n '$mod',\n '$month',\n '$multiply',\n '$ne',\n '$not',\n '$objectToArray',\n '$or',\n '$pow',\n '$push',\n '$radiansToDegrees',\n '$range',\n '$reduce',\n '$regexFind',\n '$regexFindAll',\n '$regexMatch',\n '$replaceOne',\n '$replaceAll',\n '$reverseArray',\n '$round',\n '$rtrim',\n '$second',\n '$setDifference',\n '$setEquals',\n '$setIntersection',\n '$setIsSubset',\n '$setUnion',\n '$size',\n '$sin',\n '$slice',\n '$split',\n '$sqrt',\n '$stdDevPop',\n '$stdDevSamp',\n '$strcasecmp',\n '$strLenBytes',\n '$strLenCP',\n '$substr',\n '$substrBytes',\n '$substrCP',\n '$subtract',\n '$sum',\n '$switch',\n '$tan',\n '$toBool',\n '$toDate',\n '$toDecimal',\n '$toDouble',\n '$toInt',\n '$toLong',\n '$toObjectId',\n '$toString',\n '$toLower',\n '$toUpper',\n '$trim',\n '$trunc',\n '$type',\n '$week',\n '$year',\n '$zip',\n '$count',\n '$dateAdd',\n '$dateDiff',\n '$dateSubtract',\n '$dateTrunc',\n '$getField',\n '$rand',\n '$sampleRate',\n '$setField',\n '$unsetField', // aggregation pipeline query modifiers\n '$comment',\n '$explain',\n '$hint',\n '$max',\n '$maxTimeMS',\n '$min',\n '$orderby',\n '$query',\n '$returnKey',\n '$showDiskLoc',\n '$natural'\n ]\n var builtinFunctions = [\n 'ObjectId',\n 'Code',\n 'BinData',\n 'DBRef',\n 'Timestamp',\n 'NumberLong',\n 'NumberDecimal',\n 'MaxKey',\n 'MinKey',\n 'RegExp',\n 'ISODate',\n 'UUID'\n ]\n operators = operators.map(function (operator) {\n return operator.replace('$', '\\\\$')\n })\n var operatorsSource = '(?:' + operators.join('|') + ')\\\\b'\n Prism.languages.mongodb = Prism.languages.extend('javascript', {})\n Prism.languages.insertBefore('mongodb', 'string', {\n property: {\n pattern:\n /(?:([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1|(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*)(?=\\s*:)/,\n greedy: true,\n inside: {\n keyword: RegExp('^([\\'\"])?' + operatorsSource + '(?:\\\\1)?$')\n }\n }\n })\n Prism.languages.mongodb.string.inside = {\n url: {\n // url pattern\n pattern:\n /https?:\\/\\/[-\\w@:%.+~#=]{1,256}\\.[a-z0-9()]{1,6}\\b[-\\w()@:%+.~#?&/=]*/i,\n greedy: true\n },\n entity: {\n // ipv4\n pattern:\n /\\b(?:(?:[01]?\\d\\d?|2[0-4]\\d|25[0-5])\\.){3}(?:[01]?\\d\\d?|2[0-4]\\d|25[0-5])\\b/,\n greedy: true\n }\n }\n Prism.languages.insertBefore('mongodb', 'constant', {\n builtin: {\n pattern: RegExp('\\\\b(?:' + builtinFunctions.join('|') + ')\\\\b'),\n alias: 'keyword'\n }\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = monkey\nmonkey.displayName = 'monkey'\nmonkey.aliases = []\nfunction monkey(Prism) {\n Prism.languages.monkey = {\n comment: {\n pattern: /^#Rem\\s[\\s\\S]*?^#End|'.+/im,\n greedy: true\n },\n string: {\n pattern: /\"[^\"\\r\\n]*\"/,\n greedy: true\n },\n preprocessor: {\n pattern: /(^[ \\t]*)#.+/m,\n lookbehind: true,\n greedy: true,\n alias: 'property'\n },\n function: /\\b\\w+(?=\\()/,\n 'type-char': {\n pattern: /\\b[?%#$]/,\n alias: 'class-name'\n },\n number: {\n pattern:\n /((?:\\.\\.)?)(?:(?:\\b|\\B-\\.?|\\B\\.)\\d+(?:(?!\\.\\.)\\.\\d*)?|\\$[\\da-f]+)/i,\n lookbehind: true\n },\n keyword:\n /\\b(?:Abstract|Array|Bool|Case|Catch|Class|Const|Continue|Default|Eachin|Else|ElseIf|End|EndIf|Exit|Extends|Extern|False|Field|Final|Float|For|Forever|Function|Global|If|Implements|Import|Inline|Int|Interface|Local|Method|Module|New|Next|Null|Object|Private|Property|Public|Repeat|Return|Select|Self|Step|Strict|String|Super|Then|Throw|To|True|Try|Until|Void|Wend|While)\\b/i,\n operator:\n /\\.\\.|<[=>]?|>=?|:?=|(?:[+\\-*\\/&~|]|\\b(?:Mod|Shl|Shr)\\b)=?|\\b(?:And|Not|Or)\\b/i,\n punctuation: /[.,:;()\\[\\]]/\n }\n}\n","'use strict'\n\nmodule.exports = moonscript\nmoonscript.displayName = 'moonscript'\nmoonscript.aliases = ['moon']\nfunction moonscript(Prism) {\n Prism.languages.moonscript = {\n comment: /--.*/,\n string: [\n {\n pattern: /'[^']*'|\\[(=*)\\[[\\s\\S]*?\\]\\1\\]/,\n greedy: true\n },\n {\n pattern: /\"[^\"]*\"/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /#\\{[^{}]*\\}/,\n inside: {\n moonscript: {\n pattern: /(^#\\{)[\\s\\S]+(?=\\})/,\n lookbehind: true,\n inside: null // see beow\n },\n 'interpolation-punctuation': {\n pattern: /#\\{|\\}/,\n alias: 'punctuation'\n }\n }\n }\n }\n }\n ],\n 'class-name': [\n {\n pattern: /(\\b(?:class|extends)[ \\t]+)\\w+/,\n lookbehind: true\n }, // class-like names start with a capital letter\n /\\b[A-Z]\\w*/\n ],\n keyword:\n /\\b(?:class|continue|do|else|elseif|export|extends|for|from|if|import|in|local|nil|return|self|super|switch|then|unless|using|when|while|with)\\b/,\n variable: /@@?\\w*/,\n property: {\n pattern: /\\b(?!\\d)\\w+(?=:)|(:)(?!\\d)\\w+/,\n lookbehind: true\n },\n function: {\n pattern:\n /\\b(?:_G|_VERSION|assert|collectgarbage|coroutine\\.(?:create|resume|running|status|wrap|yield)|debug\\.(?:debug|getfenv|gethook|getinfo|getlocal|getmetatable|getregistry|getupvalue|setfenv|sethook|setlocal|setmetatable|setupvalue|traceback)|dofile|error|getfenv|getmetatable|io\\.(?:close|flush|input|lines|open|output|popen|read|stderr|stdin|stdout|tmpfile|type|write)|ipairs|load|loadfile|loadstring|math\\.(?:abs|acos|asin|atan|atan2|ceil|cos|cosh|deg|exp|floor|fmod|frexp|ldexp|log|log10|max|min|modf|pi|pow|rad|random|randomseed|sin|sinh|sqrt|tan|tanh)|module|next|os\\.(?:clock|date|difftime|execute|exit|getenv|remove|rename|setlocale|time|tmpname)|package\\.(?:cpath|loaded|loadlib|path|preload|seeall)|pairs|pcall|print|rawequal|rawget|rawset|require|select|setfenv|setmetatable|string\\.(?:byte|char|dump|find|format|gmatch|gsub|len|lower|match|rep|reverse|sub|upper)|table\\.(?:concat|insert|maxn|remove|sort)|tonumber|tostring|type|unpack|xpcall)\\b/,\n inside: {\n punctuation: /\\./\n }\n },\n boolean: /\\b(?:false|true)\\b/,\n number:\n /(?:\\B\\.\\d+|\\b\\d+\\.\\d+|\\b\\d+(?=[eE]))(?:[eE][-+]?\\d+)?\\b|\\b(?:0x[a-fA-F\\d]+|\\d+)(?:U?LL)?\\b/,\n operator:\n /\\.{3}|[-=]>|~=|(?:[-+*/%<>!=]|\\.\\.)=?|[:#^]|\\b(?:and|or)\\b=?|\\b(?:not)\\b/,\n punctuation: /[.,()[\\]{}\\\\]/\n }\n Prism.languages.moonscript.string[1].inside.interpolation.inside.moonscript.inside =\n Prism.languages.moonscript\n Prism.languages.moon = Prism.languages.moonscript\n}\n","'use strict'\n\nmodule.exports = n1ql\nn1ql.displayName = 'n1ql'\nn1ql.aliases = []\nfunction n1ql(Prism) {\n // https://docs.couchbase.com/server/current/n1ql/n1ql-language-reference/index.html\n Prism.languages.n1ql = {\n comment: {\n pattern: /\\/\\*[\\s\\S]*?(?:$|\\*\\/)|--.*/,\n greedy: true\n },\n string: {\n pattern: /([\"'])(?:\\\\[\\s\\S]|(?!\\1)[^\\\\]|\\1\\1)*\\1/,\n greedy: true\n },\n identifier: {\n pattern: /`(?:\\\\[\\s\\S]|[^\\\\`]|``)*`/,\n greedy: true\n },\n parameter: /\\$[\\w.]+/,\n // https://docs.couchbase.com/server/current/n1ql/n1ql-language-reference/reservedwords.html#n1ql-reserved-words\n keyword:\n /\\b(?:ADVISE|ALL|ALTER|ANALYZE|AS|ASC|AT|BEGIN|BINARY|BOOLEAN|BREAK|BUCKET|BUILD|BY|CALL|CAST|CLUSTER|COLLATE|COLLECTION|COMMIT|COMMITTED|CONNECT|CONTINUE|CORRELATE|CORRELATED|COVER|CREATE|CURRENT|DATABASE|DATASET|DATASTORE|DECLARE|DECREMENT|DELETE|DERIVED|DESC|DESCRIBE|DISTINCT|DO|DROP|EACH|ELEMENT|EXCEPT|EXCLUDE|EXECUTE|EXPLAIN|FETCH|FILTER|FLATTEN|FLUSH|FOLLOWING|FOR|FORCE|FROM|FTS|FUNCTION|GOLANG|GRANT|GROUP|GROUPS|GSI|HASH|HAVING|IF|IGNORE|ILIKE|INCLUDE|INCREMENT|INDEX|INFER|INLINE|INNER|INSERT|INTERSECT|INTO|IS|ISOLATION|JAVASCRIPT|JOIN|KEY|KEYS|KEYSPACE|KNOWN|LANGUAGE|LAST|LEFT|LET|LETTING|LEVEL|LIMIT|LSM|MAP|MAPPING|MATCHED|MATERIALIZED|MERGE|MINUS|MISSING|NAMESPACE|NEST|NL|NO|NTH_VALUE|NULL|NULLS|NUMBER|OBJECT|OFFSET|ON|OPTION|OPTIONS|ORDER|OTHERS|OUTER|OVER|PARSE|PARTITION|PASSWORD|PATH|POOL|PRECEDING|PREPARE|PRIMARY|PRIVATE|PRIVILEGE|PROBE|PROCEDURE|PUBLIC|RANGE|RAW|REALM|REDUCE|RENAME|RESPECT|RETURN|RETURNING|REVOKE|RIGHT|ROLE|ROLLBACK|ROW|ROWS|SATISFIES|SAVEPOINT|SCHEMA|SCOPE|SELECT|SELF|SEMI|SET|SHOW|SOME|START|STATISTICS|STRING|SYSTEM|TIES|TO|TRAN|TRANSACTION|TRIGGER|TRUNCATE|UNBOUNDED|UNDER|UNION|UNIQUE|UNKNOWN|UNNEST|UNSET|UPDATE|UPSERT|USE|USER|USING|VALIDATE|VALUE|VALUES|VIA|VIEW|WHERE|WHILE|WINDOW|WITH|WORK|XOR)\\b/i,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n boolean: /\\b(?:FALSE|TRUE)\\b/i,\n number: /(?:\\b\\d+\\.|\\B\\.)\\d+e[+\\-]?\\d+\\b|\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+\\b/i,\n operator:\n /[-+*\\/%]|!=|==?|\\|\\||<[>=]?|>=?|\\b(?:AND|ANY|ARRAY|BETWEEN|CASE|ELSE|END|EVERY|EXISTS|FIRST|IN|LIKE|NOT|OR|THEN|VALUED|WHEN|WITHIN)\\b/i,\n punctuation: /[;[\\](),.{}:]/\n }\n}\n","'use strict'\n\nmodule.exports = n4js\nn4js.displayName = 'n4js'\nn4js.aliases = ['n4jsd']\nfunction n4js(Prism) {\n Prism.languages.n4js = Prism.languages.extend('javascript', {\n // Keywords from N4JS language spec: https://numberfour.github.io/n4js/spec/N4JSSpec.html\n keyword:\n /\\b(?:Array|any|boolean|break|case|catch|class|const|constructor|continue|debugger|declare|default|delete|do|else|enum|export|extends|false|finally|for|from|function|get|if|implements|import|in|instanceof|interface|let|module|new|null|number|package|private|protected|public|return|set|static|string|super|switch|this|throw|true|try|typeof|var|void|while|with|yield)\\b/\n })\n Prism.languages.insertBefore('n4js', 'constant', {\n // Annotations in N4JS spec: https://numberfour.github.io/n4js/spec/N4JSSpec.html#_annotations\n annotation: {\n pattern: /@+\\w+/,\n alias: 'operator'\n }\n })\n Prism.languages.n4jsd = Prism.languages.n4js\n}\n","'use strict'\n\nmodule.exports = nand2tetrisHdl\nnand2tetrisHdl.displayName = 'nand2tetrisHdl'\nnand2tetrisHdl.aliases = []\nfunction nand2tetrisHdl(Prism) {\n Prism.languages['nand2tetris-hdl'] = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n keyword: /\\b(?:BUILTIN|CHIP|CLOCKED|IN|OUT|PARTS)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n function: /\\b[A-Za-z][A-Za-z0-9]*(?=\\()/,\n number: /\\b\\d+\\b/,\n operator: /=|\\.\\./,\n punctuation: /[{}[\\];(),:]/\n }\n}\n","'use strict'\n\nmodule.exports = naniscript\nnaniscript.displayName = 'naniscript'\nnaniscript.aliases = []\nfunction naniscript(Prism) {\n ;(function (Prism) {\n var expressionDef = /\\{[^\\r\\n\\[\\]{}]*\\}/\n var params = {\n 'quoted-string': {\n pattern: /\"(?:[^\"\\\\]|\\\\.)*\"/,\n alias: 'operator'\n },\n 'command-param-id': {\n pattern: /(\\s)\\w+:/,\n lookbehind: true,\n alias: 'property'\n },\n 'command-param-value': [\n {\n pattern: expressionDef,\n alias: 'selector'\n },\n {\n pattern: /([\\t ])\\S+/,\n lookbehind: true,\n greedy: true,\n alias: 'operator'\n },\n {\n pattern: /\\S(?:.*\\S)?/,\n alias: 'operator'\n }\n ]\n }\n Prism.languages.naniscript = {\n // ; ...\n comment: {\n pattern: /^([\\t ]*);.*/m,\n lookbehind: true\n },\n // > ...\n // Define is a control line starting with '>' followed by a word, a space and a text.\n define: {\n pattern: /^>.+/m,\n alias: 'tag',\n inside: {\n value: {\n pattern: /(^>\\w+[\\t ]+)(?!\\s)[^{}\\r\\n]+/,\n lookbehind: true,\n alias: 'operator'\n },\n key: {\n pattern: /(^>)\\w+/,\n lookbehind: true\n }\n }\n },\n // # ...\n label: {\n pattern: /^([\\t ]*)#[\\t ]*\\w+[\\t ]*$/m,\n lookbehind: true,\n alias: 'regex'\n },\n command: {\n pattern: /^([\\t ]*)@\\w+(?=[\\t ]|$).*/m,\n lookbehind: true,\n alias: 'function',\n inside: {\n 'command-name': /^@\\w+/,\n expression: {\n pattern: expressionDef,\n greedy: true,\n alias: 'selector'\n },\n 'command-params': {\n pattern: /\\s*\\S[\\s\\S]*/,\n inside: params\n }\n }\n },\n // Generic is any line that doesn't start with operators: ;>#@\n 'generic-text': {\n pattern: /(^[ \\t]*)[^#@>;\\s].*/m,\n lookbehind: true,\n alias: 'punctuation',\n inside: {\n // \\{ ... \\} ... \\[ ... \\] ... \\\"\n 'escaped-char': /\\\\[{}\\[\\]\"]/,\n expression: {\n pattern: expressionDef,\n greedy: true,\n alias: 'selector'\n },\n 'inline-command': {\n pattern: /\\[[\\t ]*\\w[^\\r\\n\\[\\]]*\\]/,\n greedy: true,\n alias: 'function',\n inside: {\n 'command-params': {\n pattern: /(^\\[[\\t ]*\\w+\\b)[\\s\\S]+(?=\\]$)/,\n lookbehind: true,\n inside: params\n },\n 'command-param-name': {\n pattern: /^(\\[[\\t ]*)\\w+/,\n lookbehind: true,\n alias: 'name'\n },\n 'start-stop-char': /[\\[\\]]/\n }\n }\n }\n }\n }\n Prism.languages.nani = Prism.languages['naniscript']\n /** @typedef {InstanceType<import(\"./prism-core\")[\"Token\"]>} Token */\n /**\n * This hook is used to validate generic-text tokens for balanced brackets.\n * Mark token as bad-line when contains not balanced brackets: {},[]\n */\n Prism.hooks.add('after-tokenize', function (env) {\n /** @type {(Token | string)[]} */\n var tokens = env.tokens\n tokens.forEach(function (token) {\n if (typeof token !== 'string' && token.type === 'generic-text') {\n var content = getTextContent(token)\n if (!isBracketsBalanced(content)) {\n token.type = 'bad-line'\n token.content = content\n }\n }\n })\n })\n /**\n * @param {string} input\n * @returns {boolean}\n */\n function isBracketsBalanced(input) {\n var brackets = '[]{}'\n var stack = []\n for (var i = 0; i < input.length; i++) {\n var bracket = input[i]\n var bracketsIndex = brackets.indexOf(bracket)\n if (bracketsIndex !== -1) {\n if (bracketsIndex % 2 === 0) {\n stack.push(bracketsIndex + 1)\n } else if (stack.pop() !== bracketsIndex) {\n return false\n }\n }\n }\n return stack.length === 0\n }\n /**\n * @param {string | Token | (string | Token)[]} token\n * @returns {string}\n */\n function getTextContent(token) {\n if (typeof token === 'string') {\n return token\n } else if (Array.isArray(token)) {\n return token.map(getTextContent).join('')\n } else {\n return getTextContent(token.content)\n }\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = nasm\nnasm.displayName = 'nasm'\nnasm.aliases = []\nfunction nasm(Prism) {\n Prism.languages.nasm = {\n comment: /;.*$/m,\n string: /([\"'`])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n label: {\n pattern: /(^\\s*)[A-Za-z._?$][\\w.?$@~#]*:/m,\n lookbehind: true,\n alias: 'function'\n },\n keyword: [\n /\\[?BITS (?:16|32|64)\\]?/,\n {\n pattern: /(^\\s*)section\\s*[a-z.]+:?/im,\n lookbehind: true\n },\n /(?:extern|global)[^;\\r\\n]*/i,\n /(?:CPU|DEFAULT|FLOAT).*$/m\n ],\n register: {\n pattern:\n /\\b(?:st\\d|[xyz]mm\\d\\d?|[cdt]r\\d|r\\d\\d?[bwd]?|[er]?[abcd]x|[abcd][hl]|[er]?(?:bp|di|si|sp)|[cdefgs]s)\\b/i,\n alias: 'variable'\n },\n number:\n /(?:\\b|(?=\\$))(?:0[hx](?:\\.[\\da-f]+|[\\da-f]+(?:\\.[\\da-f]+)?)(?:p[+-]?\\d+)?|\\d[\\da-f]+[hx]|\\$\\d[\\da-f]*|0[oq][0-7]+|[0-7]+[oq]|0[by][01]+|[01]+[by]|0[dt]\\d+|(?:\\d+(?:\\.\\d+)?|\\.\\d+)(?:\\.?e[+-]?\\d+)?[dt]?)\\b/i,\n operator: /[\\[\\]*+\\-\\/%<>=&|$!]/\n }\n}\n","'use strict'\n\nmodule.exports = neon\nneon.displayName = 'neon'\nneon.aliases = []\nfunction neon(Prism) {\n Prism.languages.neon = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n datetime: {\n pattern:\n /(^|[[{(=:,\\s])\\d\\d\\d\\d-\\d\\d?-\\d\\d?(?:(?:[Tt]| +)\\d\\d?:\\d\\d:\\d\\d(?:\\.\\d*)? *(?:Z|[-+]\\d\\d?(?::?\\d\\d)?)?)?(?=$|[\\]}),\\s])/,\n lookbehind: true,\n alias: 'number'\n },\n key: {\n pattern: /(^|[[{(,\\s])[^,:=[\\]{}()'\"\\s]+(?=\\s*:(?:$|[\\]}),\\s])|\\s*=)/,\n lookbehind: true,\n alias: 'atrule'\n },\n number: {\n pattern:\n /(^|[[{(=:,\\s])[+-]?(?:0x[\\da-fA-F]+|0o[0-7]+|0b[01]+|(?:\\d+(?:\\.\\d*)?|\\.?\\d+)(?:[eE][+-]?\\d+)?)(?=$|[\\]}),:=\\s])/,\n lookbehind: true\n },\n boolean: {\n pattern: /(^|[[{(=:,\\s])(?:false|no|true|yes)(?=$|[\\]}),:=\\s])/i,\n lookbehind: true\n },\n null: {\n pattern: /(^|[[{(=:,\\s])(?:null)(?=$|[\\]}),:=\\s])/i,\n lookbehind: true,\n alias: 'keyword'\n },\n string: {\n pattern:\n /(^|[[{(=:,\\s])(?:('''|\"\"\")\\r?\\n(?:(?:[^\\r\\n]|\\r?\\n(?![\\t ]*\\2))*\\r?\\n)?[\\t ]*\\2|'[^'\\r\\n]*'|\"(?:\\\\.|[^\\\\\"\\r\\n])*\")/,\n lookbehind: true,\n greedy: true\n },\n literal: {\n pattern:\n /(^|[[{(=:,\\s])(?:[^#\"',:=[\\]{}()\\s`-]|[:-][^\"',=[\\]{}()\\s])(?:[^,:=\\]})(\\s]|:(?![\\s,\\]})]|$)|[ \\t]+[^#,:=\\]})(\\s])*/,\n lookbehind: true,\n alias: 'string'\n },\n punctuation: /[,:=[\\]{}()-]/\n }\n}\n","'use strict'\n\nmodule.exports = nevod\nnevod.displayName = 'nevod'\nnevod.aliases = []\nfunction nevod(Prism) {\n Prism.languages.nevod = {\n comment: /\\/\\/.*|(?:\\/\\*[\\s\\S]*?(?:\\*\\/|$))/,\n string: {\n pattern: /(?:\"(?:\"\"|[^\"])*\"(?!\")|'(?:''|[^'])*'(?!'))!?\\*?/,\n greedy: true,\n inside: {\n 'string-attrs': /!$|!\\*$|\\*$/\n }\n },\n namespace: {\n pattern: /(@namespace\\s+)[a-zA-Z0-9\\-.]+(?=\\s*\\{)/,\n lookbehind: true\n },\n pattern: {\n pattern:\n /(@pattern\\s+)?#?[a-zA-Z0-9\\-.]+(?:\\s*\\(\\s*(?:~\\s*)?[a-zA-Z0-9\\-.]+\\s*(?:,\\s*(?:~\\s*)?[a-zA-Z0-9\\-.]*)*\\))?(?=\\s*=)/,\n lookbehind: true,\n inside: {\n 'pattern-name': {\n pattern: /^#?[a-zA-Z0-9\\-.]+/,\n alias: 'class-name'\n },\n fields: {\n pattern: /\\(.*\\)/,\n inside: {\n 'field-name': {\n pattern: /[a-zA-Z0-9\\-.]+/,\n alias: 'variable'\n },\n punctuation: /[,()]/,\n operator: {\n pattern: /~/,\n alias: 'field-hidden-mark'\n }\n }\n }\n }\n },\n search: {\n pattern: /(@search\\s+|#)[a-zA-Z0-9\\-.]+(?:\\.\\*)?(?=\\s*;)/,\n alias: 'function',\n lookbehind: true\n },\n keyword:\n /@(?:having|inside|namespace|outside|pattern|require|search|where)\\b/,\n 'standard-pattern': {\n pattern:\n /\\b(?:Alpha|AlphaNum|Any|Blank|End|LineBreak|Num|NumAlpha|Punct|Space|Start|Symbol|Word|WordBreak)\\b(?:\\([a-zA-Z0-9\\-.,\\s+]*\\))?/,\n inside: {\n 'standard-pattern-name': {\n pattern: /^[a-zA-Z0-9\\-.]+/,\n alias: 'builtin'\n },\n quantifier: {\n pattern: /\\b\\d+(?:\\s*\\+|\\s*-\\s*\\d+)?(?!\\w)/,\n alias: 'number'\n },\n 'standard-pattern-attr': {\n pattern: /[a-zA-Z0-9\\-.]+/,\n alias: 'builtin'\n },\n punctuation: /[,()]/\n }\n },\n quantifier: {\n pattern: /\\b\\d+(?:\\s*\\+|\\s*-\\s*\\d+)?(?!\\w)/,\n alias: 'number'\n },\n operator: [\n {\n pattern: /=/,\n alias: 'pattern-def'\n },\n {\n pattern: /&/,\n alias: 'conjunction'\n },\n {\n pattern: /~/,\n alias: 'exception'\n },\n {\n pattern: /\\?/,\n alias: 'optionality'\n },\n {\n pattern: /[[\\]]/,\n alias: 'repetition'\n },\n {\n pattern: /[{}]/,\n alias: 'variation'\n },\n {\n pattern: /[+_]/,\n alias: 'sequence'\n },\n {\n pattern: /\\.{2,3}/,\n alias: 'span'\n }\n ],\n 'field-capture': [\n {\n pattern:\n /([a-zA-Z0-9\\-.]+\\s*\\()\\s*[a-zA-Z0-9\\-.]+\\s*:\\s*[a-zA-Z0-9\\-.]+(?:\\s*,\\s*[a-zA-Z0-9\\-.]+\\s*:\\s*[a-zA-Z0-9\\-.]+)*(?=\\s*\\))/,\n lookbehind: true,\n inside: {\n 'field-name': {\n pattern: /[a-zA-Z0-9\\-.]+/,\n alias: 'variable'\n },\n colon: /:/\n }\n },\n {\n pattern: /[a-zA-Z0-9\\-.]+\\s*:/,\n inside: {\n 'field-name': {\n pattern: /[a-zA-Z0-9\\-.]+/,\n alias: 'variable'\n },\n colon: /:/\n }\n }\n ],\n punctuation: /[:;,()]/,\n name: /[a-zA-Z0-9\\-.]+/\n }\n}\n","'use strict'\n\nmodule.exports = nginx\nnginx.displayName = 'nginx'\nnginx.aliases = []\nfunction nginx(Prism) {\n ;(function (Prism) {\n var variable =\n /\\$(?:\\w[a-z\\d]*(?:_[^\\x00-\\x1F\\s\"'\\\\()$]*)?|\\{[^}\\s\"'\\\\]+\\})/i\n Prism.languages.nginx = {\n comment: {\n pattern: /(^|[\\s{};])#.*/,\n lookbehind: true,\n greedy: true\n },\n directive: {\n pattern:\n /(^|\\s)\\w(?:[^;{}\"'\\\\\\s]|\\\\.|\"(?:[^\"\\\\]|\\\\.)*\"|'(?:[^'\\\\]|\\\\.)*'|\\s+(?:#.*(?!.)|(?![#\\s])))*?(?=\\s*[;{])/,\n lookbehind: true,\n greedy: true,\n inside: {\n string: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\\\\\)*)(?:\"(?:[^\"\\\\]|\\\\.)*\"|'(?:[^'\\\\]|\\\\.)*')/,\n lookbehind: true,\n greedy: true,\n inside: {\n escape: {\n pattern: /\\\\[\"'\\\\nrt]/,\n alias: 'entity'\n },\n variable: variable\n }\n },\n comment: {\n pattern: /(\\s)#.*/,\n lookbehind: true,\n greedy: true\n },\n keyword: {\n pattern: /^\\S+/,\n greedy: true\n },\n // other patterns\n boolean: {\n pattern: /(\\s)(?:off|on)(?!\\S)/,\n lookbehind: true\n },\n number: {\n pattern: /(\\s)\\d+[a-z]*(?!\\S)/i,\n lookbehind: true\n },\n variable: variable\n }\n },\n punctuation: /[{};]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = nim\nnim.displayName = 'nim'\nnim.aliases = []\nfunction nim(Prism) {\n Prism.languages.nim = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n string: {\n // Double-quoted strings can be prefixed by an identifier (Generalized raw string literals)\n pattern:\n /(?:\\b(?!\\d)(?:\\w|\\\\x[89a-fA-F][0-9a-fA-F])+)?(?:\"\"\"[\\s\\S]*?\"\"\"(?!\")|\"(?:\\\\[\\s\\S]|\"\"|[^\"\\\\])*\")/,\n greedy: true\n },\n char: {\n // Character literals are handled specifically to prevent issues with numeric type suffixes\n pattern: /'(?:\\\\(?:\\d+|x[\\da-fA-F]{0,2}|.)|[^'])'/,\n greedy: true\n },\n function: {\n pattern:\n /(?:(?!\\d)(?:\\w|\\\\x[89a-fA-F][0-9a-fA-F])+|`[^`\\r\\n]+`)\\*?(?:\\[[^\\]]+\\])?(?=\\s*\\()/,\n greedy: true,\n inside: {\n operator: /\\*$/\n }\n },\n // We don't want to highlight operators (and anything really) inside backticks\n identifier: {\n pattern: /`[^`\\r\\n]+`/,\n greedy: true,\n inside: {\n punctuation: /`/\n }\n },\n // The negative look ahead prevents wrong highlighting of the .. operator\n number:\n /\\b(?:0[xXoObB][\\da-fA-F_]+|\\d[\\d_]*(?:(?!\\.\\.)\\.[\\d_]*)?(?:[eE][+-]?\\d[\\d_]*)?)(?:'?[iuf]\\d*)?/,\n keyword:\n /\\b(?:addr|as|asm|atomic|bind|block|break|case|cast|concept|const|continue|converter|defer|discard|distinct|do|elif|else|end|enum|except|export|finally|for|from|func|generic|if|import|include|interface|iterator|let|macro|method|mixin|nil|object|out|proc|ptr|raise|ref|return|static|template|try|tuple|type|using|var|when|while|with|without|yield)\\b/,\n operator: {\n // Look behind and look ahead prevent wrong highlighting of punctuations [. .] {. .} (. .)\n // but allow the slice operator .. to take precedence over them\n // One can define his own operators in Nim so all combination of operators might be an operator.\n pattern:\n /(^|[({\\[](?=\\.\\.)|(?![({\\[]\\.).)(?:(?:[=+\\-*\\/<>@$~&%|!?^:\\\\]|\\.\\.|\\.(?![)}\\]]))+|\\b(?:and|div|in|is|isnot|mod|not|notin|of|or|shl|shr|xor)\\b)/m,\n lookbehind: true\n },\n punctuation: /[({\\[]\\.|\\.[)}\\]]|[`(){}\\[\\],:]/\n }\n}\n","'use strict'\n\nmodule.exports = nix\nnix.displayName = 'nix'\nnix.aliases = []\nfunction nix(Prism) {\n Prism.languages.nix = {\n comment: {\n pattern: /\\/\\*[\\s\\S]*?\\*\\/|#.*/,\n greedy: true\n },\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\[\\s\\S])*\"|''(?:(?!'')[\\s\\S]|''(?:'|\\\\|\\$\\{))*''/,\n greedy: true,\n inside: {\n interpolation: {\n // The lookbehind ensures the ${} is not preceded by \\ or ''\n pattern: /(^|(?:^|(?!'').)[^\\\\])\\$\\{(?:[^{}]|\\{[^}]*\\})*\\}/,\n lookbehind: true,\n inside: null // see below\n }\n }\n },\n url: [\n /\\b(?:[a-z]{3,7}:\\/\\/)[\\w\\-+%~\\/.:#=?&]+/,\n {\n pattern:\n /([^\\/])(?:[\\w\\-+%~.:#=?&]*(?!\\/\\/)[\\w\\-+%~\\/.:#=?&])?(?!\\/\\/)\\/[\\w\\-+%~\\/.:#=?&]*/,\n lookbehind: true\n }\n ],\n antiquotation: {\n pattern: /\\$(?=\\{)/,\n alias: 'important'\n },\n number: /\\b\\d+\\b/,\n keyword: /\\b(?:assert|builtins|else|if|in|inherit|let|null|or|then|with)\\b/,\n function:\n /\\b(?:abort|add|all|any|attrNames|attrValues|baseNameOf|compareVersions|concatLists|currentSystem|deepSeq|derivation|dirOf|div|elem(?:At)?|fetch(?:Tarball|url)|filter(?:Source)?|fromJSON|genList|getAttr|getEnv|hasAttr|hashString|head|import|intersectAttrs|is(?:Attrs|Bool|Function|Int|List|Null|String)|length|lessThan|listToAttrs|map|mul|parseDrvName|pathExists|read(?:Dir|File)|removeAttrs|replaceStrings|seq|sort|stringLength|sub(?:string)?|tail|throw|to(?:File|JSON|Path|String|XML)|trace|typeOf)\\b|\\bfoldl'\\B/,\n boolean: /\\b(?:false|true)\\b/,\n operator: /[=!<>]=?|\\+\\+?|\\|\\||&&|\\/\\/|->?|[?@]/,\n punctuation: /[{}()[\\].,:;]/\n }\n Prism.languages.nix.string.inside.interpolation.inside = Prism.languages.nix\n}\n","'use strict'\n\nmodule.exports = nsis\nnsis.displayName = 'nsis'\nnsis.aliases = []\nfunction nsis(Prism) {\n /**\n * Original by Jan T. Sott (http://github.com/idleberg)\n *\n * Includes all commands and plug-ins shipped with NSIS 3.08\n */\n Prism.languages.nsis = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|[#;].*)/,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n keyword: {\n pattern:\n /(^[\\t ]*)(?:Abort|Add(?:BrandingImage|Size)|AdvSplash|Allow(?:RootDirInstall|SkipFiles)|AutoCloseWindow|BG(?:Font|Gradient|Image)|Banner|BrandingText|BringToFront|CRCCheck|Call(?:InstDLL)?|Caption|ChangeUI|CheckBitmap|ClearErrors|CompletedText|ComponentText|CopyFiles|Create(?:Directory|Font|ShortCut)|Delete(?:INISec|INIStr|RegKey|RegValue)?|Detail(?:Print|sButtonText)|Dialer|Dir(?:Text|Var|Verify)|EnableWindow|Enum(?:RegKey|RegValue)|Exch|Exec(?:Shell(?:Wait)?|Wait)?|ExpandEnvStrings|File(?:BufSize|Close|ErrorText|Open|Read|ReadByte|ReadUTF16LE|ReadWord|Seek|Write|WriteByte|WriteUTF16LE|WriteWord)?|Find(?:Close|First|Next|Window)|FlushINI|Get(?:CurInstType|CurrentAddress|DLLVersion(?:Local)?|DlgItem|ErrorLevel|FileTime(?:Local)?|FullPathName|Function(?:Address|End)?|InstDirError|LabelAddress|TempFileName)|Goto|HideWindow|Icon|If(?:Abort|Errors|FileExists|RebootFlag|Silent)|InitPluginsDir|InstProgressFlags|Inst(?:Type(?:GetText|SetText)?)|Install(?:ButtonText|Colors|Dir(?:RegKey)?)|Int(?:64|Ptr)?CmpU?|Int(?:64)?Fmt|Int(?:Ptr)?Op|IsWindow|Lang(?:DLL|String)|License(?:BkColor|Data|ForceSelection|LangString|Text)|LoadLanguageFile|LockWindow|Log(?:Set|Text)|Manifest(?:DPIAware|SupportedOS)|Math|MessageBox|MiscButtonText|NSISdl|Name|Nop|OutFile|PE(?:DllCharacteristics|SubsysVer)|Page(?:Callbacks)?|Pop|Push|Quit|RMDir|Read(?:EnvStr|INIStr|RegDWORD|RegStr)|Reboot|RegDLL|Rename|RequestExecutionLevel|ReserveFile|Return|SearchPath|Section(?:End|GetFlags|GetInstTypes|GetSize|GetText|Group|In|SetFlags|SetInstTypes|SetSize|SetText)?|SendMessage|Set(?:AutoClose|BrandingImage|Compress|Compressor(?:DictSize)?|CtlColors|CurInstType|DatablockOptimize|DateSave|Details(?:Print|View)|ErrorLevel|Errors|FileAttributes|Font|OutPath|Overwrite|PluginUnload|RebootFlag|RegView|ShellVarContext|Silent)|Show(?:InstDetails|UninstDetails|Window)|Silent(?:Install|UnInstall)|Sleep|SpaceTexts|Splash|StartMenu|Str(?:CmpS?|Cpy|Len)|SubCaption|System|UnRegDLL|Unicode|UninstPage|Uninstall(?:ButtonText|Caption|Icon|SubCaption|Text)|UserInfo|VI(?:AddVersionKey|FileVersion|ProductVersion)|VPatch|Var|WindowIcon|Write(?:INIStr|Reg(?:Bin|DWORD|ExpandStr|MultiStr|None|Str)|Uninstaller)|XPStyle|ns(?:Dialogs|Exec))\\b/m,\n lookbehind: true\n },\n property:\n /\\b(?:ARCHIVE|FILE_(?:ATTRIBUTE_ARCHIVE|ATTRIBUTE_NORMAL|ATTRIBUTE_OFFLINE|ATTRIBUTE_READONLY|ATTRIBUTE_SYSTEM|ATTRIBUTE_TEMPORARY)|HK(?:(?:CR|CU|LM)(?:32|64)?|DD|PD|U)|HKEY_(?:CLASSES_ROOT|CURRENT_CONFIG|CURRENT_USER|DYN_DATA|LOCAL_MACHINE|PERFORMANCE_DATA|USERS)|ID(?:ABORT|CANCEL|IGNORE|NO|OK|RETRY|YES)|MB_(?:ABORTRETRYIGNORE|DEFBUTTON1|DEFBUTTON2|DEFBUTTON3|DEFBUTTON4|ICONEXCLAMATION|ICONINFORMATION|ICONQUESTION|ICONSTOP|OK|OKCANCEL|RETRYCANCEL|RIGHT|RTLREADING|SETFOREGROUND|TOPMOST|USERICON|YESNO)|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SYSTEM|TEMPORARY|admin|all|auto|both|colored|false|force|hide|highest|lastused|leave|listonly|none|normal|notset|off|on|open|print|show|silent|silentlog|smooth|textonly|true|user)\\b/,\n constant: /\\$\\{[!\\w\\.:\\^-]+\\}|\\$\\([!\\w\\.:\\^-]+\\)/,\n variable: /\\$\\w[\\w\\.]*/,\n number: /\\b0x[\\dA-Fa-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee]-?\\d+)?/,\n operator: /--?|\\+\\+?|<=?|>=?|==?=?|&&?|\\|\\|?|[?*\\/~^%]/,\n punctuation: /[{}[\\];(),.:]/,\n important: {\n pattern:\n /(^[\\t ]*)!(?:addincludedir|addplugindir|appendfile|cd|define|delfile|echo|else|endif|error|execute|finalize|getdllversion|gettlbversion|if|ifdef|ifmacrodef|ifmacrondef|ifndef|include|insertmacro|macro|macroend|makensis|packhdr|pragma|searchparse|searchreplace|system|tempfile|undef|verbose|warning)\\b/im,\n lookbehind: true\n }\n }\n}\n","'use strict'\nvar refractorC = require('./c.js')\nmodule.exports = objectivec\nobjectivec.displayName = 'objectivec'\nobjectivec.aliases = ['objc']\nfunction objectivec(Prism) {\n Prism.register(refractorC)\n Prism.languages.objectivec = Prism.languages.extend('c', {\n string: {\n pattern: /@?\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n keyword:\n /\\b(?:asm|auto|break|case|char|const|continue|default|do|double|else|enum|extern|float|for|goto|if|in|inline|int|long|register|return|self|short|signed|sizeof|static|struct|super|switch|typedef|typeof|union|unsigned|void|volatile|while)\\b|(?:@interface|@end|@implementation|@protocol|@class|@public|@protected|@private|@property|@try|@catch|@finally|@throw|@synthesize|@dynamic|@selector)\\b/,\n operator: /-[->]?|\\+\\+?|!=?|<<?=?|>>?=?|==?|&&?|\\|\\|?|[~^%?*\\/@]/\n })\n delete Prism.languages.objectivec['class-name']\n Prism.languages.objc = Prism.languages.objectivec\n}\n","'use strict'\n\nmodule.exports = ocaml\nocaml.displayName = 'ocaml'\nocaml.aliases = []\nfunction ocaml(Prism) {\n // https://ocaml.org/manual/lex.html\n Prism.languages.ocaml = {\n comment: {\n pattern: /\\(\\*[\\s\\S]*?\\*\\)/,\n greedy: true\n },\n char: {\n pattern: /'(?:[^\\\\\\r\\n']|\\\\(?:.|[ox]?[0-9a-f]{1,3}))'/i,\n greedy: true\n },\n string: [\n {\n pattern: /\"(?:\\\\(?:[\\s\\S]|\\r\\n)|[^\\\\\\r\\n\"])*\"/,\n greedy: true\n },\n {\n pattern: /\\{([a-z_]*)\\|[\\s\\S]*?\\|\\1\\}/,\n greedy: true\n }\n ],\n number: [\n // binary and octal\n /\\b(?:0b[01][01_]*|0o[0-7][0-7_]*)\\b/i, // hexadecimal\n /\\b0x[a-f0-9][a-f0-9_]*(?:\\.[a-f0-9_]*)?(?:p[+-]?\\d[\\d_]*)?(?!\\w)/i, // decimal\n /\\b\\d[\\d_]*(?:\\.[\\d_]*)?(?:e[+-]?\\d[\\d_]*)?(?!\\w)/i\n ],\n directive: {\n pattern: /\\B#\\w+/,\n alias: 'property'\n },\n label: {\n pattern: /\\B~\\w+/,\n alias: 'property'\n },\n 'type-variable': {\n pattern: /\\B'\\w+/,\n alias: 'function'\n },\n variant: {\n pattern: /`\\w+/,\n alias: 'symbol'\n },\n // For the list of keywords and operators,\n // see: http://caml.inria.fr/pub/docs/manual-ocaml/lex.html#sec84\n keyword:\n /\\b(?:as|assert|begin|class|constraint|do|done|downto|else|end|exception|external|for|fun|function|functor|if|in|include|inherit|initializer|lazy|let|match|method|module|mutable|new|nonrec|object|of|open|private|rec|sig|struct|then|to|try|type|val|value|virtual|when|where|while|with)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n 'operator-like-punctuation': {\n pattern: /\\[[<>|]|[>|]\\]|\\{<|>\\}/,\n alias: 'punctuation'\n },\n // Custom operators are allowed\n operator:\n /\\.[.~]|:[=>]|[=<>@^|&+\\-*\\/$%!?~][!$%&*+\\-.\\/:<=>?@^|~]*|\\b(?:and|asr|land|lor|lsl|lsr|lxor|mod|or)\\b/,\n punctuation: /;;|::|[(){}\\[\\].,:;#]|\\b_\\b/\n }\n}\n","'use strict'\nvar refractorC = require('./c.js')\nmodule.exports = opencl\nopencl.displayName = 'opencl'\nopencl.aliases = []\nfunction opencl(Prism) {\n Prism.register(refractorC)\n ;(function (Prism) {\n /* OpenCL kernel language */\n Prism.languages.opencl = Prism.languages.extend('c', {\n // Extracted from the official specs (2.0) and http://streamcomputing.eu/downloads/?opencl.lang (opencl-keywords, opencl-types) and http://sourceforge.net/tracker/?func=detail&aid=2957794&group_id=95717&atid=612384 (Words2, partly Words3)\n keyword:\n /\\b(?:(?:__)?(?:constant|global|kernel|local|private|read_only|read_write|write_only)|__attribute__|auto|(?:bool|u?(?:char|int|long|short)|half|quad)(?:2|3|4|8|16)?|break|case|complex|const|continue|(?:double|float)(?:16(?:x(?:1|2|4|8|16))?|1x(?:1|2|4|8|16)|2(?:x(?:1|2|4|8|16))?|3|4(?:x(?:1|2|4|8|16))?|8(?:x(?:1|2|4|8|16))?)?|default|do|else|enum|extern|for|goto|if|imaginary|inline|packed|pipe|register|restrict|return|signed|sizeof|static|struct|switch|typedef|uniform|union|unsigned|void|volatile|while)\\b/,\n // Extracted from http://streamcomputing.eu/downloads/?opencl.lang (opencl-const)\n // Math Constants: https://www.khronos.org/registry/OpenCL/sdk/2.1/docs/man/xhtml/mathConstants.html\n // Macros and Limits: https://www.khronos.org/registry/OpenCL/sdk/2.1/docs/man/xhtml/macroLimits.html\n number:\n /(?:\\b0x(?:[\\da-f]+(?:\\.[\\da-f]*)?|\\.[\\da-f]+)(?:p[+-]?\\d+)?|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?)[fuhl]{0,4}/i,\n boolean: /\\b(?:false|true)\\b/,\n 'constant-opencl-kernel': {\n pattern:\n /\\b(?:CHAR_(?:BIT|MAX|MIN)|CLK_(?:ADDRESS_(?:CLAMP(?:_TO_EDGE)?|NONE|REPEAT)|FILTER_(?:LINEAR|NEAREST)|(?:GLOBAL|LOCAL)_MEM_FENCE|NORMALIZED_COORDS_(?:FALSE|TRUE))|CL_(?:BGRA|(?:HALF_)?FLOAT|INTENSITY|LUMINANCE|A?R?G?B?[Ax]?|(?:(?:UN)?SIGNED|[US]NORM)_(?:INT(?:8|16|32))|UNORM_(?:INT_101010|SHORT_(?:555|565)))|(?:DBL|FLT|HALF)_(?:DIG|EPSILON|(?:MAX|MIN)(?:(?:_10)?_EXP)?|MANT_DIG)|FLT_RADIX|HUGE_VALF?|(?:INT|LONG|SCHAR|SHRT)_(?:MAX|MIN)|INFINITY|MAXFLOAT|M_(?:[12]_PI|2_SQRTPI|E|LN(?:2|10)|LOG(?:2|10)E?|PI(?:_[24])?|SQRT(?:1_2|2))(?:_F|_H)?|NAN|(?:UCHAR|UINT|ULONG|USHRT)_MAX)\\b/,\n alias: 'constant'\n }\n })\n Prism.languages.insertBefore('opencl', 'class-name', {\n // https://www.khronos.org/registry/OpenCL/sdk/2.1/docs/man/xhtml/scalarDataTypes.html\n // https://www.khronos.org/registry/OpenCL/sdk/2.1/docs/man/xhtml/otherDataTypes.html\n 'builtin-type': {\n pattern:\n /\\b(?:_cl_(?:command_queue|context|device_id|event|kernel|mem|platform_id|program|sampler)|cl_(?:image_format|mem_fence_flags)|clk_event_t|event_t|image(?:1d_(?:array_|buffer_)?t|2d_(?:array_(?:depth_|msaa_depth_|msaa_)?|depth_|msaa_depth_|msaa_)?t|3d_t)|intptr_t|ndrange_t|ptrdiff_t|queue_t|reserve_id_t|sampler_t|size_t|uintptr_t)\\b/,\n alias: 'keyword'\n }\n })\n var attributes = {\n // Extracted from http://streamcomputing.eu/downloads/?opencl_host.lang (opencl-types and opencl-host)\n 'type-opencl-host': {\n pattern:\n /\\b(?:cl_(?:GLenum|GLint|GLuin|addressing_mode|bitfield|bool|buffer_create_type|build_status|channel_(?:order|type)|(?:u?(?:char|int|long|short)|double|float)(?:2|3|4|8|16)?|command_(?:queue(?:_info|_properties)?|type)|context(?:_info|_properties)?|device_(?:exec_capabilities|fp_config|id|info|local_mem_type|mem_cache_type|type)|(?:event|sampler)(?:_info)?|filter_mode|half|image_info|kernel(?:_info|_work_group_info)?|map_flags|mem(?:_flags|_info|_object_type)?|platform_(?:id|info)|profiling_info|program(?:_build_info|_info)?))\\b/,\n alias: 'keyword'\n },\n 'boolean-opencl-host': {\n pattern: /\\bCL_(?:FALSE|TRUE)\\b/,\n alias: 'boolean'\n },\n // Extracted from cl.h (2.0) and http://streamcomputing.eu/downloads/?opencl_host.lang (opencl-const)\n 'constant-opencl-host': {\n pattern:\n /\\bCL_(?:A|ABGR|ADDRESS_(?:CLAMP(?:_TO_EDGE)?|MIRRORED_REPEAT|NONE|REPEAT)|ARGB|BGRA|BLOCKING|BUFFER_CREATE_TYPE_REGION|BUILD_(?:ERROR|IN_PROGRESS|NONE|PROGRAM_FAILURE|SUCCESS)|COMMAND_(?:ACQUIRE_GL_OBJECTS|BARRIER|COPY_(?:BUFFER(?:_RECT|_TO_IMAGE)?|IMAGE(?:_TO_BUFFER)?)|FILL_(?:BUFFER|IMAGE)|MAP(?:_BUFFER|_IMAGE)|MARKER|MIGRATE(?:_SVM)?_MEM_OBJECTS|NATIVE_KERNEL|NDRANGE_KERNEL|READ_(?:BUFFER(?:_RECT)?|IMAGE)|RELEASE_GL_OBJECTS|SVM_(?:FREE|MAP|MEMCPY|MEMFILL|UNMAP)|TASK|UNMAP_MEM_OBJECT|USER|WRITE_(?:BUFFER(?:_RECT)?|IMAGE))|COMPILER_NOT_AVAILABLE|COMPILE_PROGRAM_FAILURE|COMPLETE|CONTEXT_(?:DEVICES|INTEROP_USER_SYNC|NUM_DEVICES|PLATFORM|PROPERTIES|REFERENCE_COUNT)|DEPTH(?:_STENCIL)?|DEVICE_(?:ADDRESS_BITS|AFFINITY_DOMAIN_(?:L[1-4]_CACHE|NEXT_PARTITIONABLE|NUMA)|AVAILABLE|BUILT_IN_KERNELS|COMPILER_AVAILABLE|DOUBLE_FP_CONFIG|ENDIAN_LITTLE|ERROR_CORRECTION_SUPPORT|EXECUTION_CAPABILITIES|EXTENSIONS|GLOBAL_(?:MEM_(?:CACHELINE_SIZE|CACHE_SIZE|CACHE_TYPE|SIZE)|VARIABLE_PREFERRED_TOTAL_SIZE)|HOST_UNIFIED_MEMORY|IL_VERSION|IMAGE(?:2D_MAX_(?:HEIGHT|WIDTH)|3D_MAX_(?:DEPTH|HEIGHT|WIDTH)|_BASE_ADDRESS_ALIGNMENT|_MAX_ARRAY_SIZE|_MAX_BUFFER_SIZE|_PITCH_ALIGNMENT|_SUPPORT)|LINKER_AVAILABLE|LOCAL_MEM_SIZE|LOCAL_MEM_TYPE|MAX_(?:CLOCK_FREQUENCY|COMPUTE_UNITS|CONSTANT_ARGS|CONSTANT_BUFFER_SIZE|GLOBAL_VARIABLE_SIZE|MEM_ALLOC_SIZE|NUM_SUB_GROUPS|ON_DEVICE_(?:EVENTS|QUEUES)|PARAMETER_SIZE|PIPE_ARGS|READ_IMAGE_ARGS|READ_WRITE_IMAGE_ARGS|SAMPLERS|WORK_GROUP_SIZE|WORK_ITEM_DIMENSIONS|WORK_ITEM_SIZES|WRITE_IMAGE_ARGS)|MEM_BASE_ADDR_ALIGN|MIN_DATA_TYPE_ALIGN_SIZE|NAME|NATIVE_VECTOR_WIDTH_(?:CHAR|DOUBLE|FLOAT|HALF|INT|LONG|SHORT)|NOT_(?:AVAILABLE|FOUND)|OPENCL_C_VERSION|PARENT_DEVICE|PARTITION_(?:AFFINITY_DOMAIN|BY_AFFINITY_DOMAIN|BY_COUNTS|BY_COUNTS_LIST_END|EQUALLY|FAILED|MAX_SUB_DEVICES|PROPERTIES|TYPE)|PIPE_MAX_(?:ACTIVE_RESERVATIONS|PACKET_SIZE)|PLATFORM|PREFERRED_(?:GLOBAL_ATOMIC_ALIGNMENT|INTEROP_USER_SYNC|LOCAL_ATOMIC_ALIGNMENT|PLATFORM_ATOMIC_ALIGNMENT|VECTOR_WIDTH_(?:CHAR|DOUBLE|FLOAT|HALF|INT|LONG|SHORT))|PRINTF_BUFFER_SIZE|PROFILE|PROFILING_TIMER_RESOLUTION|QUEUE_(?:ON_(?:DEVICE_(?:MAX_SIZE|PREFERRED_SIZE|PROPERTIES)|HOST_PROPERTIES)|PROPERTIES)|REFERENCE_COUNT|SINGLE_FP_CONFIG|SUB_GROUP_INDEPENDENT_FORWARD_PROGRESS|SVM_(?:ATOMICS|CAPABILITIES|COARSE_GRAIN_BUFFER|FINE_GRAIN_BUFFER|FINE_GRAIN_SYSTEM)|TYPE(?:_ACCELERATOR|_ALL|_CPU|_CUSTOM|_DEFAULT|_GPU)?|VENDOR(?:_ID)?|VERSION)|DRIVER_VERSION|EVENT_(?:COMMAND_(?:EXECUTION_STATUS|QUEUE|TYPE)|CONTEXT|REFERENCE_COUNT)|EXEC_(?:KERNEL|NATIVE_KERNEL|STATUS_ERROR_FOR_EVENTS_IN_WAIT_LIST)|FILTER_(?:LINEAR|NEAREST)|FLOAT|FP_(?:CORRECTLY_ROUNDED_DIVIDE_SQRT|DENORM|FMA|INF_NAN|ROUND_TO_INF|ROUND_TO_NEAREST|ROUND_TO_ZERO|SOFT_FLOAT)|GLOBAL|HALF_FLOAT|IMAGE_(?:ARRAY_SIZE|BUFFER|DEPTH|ELEMENT_SIZE|FORMAT|FORMAT_MISMATCH|FORMAT_NOT_SUPPORTED|HEIGHT|NUM_MIP_LEVELS|NUM_SAMPLES|ROW_PITCH|SLICE_PITCH|WIDTH)|INTENSITY|INVALID_(?:ARG_INDEX|ARG_SIZE|ARG_VALUE|BINARY|BUFFER_SIZE|BUILD_OPTIONS|COMMAND_QUEUE|COMPILER_OPTIONS|CONTEXT|DEVICE|DEVICE_PARTITION_COUNT|DEVICE_QUEUE|DEVICE_TYPE|EVENT|EVENT_WAIT_LIST|GLOBAL_OFFSET|GLOBAL_WORK_SIZE|GL_OBJECT|HOST_PTR|IMAGE_DESCRIPTOR|IMAGE_FORMAT_DESCRIPTOR|IMAGE_SIZE|KERNEL|KERNEL_ARGS|KERNEL_DEFINITION|KERNEL_NAME|LINKER_OPTIONS|MEM_OBJECT|MIP_LEVEL|OPERATION|PIPE_SIZE|PLATFORM|PROGRAM|PROGRAM_EXECUTABLE|PROPERTY|QUEUE_PROPERTIES|SAMPLER|VALUE|WORK_DIMENSION|WORK_GROUP_SIZE|WORK_ITEM_SIZE)|KERNEL_(?:ARG_(?:ACCESS_(?:NONE|QUALIFIER|READ_ONLY|READ_WRITE|WRITE_ONLY)|ADDRESS_(?:CONSTANT|GLOBAL|LOCAL|PRIVATE|QUALIFIER)|INFO_NOT_AVAILABLE|NAME|TYPE_(?:CONST|NAME|NONE|PIPE|QUALIFIER|RESTRICT|VOLATILE))|ATTRIBUTES|COMPILE_NUM_SUB_GROUPS|COMPILE_WORK_GROUP_SIZE|CONTEXT|EXEC_INFO_SVM_FINE_GRAIN_SYSTEM|EXEC_INFO_SVM_PTRS|FUNCTION_NAME|GLOBAL_WORK_SIZE|LOCAL_MEM_SIZE|LOCAL_SIZE_FOR_SUB_GROUP_COUNT|MAX_NUM_SUB_GROUPS|MAX_SUB_GROUP_SIZE_FOR_NDRANGE|NUM_ARGS|PREFERRED_WORK_GROUP_SIZE_MULTIPLE|PRIVATE_MEM_SIZE|PROGRAM|REFERENCE_COUNT|SUB_GROUP_COUNT_FOR_NDRANGE|WORK_GROUP_SIZE)|LINKER_NOT_AVAILABLE|LINK_PROGRAM_FAILURE|LOCAL|LUMINANCE|MAP_(?:FAILURE|READ|WRITE|WRITE_INVALIDATE_REGION)|MEM_(?:ALLOC_HOST_PTR|ASSOCIATED_MEMOBJECT|CONTEXT|COPY_HOST_PTR|COPY_OVERLAP|FLAGS|HOST_NO_ACCESS|HOST_PTR|HOST_READ_ONLY|HOST_WRITE_ONLY|KERNEL_READ_AND_WRITE|MAP_COUNT|OBJECT_(?:ALLOCATION_FAILURE|BUFFER|IMAGE1D|IMAGE1D_ARRAY|IMAGE1D_BUFFER|IMAGE2D|IMAGE2D_ARRAY|IMAGE3D|PIPE)|OFFSET|READ_ONLY|READ_WRITE|REFERENCE_COUNT|SIZE|SVM_ATOMICS|SVM_FINE_GRAIN_BUFFER|TYPE|USES_SVM_POINTER|USE_HOST_PTR|WRITE_ONLY)|MIGRATE_MEM_OBJECT_(?:CONTENT_UNDEFINED|HOST)|MISALIGNED_SUB_BUFFER_OFFSET|NONE|NON_BLOCKING|OUT_OF_(?:HOST_MEMORY|RESOURCES)|PIPE_(?:MAX_PACKETS|PACKET_SIZE)|PLATFORM_(?:EXTENSIONS|HOST_TIMER_RESOLUTION|NAME|PROFILE|VENDOR|VERSION)|PROFILING_(?:COMMAND_(?:COMPLETE|END|QUEUED|START|SUBMIT)|INFO_NOT_AVAILABLE)|PROGRAM_(?:BINARIES|BINARY_SIZES|BINARY_TYPE(?:_COMPILED_OBJECT|_EXECUTABLE|_LIBRARY|_NONE)?|BUILD_(?:GLOBAL_VARIABLE_TOTAL_SIZE|LOG|OPTIONS|STATUS)|CONTEXT|DEVICES|IL|KERNEL_NAMES|NUM_DEVICES|NUM_KERNELS|REFERENCE_COUNT|SOURCE)|QUEUED|QUEUE_(?:CONTEXT|DEVICE|DEVICE_DEFAULT|ON_DEVICE|ON_DEVICE_DEFAULT|OUT_OF_ORDER_EXEC_MODE_ENABLE|PROFILING_ENABLE|PROPERTIES|REFERENCE_COUNT|SIZE)|R|RA|READ_(?:ONLY|WRITE)_CACHE|RG|RGB|RGBA|RGBx|RGx|RUNNING|Rx|SAMPLER_(?:ADDRESSING_MODE|CONTEXT|FILTER_MODE|LOD_MAX|LOD_MIN|MIP_FILTER_MODE|NORMALIZED_COORDS|REFERENCE_COUNT)|(?:UN)?SIGNED_INT(?:8|16|32)|SNORM_INT(?:8|16)|SUBMITTED|SUCCESS|UNORM_INT(?:8|16|24|_101010|_101010_2)|UNORM_SHORT_(?:555|565)|VERSION_(?:1_0|1_1|1_2|2_0|2_1)|sBGRA|sRGB|sRGBA|sRGBx)\\b/,\n alias: 'constant'\n },\n // Extracted from cl.h (2.0) and http://streamcomputing.eu/downloads/?opencl_host.lang (opencl-host)\n 'function-opencl-host': {\n pattern:\n /\\bcl(?:BuildProgram|CloneKernel|CompileProgram|Create(?:Buffer|CommandQueue(?:WithProperties)?|Context|ContextFromType|Image|Image2D|Image3D|Kernel|KernelsInProgram|Pipe|ProgramWith(?:Binary|BuiltInKernels|IL|Source)|Sampler|SamplerWithProperties|SubBuffer|SubDevices|UserEvent)|Enqueue(?:(?:Barrier|Marker)(?:WithWaitList)?|Copy(?:Buffer(?:Rect|ToImage)?|Image(?:ToBuffer)?)|(?:Fill|Map)(?:Buffer|Image)|MigrateMemObjects|NDRangeKernel|NativeKernel|(?:Read|Write)(?:Buffer(?:Rect)?|Image)|SVM(?:Free|Map|MemFill|Memcpy|MigrateMem|Unmap)|Task|UnmapMemObject|WaitForEvents)|Finish|Flush|Get(?:CommandQueueInfo|ContextInfo|Device(?:AndHostTimer|IDs|Info)|Event(?:Profiling)?Info|ExtensionFunctionAddress(?:ForPlatform)?|HostTimer|ImageInfo|Kernel(?:ArgInfo|Info|SubGroupInfo|WorkGroupInfo)|MemObjectInfo|PipeInfo|Platform(?:IDs|Info)|Program(?:Build)?Info|SamplerInfo|SupportedImageFormats)|LinkProgram|(?:Release|Retain)(?:CommandQueue|Context|Device|Event|Kernel|MemObject|Program|Sampler)|SVM(?:Alloc|Free)|Set(?:CommandQueueProperty|DefaultDeviceCommandQueue|EventCallback|Kernel|Kernel(?:Arg(?:SVMPointer)?|ExecInfo)|MemObjectDestructorCallback|UserEventStatus)|Unload(?:Platform)?Compiler|WaitForEvents)\\b/,\n alias: 'function'\n }\n }\n /* OpenCL host API */\n Prism.languages.insertBefore('c', 'keyword', attributes) // C++ includes everything from the OpenCL C host API plus the classes defined in cl2.h\n if (Prism.languages.cpp) {\n // Extracted from doxygen class list http://github.khronos.org/OpenCL-CLHPP/annotated.html\n attributes['type-opencl-host-cpp'] = {\n pattern:\n /\\b(?:Buffer|BufferGL|BufferRenderGL|CommandQueue|Context|Device|DeviceCommandQueue|EnqueueArgs|Event|Image|Image1D|Image1DArray|Image1DBuffer|Image2D|Image2DArray|Image2DGL|Image3D|Image3DGL|ImageFormat|ImageGL|Kernel|KernelFunctor|LocalSpaceArg|Memory|NDRange|Pipe|Platform|Program|SVMAllocator|SVMTraitAtomic|SVMTraitCoarse|SVMTraitFine|SVMTraitReadOnly|SVMTraitReadWrite|SVMTraitWriteOnly|Sampler|UserEvent)\\b/,\n alias: 'keyword'\n }\n Prism.languages.insertBefore('cpp', 'keyword', attributes)\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = openqasm\nopenqasm.displayName = 'openqasm'\nopenqasm.aliases = ['qasm']\nfunction openqasm(Prism) {\n // https://qiskit.github.io/openqasm/grammar/index.html\n Prism.languages.openqasm = {\n comment: /\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*/,\n string: {\n pattern: /\"[^\"\\r\\n\\t]*\"|'[^'\\r\\n\\t]*'/,\n greedy: true\n },\n keyword:\n /\\b(?:CX|OPENQASM|U|barrier|boxas|boxto|break|const|continue|ctrl|def|defcal|defcalgrammar|delay|else|end|for|gate|gphase|if|in|include|inv|kernel|lengthof|let|measure|pow|reset|return|rotary|stretchinf|while)\\b|#pragma\\b/,\n 'class-name':\n /\\b(?:angle|bit|bool|creg|fixed|float|int|length|qreg|qubit|stretch|uint)\\b/,\n function: /\\b(?:cos|exp|ln|popcount|rotl|rotr|sin|sqrt|tan)\\b(?=\\s*\\()/,\n constant: /\\b(?:euler|pi|tau)\\b|π|𝜏|ℇ/,\n number: {\n pattern:\n /(^|[^.\\w$])(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?(?:dt|ns|us|µs|ms|s)?/i,\n lookbehind: true\n },\n operator: /->|>>=?|<<=?|&&|\\|\\||\\+\\+|--|[!=<>&|~^+\\-*/%]=?|@/,\n punctuation: /[(){}\\[\\];,:.]/\n }\n Prism.languages.qasm = Prism.languages.openqasm\n}\n","'use strict'\n\nmodule.exports = oz\noz.displayName = 'oz'\noz.aliases = []\nfunction oz(Prism) {\n Prism.languages.oz = {\n comment: {\n pattern: /\\/\\*[\\s\\S]*?\\*\\/|%.*/,\n greedy: true\n },\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\[\\s\\S])*\"/,\n greedy: true\n },\n atom: {\n pattern: /'(?:[^'\\\\]|\\\\[\\s\\S])*'/,\n greedy: true,\n alias: 'builtin'\n },\n keyword:\n /\\$|\\[\\]|\\b(?:_|at|attr|case|catch|choice|class|cond|declare|define|dis|else(?:case|if)?|end|export|fail|false|feat|finally|from|fun|functor|if|import|in|local|lock|meth|nil|not|of|or|prepare|proc|prop|raise|require|self|skip|then|thread|true|try|unit)\\b/,\n function: [\n /\\b[a-z][A-Za-z\\d]*(?=\\()/,\n {\n pattern: /(\\{)[A-Z][A-Za-z\\d]*\\b/,\n lookbehind: true\n }\n ],\n number:\n /\\b(?:0[bx][\\da-f]+|\\d+(?:\\.\\d*)?(?:e~?\\d+)?)\\b|&(?:[^\\\\]|\\\\(?:\\d{3}|.))/i,\n variable: /`(?:[^`\\\\]|\\\\.)+`/,\n 'attr-name': /\\b\\w+(?=[ \\t]*:(?![:=]))/,\n operator:\n /:(?:=|::?)|<[-:=]?|=(?:=|<?:?)|>=?:?|\\\\=:?|!!?|[|#+\\-*\\/,~^@]|\\b(?:andthen|div|mod|orelse)\\b/,\n punctuation: /[\\[\\](){}.:;?]/\n }\n}\n","'use strict'\n\nmodule.exports = parigp\nparigp.displayName = 'parigp'\nparigp.aliases = []\nfunction parigp(Prism) {\n Prism.languages.parigp = {\n comment: /\\/\\*[\\s\\S]*?\\*\\/|\\\\\\\\.*/,\n string: {\n pattern: /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"/,\n greedy: true\n },\n // PARI/GP does not care about white spaces at all\n // so let's process the keywords to build an appropriate regexp\n // (e.g. \"b *r *e *a *k\", etc.)\n keyword: (function () {\n var keywords = [\n 'breakpoint',\n 'break',\n 'dbg_down',\n 'dbg_err',\n 'dbg_up',\n 'dbg_x',\n 'forcomposite',\n 'fordiv',\n 'forell',\n 'forpart',\n 'forprime',\n 'forstep',\n 'forsubgroup',\n 'forvec',\n 'for',\n 'iferr',\n 'if',\n 'local',\n 'my',\n 'next',\n 'return',\n 'until',\n 'while'\n ]\n keywords = keywords\n .map(function (keyword) {\n return keyword.split('').join(' *')\n })\n .join('|')\n return RegExp('\\\\b(?:' + keywords + ')\\\\b')\n })(),\n function: /\\b\\w(?:[\\w ]*\\w)?(?= *\\()/,\n number: {\n // The lookbehind and the negative lookahead prevent from breaking the .. operator\n pattern:\n /((?:\\. *\\. *)?)(?:\\b\\d(?: *\\d)*(?: *(?!\\. *\\.)\\.(?: *\\d)*)?|\\. *\\d(?: *\\d)*)(?: *e *(?:[+-] *)?\\d(?: *\\d)*)?/i,\n lookbehind: true\n },\n operator:\n /\\. *\\.|[*\\/!](?: *=)?|%(?: *=|(?: *#)?(?: *')*)?|\\+(?: *[+=])?|-(?: *[-=>])?|<(?: *>|(?: *<)?(?: *=)?)?|>(?: *>)?(?: *=)?|=(?: *=){0,2}|\\\\(?: *\\/)?(?: *=)?|&(?: *&)?|\\| *\\||['#~^]/,\n punctuation: /[\\[\\]{}().,:;|]/\n }\n}\n","'use strict'\n\nmodule.exports = parser\nparser.displayName = 'parser'\nparser.aliases = []\nfunction parser(Prism) {\n ;(function (Prism) {\n var parser = (Prism.languages.parser = Prism.languages.extend('markup', {\n keyword: {\n pattern:\n /(^|[^^])(?:\\^(?:case|eval|for|if|switch|throw)\\b|@(?:BASE|CLASS|GET(?:_DEFAULT)?|OPTIONS|SET_DEFAULT|USE)\\b)/,\n lookbehind: true\n },\n variable: {\n pattern: /(^|[^^])\\B\\$(?:\\w+|(?=[.{]))(?:(?:\\.|::?)\\w+)*(?:\\.|::?)?/,\n lookbehind: true,\n inside: {\n punctuation: /\\.|:+/\n }\n },\n function: {\n pattern: /(^|[^^])\\B[@^]\\w+(?:(?:\\.|::?)\\w+)*(?:\\.|::?)?/,\n lookbehind: true,\n inside: {\n keyword: {\n pattern: /(^@)(?:GET_|SET_)/,\n lookbehind: true\n },\n punctuation: /\\.|:+/\n }\n },\n escape: {\n pattern: /\\^(?:[$^;@()\\[\\]{}\"':]|#[a-f\\d]*)/i,\n alias: 'builtin'\n },\n punctuation: /[\\[\\](){};]/\n }))\n parser = Prism.languages.insertBefore('parser', 'keyword', {\n 'parser-comment': {\n pattern: /(\\s)#.*/,\n lookbehind: true,\n alias: 'comment'\n },\n expression: {\n // Allow for 3 levels of depth\n pattern: /(^|[^^])\\((?:[^()]|\\((?:[^()]|\\((?:[^()])*\\))*\\))*\\)/,\n greedy: true,\n lookbehind: true,\n inside: {\n string: {\n pattern: /(^|[^^])([\"'])(?:(?!\\2)[^^]|\\^[\\s\\S])*\\2/,\n lookbehind: true\n },\n keyword: parser.keyword,\n variable: parser.variable,\n function: parser.function,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b(?:0x[a-f\\d]+|\\d+(?:\\.\\d*)?(?:e[+-]?\\d+)?)\\b/i,\n escape: parser.escape,\n operator:\n /[~+*\\/\\\\%]|!(?:\\|\\|?|=)?|&&?|\\|\\|?|==|<[<=]?|>[>=]?|-[fd]?|\\b(?:def|eq|ge|gt|in|is|le|lt|ne)\\b/,\n punctuation: parser.punctuation\n }\n }\n })\n Prism.languages.insertBefore(\n 'inside',\n 'punctuation',\n {\n expression: parser.expression,\n keyword: parser.keyword,\n variable: parser.variable,\n function: parser.function,\n escape: parser.escape,\n 'parser-punctuation': {\n pattern: parser.punctuation,\n alias: 'punctuation'\n }\n },\n parser['tag'].inside['attr-value']\n )\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = pascal\npascal.displayName = 'pascal'\npascal.aliases = ['objectpascal']\nfunction pascal(Prism) {\n // Based on Free Pascal\n /* TODO\nSupport inline asm ?\n*/\n Prism.languages.pascal = {\n directive: {\n pattern: /\\{\\$[\\s\\S]*?\\}/,\n greedy: true,\n alias: ['marco', 'property']\n },\n comment: {\n pattern: /\\(\\*[\\s\\S]*?\\*\\)|\\{[\\s\\S]*?\\}|\\/\\/.*/,\n greedy: true\n },\n string: {\n pattern: /(?:'(?:''|[^'\\r\\n])*'(?!')|#[&$%]?[a-f\\d]+)+|\\^[a-z]/i,\n greedy: true\n },\n asm: {\n pattern: /(\\basm\\b)[\\s\\S]+?(?=\\bend\\s*[;[])/i,\n lookbehind: true,\n greedy: true,\n inside: null // see below\n },\n keyword: [\n {\n // Turbo Pascal\n pattern:\n /(^|[^&])\\b(?:absolute|array|asm|begin|case|const|constructor|destructor|do|downto|else|end|file|for|function|goto|if|implementation|inherited|inline|interface|label|nil|object|of|operator|packed|procedure|program|record|reintroduce|repeat|self|set|string|then|to|type|unit|until|uses|var|while|with)\\b/i,\n lookbehind: true\n },\n {\n // Free Pascal\n pattern: /(^|[^&])\\b(?:dispose|exit|false|new|true)\\b/i,\n lookbehind: true\n },\n {\n // Object Pascal\n pattern:\n /(^|[^&])\\b(?:class|dispinterface|except|exports|finalization|finally|initialization|inline|library|on|out|packed|property|raise|resourcestring|threadvar|try)\\b/i,\n lookbehind: true\n },\n {\n // Modifiers\n pattern:\n /(^|[^&])\\b(?:absolute|abstract|alias|assembler|bitpacked|break|cdecl|continue|cppdecl|cvar|default|deprecated|dynamic|enumerator|experimental|export|external|far|far16|forward|generic|helper|implements|index|interrupt|iochecks|local|message|name|near|nodefault|noreturn|nostackframe|oldfpccall|otherwise|overload|override|pascal|platform|private|protected|public|published|read|register|reintroduce|result|safecall|saveregisters|softfloat|specialize|static|stdcall|stored|strict|unaligned|unimplemented|varargs|virtual|write)\\b/i,\n lookbehind: true\n }\n ],\n number: [\n // Hexadecimal, octal and binary\n /(?:[&%]\\d+|\\$[a-f\\d]+)/i, // Decimal\n /\\b\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?/i\n ],\n operator: [\n /\\.\\.|\\*\\*|:=|<[<=>]?|>[>=]?|[+\\-*\\/]=?|[@^=]/,\n {\n pattern:\n /(^|[^&])\\b(?:and|as|div|exclude|in|include|is|mod|not|or|shl|shr|xor)\\b/,\n lookbehind: true\n }\n ],\n punctuation: /\\(\\.|\\.\\)|[()\\[\\]:;,.]/\n }\n Prism.languages.pascal.asm.inside = Prism.languages.extend('pascal', {\n asm: undefined,\n keyword: undefined,\n operator: undefined\n })\n Prism.languages.objectpascal = Prism.languages.pascal\n}\n","'use strict'\n\nmodule.exports = pascaligo\npascaligo.displayName = 'pascaligo'\npascaligo.aliases = []\nfunction pascaligo(Prism) {\n ;(function (Prism) {\n // Pascaligo is a layer 2 smart contract language for the tezos blockchain\n var braces = /\\((?:[^()]|\\((?:[^()]|\\([^()]*\\))*\\))*\\)/.source\n var type = /(?:\\b\\w+(?:<braces>)?|<braces>)/.source.replace(\n /<braces>/g,\n function () {\n return braces\n }\n )\n var pascaligo = (Prism.languages.pascaligo = {\n comment: /\\(\\*[\\s\\S]+?\\*\\)|\\/\\/.*/,\n string: {\n pattern: /([\"'`])(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|\\^[a-z]/i,\n greedy: true\n },\n 'class-name': [\n {\n pattern: RegExp(\n /(\\btype\\s+\\w+\\s+is\\s+)<type>/.source.replace(\n /<type>/g,\n function () {\n return type\n }\n ),\n 'i'\n ),\n lookbehind: true,\n inside: null // see below\n },\n {\n pattern: RegExp(\n /<type>(?=\\s+is\\b)/.source.replace(/<type>/g, function () {\n return type\n }),\n 'i'\n ),\n inside: null // see below\n },\n {\n pattern: RegExp(\n /(:\\s*)<type>/.source.replace(/<type>/g, function () {\n return type\n })\n ),\n lookbehind: true,\n inside: null // see below\n }\n ],\n keyword: {\n pattern:\n /(^|[^&])\\b(?:begin|block|case|const|else|end|fail|for|from|function|if|is|nil|of|remove|return|skip|then|type|var|while|with)\\b/i,\n lookbehind: true\n },\n boolean: {\n pattern: /(^|[^&])\\b(?:False|True)\\b/i,\n lookbehind: true\n },\n builtin: {\n pattern: /(^|[^&])\\b(?:bool|int|list|map|nat|record|string|unit)\\b/i,\n lookbehind: true\n },\n function: /\\b\\w+(?=\\s*\\()/,\n number: [\n // Hexadecimal, octal and binary\n /%[01]+|&[0-7]+|\\$[a-f\\d]+/i, // Decimal\n /\\b\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?(?:mtz|n)?/i\n ],\n operator:\n /->|=\\/=|\\.\\.|\\*\\*|:=|<[<=>]?|>[>=]?|[+\\-*\\/]=?|[@^=|]|\\b(?:and|mod|or)\\b/,\n punctuation: /\\(\\.|\\.\\)|[()\\[\\]:;,.{}]/\n })\n var classNameInside = [\n 'comment',\n 'keyword',\n 'builtin',\n 'operator',\n 'punctuation'\n ].reduce(function (accum, key) {\n accum[key] = pascaligo[key]\n return accum\n }, {})\n pascaligo['class-name'].forEach(function (p) {\n p.inside = classNameInside\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = pcaxis\npcaxis.displayName = 'pcaxis'\npcaxis.aliases = ['px']\nfunction pcaxis(Prism) {\n Prism.languages.pcaxis = {\n string: /\"[^\"]*\"/,\n keyword: {\n pattern:\n /((?:^|;)\\s*)[-A-Z\\d]+(?:\\s*\\[[-\\w]+\\])?(?:\\s*\\(\"[^\"]*\"(?:,\\s*\"[^\"]*\")*\\))?(?=\\s*=)/,\n lookbehind: true,\n greedy: true,\n inside: {\n keyword: /^[-A-Z\\d]+/,\n language: {\n pattern: /^(\\s*)\\[[-\\w]+\\]/,\n lookbehind: true,\n inside: {\n punctuation: /^\\[|\\]$/,\n property: /[-\\w]+/\n }\n },\n 'sub-key': {\n pattern: /^(\\s*)\\S[\\s\\S]*/,\n lookbehind: true,\n inside: {\n parameter: {\n pattern: /\"[^\"]*\"/,\n alias: 'property'\n },\n punctuation: /^\\(|\\)$|,/\n }\n }\n }\n },\n operator: /=/,\n tlist: {\n pattern:\n /TLIST\\s*\\(\\s*\\w+(?:(?:\\s*,\\s*\"[^\"]*\")+|\\s*,\\s*\"[^\"]*\"-\"[^\"]*\")?\\s*\\)/,\n greedy: true,\n inside: {\n function: /^TLIST/,\n property: {\n pattern: /^(\\s*\\(\\s*)\\w+/,\n lookbehind: true\n },\n string: /\"[^\"]*\"/,\n punctuation: /[(),]/,\n operator: /-/\n }\n },\n punctuation: /[;,]/,\n number: {\n pattern: /(^|\\s)\\d+(?:\\.\\d+)?(?!\\S)/,\n lookbehind: true\n },\n boolean: /NO|YES/\n }\n Prism.languages.px = Prism.languages.pcaxis\n}\n","'use strict'\n\nmodule.exports = peoplecode\npeoplecode.displayName = 'peoplecode'\npeoplecode.aliases = ['pcode']\nfunction peoplecode(Prism) {\n Prism.languages.peoplecode = {\n comment: RegExp(\n [\n // C-style multiline comments\n /\\/\\*[\\s\\S]*?\\*\\//.source, // REM comments\n /\\bREM[^;]*;/.source, // Nested <* *> comments\n /<\\*(?:[^<*]|\\*(?!>)|<(?!\\*)|<\\*(?:(?!\\*>)[\\s\\S])*\\*>)*\\*>/.source, // /+ +/ comments\n /\\/\\+[\\s\\S]*?\\+\\//.source\n ].join('|')\n ),\n string: {\n pattern: /'(?:''|[^'\\r\\n])*'(?!')|\"(?:\"\"|[^\"\\r\\n])*\"(?!\")/,\n greedy: true\n },\n variable: /%\\w+/,\n 'function-definition': {\n pattern: /((?:^|[^\\w-])(?:function|method)\\s+)\\w+/i,\n lookbehind: true,\n alias: 'function'\n },\n 'class-name': {\n pattern:\n /((?:^|[^-\\w])(?:as|catch|class|component|create|extends|global|implements|instance|local|of|property|returns)\\s+)\\w+(?::\\w+)*/i,\n lookbehind: true,\n inside: {\n punctuation: /:/\n }\n },\n keyword:\n /\\b(?:abstract|alias|as|catch|class|component|constant|create|declare|else|end-(?:class|evaluate|for|function|get|if|method|set|try|while)|evaluate|extends|for|function|get|global|if|implements|import|instance|library|local|method|null|of|out|peopleCode|private|program|property|protected|readonly|ref|repeat|returns?|set|step|then|throw|to|try|until|value|when(?:-other)?|while)\\b/i,\n 'operator-keyword': {\n pattern: /\\b(?:and|not|or)\\b/i,\n alias: 'operator'\n },\n function: /[_a-z]\\w*(?=\\s*\\()/i,\n boolean: /\\b(?:false|true)\\b/i,\n number: /\\b\\d+(?:\\.\\d+)?\\b/,\n operator: /<>|[<>]=?|!=|\\*\\*|[-+*/|=@]/,\n punctuation: /[:.;,()[\\]]/\n }\n Prism.languages.pcode = Prism.languages.peoplecode\n}\n","'use strict'\n\nmodule.exports = perl\nperl.displayName = 'perl'\nperl.aliases = []\nfunction perl(Prism) {\n ;(function (Prism) {\n var brackets =\n /(?:\\((?:[^()\\\\]|\\\\[\\s\\S])*\\)|\\{(?:[^{}\\\\]|\\\\[\\s\\S])*\\}|\\[(?:[^[\\]\\\\]|\\\\[\\s\\S])*\\]|<(?:[^<>\\\\]|\\\\[\\s\\S])*>)/\n .source\n Prism.languages.perl = {\n comment: [\n {\n // POD\n pattern: /(^\\s*)=\\w[\\s\\S]*?=cut.*/m,\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\$])#.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n // TODO Could be nice to handle Heredoc too.\n string: [\n {\n pattern: RegExp(\n /\\b(?:q|qq|qw|qx)(?![a-zA-Z0-9])\\s*/.source +\n '(?:' +\n [\n // q/.../\n /([^a-zA-Z0-9\\s{(\\[<])(?:(?!\\1)[^\\\\]|\\\\[\\s\\S])*\\1/.source, // q a...a\n // eslint-disable-next-line regexp/strict\n /([a-zA-Z0-9])(?:(?!\\2)[^\\\\]|\\\\[\\s\\S])*\\2/.source, // q(...)\n // q{...}\n // q[...]\n // q<...>\n brackets\n ].join('|') +\n ')'\n ),\n greedy: true\n }, // \"...\", `...`\n {\n pattern: /(\"|`)(?:(?!\\1)[^\\\\]|\\\\[\\s\\S])*\\1/,\n greedy: true\n }, // '...'\n // FIXME Multi-line single-quoted strings are not supported as they would break variables containing '\n {\n pattern: /'(?:[^'\\\\\\r\\n]|\\\\.)*'/,\n greedy: true\n }\n ],\n regex: [\n {\n pattern: RegExp(\n /\\b(?:m|qr)(?![a-zA-Z0-9])\\s*/.source +\n '(?:' +\n [\n // m/.../\n /([^a-zA-Z0-9\\s{(\\[<])(?:(?!\\1)[^\\\\]|\\\\[\\s\\S])*\\1/.source, // m a...a\n // eslint-disable-next-line regexp/strict\n /([a-zA-Z0-9])(?:(?!\\2)[^\\\\]|\\\\[\\s\\S])*\\2/.source, // m(...)\n // m{...}\n // m[...]\n // m<...>\n brackets\n ].join('|') +\n ')' +\n /[msixpodualngc]*/.source\n ),\n greedy: true\n }, // The lookbehinds prevent -s from breaking\n {\n pattern: RegExp(\n /(^|[^-])\\b(?:s|tr|y)(?![a-zA-Z0-9])\\s*/.source +\n '(?:' +\n [\n // s/.../.../\n // eslint-disable-next-line regexp/strict\n /([^a-zA-Z0-9\\s{(\\[<])(?:(?!\\2)[^\\\\]|\\\\[\\s\\S])*\\2(?:(?!\\2)[^\\\\]|\\\\[\\s\\S])*\\2/\n .source, // s a...a...a\n // eslint-disable-next-line regexp/strict\n /([a-zA-Z0-9])(?:(?!\\3)[^\\\\]|\\\\[\\s\\S])*\\3(?:(?!\\3)[^\\\\]|\\\\[\\s\\S])*\\3/\n .source, // s(...)(...)\n // s{...}{...}\n // s[...][...]\n // s<...><...>\n // s(...)[...]\n brackets + /\\s*/.source + brackets\n ].join('|') +\n ')' +\n /[msixpodualngcer]*/.source\n ),\n lookbehind: true,\n greedy: true\n }, // /.../\n // The look-ahead tries to prevent two divisions on\n // the same line from being highlighted as regex.\n // This does not support multi-line regex.\n {\n pattern:\n /\\/(?:[^\\/\\\\\\r\\n]|\\\\.)*\\/[msixpodualngc]*(?=\\s*(?:$|[\\r\\n,.;})&|\\-+*~<>!?^]|(?:and|cmp|eq|ge|gt|le|lt|ne|not|or|x|xor)\\b))/,\n greedy: true\n }\n ],\n // FIXME Not sure about the handling of ::, ', and #\n variable: [\n // ${^POSTMATCH}\n /[&*$@%]\\{\\^[A-Z]+\\}/, // $^V\n /[&*$@%]\\^[A-Z_]/, // ${...}\n /[&*$@%]#?(?=\\{)/, // $foo\n /[&*$@%]#?(?:(?:::)*'?(?!\\d)[\\w$]+(?![\\w$]))+(?:::)*/, // $1\n /[&*$@%]\\d+/, // $_, @_, %!\n // The negative lookahead prevents from breaking the %= operator\n /(?!%=)[$@%][!\"#$%&'()*+,\\-.\\/:;<=>?@[\\\\\\]^_`{|}~]/\n ],\n filehandle: {\n // <>, <FOO>, _\n pattern: /<(?![<=])\\S*?>|\\b_\\b/,\n alias: 'symbol'\n },\n 'v-string': {\n // v1.2, 1.2.3\n pattern: /v\\d+(?:\\.\\d+)*|\\d+(?:\\.\\d+){2,}/,\n alias: 'string'\n },\n function: {\n pattern: /(\\bsub[ \\t]+)\\w+/,\n lookbehind: true\n },\n keyword:\n /\\b(?:any|break|continue|default|delete|die|do|else|elsif|eval|for|foreach|given|goto|if|last|local|my|next|our|package|print|redo|require|return|say|state|sub|switch|undef|unless|until|use|when|while)\\b/,\n number:\n /\\b(?:0x[\\dA-Fa-f](?:_?[\\dA-Fa-f])*|0b[01](?:_?[01])*|(?:(?:\\d(?:_?\\d)*)?\\.)?\\d(?:_?\\d)*(?:[Ee][+-]?\\d+)?)\\b/,\n operator:\n /-[rwxoRWXOezsfdlpSbctugkTBMAC]\\b|\\+[+=]?|-[-=>]?|\\*\\*?=?|\\/\\/?=?|=[=~>]?|~[~=]?|\\|\\|?=?|&&?=?|<(?:=>?|<=?)?|>>?=?|![~=]?|[%^]=?|\\.(?:=|\\.\\.?)?|[\\\\?]|\\bx(?:=|\\b)|\\b(?:and|cmp|eq|ge|gt|le|lt|ne|not|or|xor)\\b/,\n punctuation: /[{}[\\];(),:]/\n }\n })(Prism)\n}\n","'use strict'\nvar refractorPhp = require('./php.js')\nmodule.exports = phpExtras\nphpExtras.displayName = 'phpExtras'\nphpExtras.aliases = []\nfunction phpExtras(Prism) {\n Prism.register(refractorPhp)\n Prism.languages.insertBefore('php', 'variable', {\n this: {\n pattern: /\\$this\\b/,\n alias: 'keyword'\n },\n global:\n /\\$(?:GLOBALS|HTTP_RAW_POST_DATA|_(?:COOKIE|ENV|FILES|GET|POST|REQUEST|SERVER|SESSION)|argc|argv|http_response_header|php_errormsg)\\b/,\n scope: {\n pattern: /\\b[\\w\\\\]+::/,\n inside: {\n keyword: /\\b(?:parent|self|static)\\b/,\n punctuation: /::|\\\\/\n }\n }\n })\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = php\nphp.displayName = 'php'\nphp.aliases = []\nfunction php(Prism) {\n Prism.register(refractorMarkupTemplating)\n /**\n * Original by Aaron Harun: http://aahacreative.com/2012/07/31/php-syntax-highlighting-prism/\n * Modified by Miles Johnson: http://milesj.me\n * Rewritten by Tom Pavelec\n *\n * Supports PHP 5.3 - 8.0\n */\n ;(function (Prism) {\n var comment = /\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*|#(?!\\[).*/\n var constant = [\n {\n pattern: /\\b(?:false|true)\\b/i,\n alias: 'boolean'\n },\n {\n pattern: /(::\\s*)\\b[a-z_]\\w*\\b(?!\\s*\\()/i,\n greedy: true,\n lookbehind: true\n },\n {\n pattern: /(\\b(?:case|const)\\s+)\\b[a-z_]\\w*(?=\\s*[;=])/i,\n greedy: true,\n lookbehind: true\n },\n /\\b(?:null)\\b/i,\n /\\b[A-Z_][A-Z0-9_]*\\b(?!\\s*\\()/\n ]\n var number =\n /\\b0b[01]+(?:_[01]+)*\\b|\\b0o[0-7]+(?:_[0-7]+)*\\b|\\b0x[\\da-f]+(?:_[\\da-f]+)*\\b|(?:\\b\\d+(?:_\\d+)*\\.?(?:\\d+(?:_\\d+)*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?/i\n var operator =\n /<?=>|\\?\\?=?|\\.{3}|\\??->|[!=]=?=?|::|\\*\\*=?|--|\\+\\+|&&|\\|\\||<<|>>|[?~]|[/^|%*&<>.+-]=?/\n var punctuation = /[{}\\[\\](),:;]/\n Prism.languages.php = {\n delimiter: {\n pattern: /\\?>$|^<\\?(?:php(?=\\s)|=)?/i,\n alias: 'important'\n },\n comment: comment,\n variable: /\\$+(?:\\w+\\b|(?=\\{))/,\n package: {\n pattern:\n /(namespace\\s+|use\\s+(?:function\\s+)?)(?:\\\\?\\b[a-z_]\\w*)+\\b(?!\\\\)/i,\n lookbehind: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n 'class-name-definition': {\n pattern: /(\\b(?:class|enum|interface|trait)\\s+)\\b[a-z_]\\w*(?!\\\\)\\b/i,\n lookbehind: true,\n alias: 'class-name'\n },\n 'function-definition': {\n pattern: /(\\bfunction\\s+)[a-z_]\\w*(?=\\s*\\()/i,\n lookbehind: true,\n alias: 'function'\n },\n keyword: [\n {\n pattern:\n /(\\(\\s*)\\b(?:array|bool|boolean|float|int|integer|object|string)\\b(?=\\s*\\))/i,\n alias: 'type-casting',\n greedy: true,\n lookbehind: true\n },\n {\n pattern:\n /([(,?]\\s*)\\b(?:array(?!\\s*\\()|bool|callable|(?:false|null)(?=\\s*\\|)|float|int|iterable|mixed|object|self|static|string)\\b(?=\\s*\\$)/i,\n alias: 'type-hint',\n greedy: true,\n lookbehind: true\n },\n {\n pattern:\n /(\\)\\s*:\\s*(?:\\?\\s*)?)\\b(?:array(?!\\s*\\()|bool|callable|(?:false|null)(?=\\s*\\|)|float|int|iterable|mixed|object|self|static|string|void)\\b/i,\n alias: 'return-type',\n greedy: true,\n lookbehind: true\n },\n {\n pattern:\n /\\b(?:array(?!\\s*\\()|bool|float|int|iterable|mixed|object|string|void)\\b/i,\n alias: 'type-declaration',\n greedy: true\n },\n {\n pattern: /(\\|\\s*)(?:false|null)\\b|\\b(?:false|null)(?=\\s*\\|)/i,\n alias: 'type-declaration',\n greedy: true,\n lookbehind: true\n },\n {\n pattern: /\\b(?:parent|self|static)(?=\\s*::)/i,\n alias: 'static-context',\n greedy: true\n },\n {\n // yield from\n pattern: /(\\byield\\s+)from\\b/i,\n lookbehind: true\n }, // `class` is always a keyword unlike other keywords\n /\\bclass\\b/i,\n {\n // https://www.php.net/manual/en/reserved.keywords.php\n //\n // keywords cannot be preceded by \"->\"\n // the complex lookbehind means `(?<!(?:->|::)\\s*)`\n pattern:\n /((?:^|[^\\s>:]|(?:^|[^-])>|(?:^|[^:]):)\\s*)\\b(?:abstract|and|array|as|break|callable|case|catch|clone|const|continue|declare|default|die|do|echo|else|elseif|empty|enddeclare|endfor|endforeach|endif|endswitch|endwhile|enum|eval|exit|extends|final|finally|fn|for|foreach|function|global|goto|if|implements|include|include_once|instanceof|insteadof|interface|isset|list|match|namespace|new|or|parent|print|private|protected|public|require|require_once|return|self|static|switch|throw|trait|try|unset|use|var|while|xor|yield|__halt_compiler)\\b/i,\n lookbehind: true\n }\n ],\n 'argument-name': {\n pattern: /([(,]\\s+)\\b[a-z_]\\w*(?=\\s*:(?!:))/i,\n lookbehind: true\n },\n 'class-name': [\n {\n pattern:\n /(\\b(?:extends|implements|instanceof|new(?!\\s+self|\\s+static))\\s+|\\bcatch\\s*\\()\\b[a-z_]\\w*(?!\\\\)\\b/i,\n greedy: true,\n lookbehind: true\n },\n {\n pattern: /(\\|\\s*)\\b[a-z_]\\w*(?!\\\\)\\b/i,\n greedy: true,\n lookbehind: true\n },\n {\n pattern: /\\b[a-z_]\\w*(?!\\\\)\\b(?=\\s*\\|)/i,\n greedy: true\n },\n {\n pattern: /(\\|\\s*)(?:\\\\?\\b[a-z_]\\w*)+\\b/i,\n alias: 'class-name-fully-qualified',\n greedy: true,\n lookbehind: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n {\n pattern: /(?:\\\\?\\b[a-z_]\\w*)+\\b(?=\\s*\\|)/i,\n alias: 'class-name-fully-qualified',\n greedy: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n {\n pattern:\n /(\\b(?:extends|implements|instanceof|new(?!\\s+self\\b|\\s+static\\b))\\s+|\\bcatch\\s*\\()(?:\\\\?\\b[a-z_]\\w*)+\\b(?!\\\\)/i,\n alias: 'class-name-fully-qualified',\n greedy: true,\n lookbehind: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n {\n pattern: /\\b[a-z_]\\w*(?=\\s*\\$)/i,\n alias: 'type-declaration',\n greedy: true\n },\n {\n pattern: /(?:\\\\?\\b[a-z_]\\w*)+(?=\\s*\\$)/i,\n alias: ['class-name-fully-qualified', 'type-declaration'],\n greedy: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n {\n pattern: /\\b[a-z_]\\w*(?=\\s*::)/i,\n alias: 'static-context',\n greedy: true\n },\n {\n pattern: /(?:\\\\?\\b[a-z_]\\w*)+(?=\\s*::)/i,\n alias: ['class-name-fully-qualified', 'static-context'],\n greedy: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n {\n pattern: /([(,?]\\s*)[a-z_]\\w*(?=\\s*\\$)/i,\n alias: 'type-hint',\n greedy: true,\n lookbehind: true\n },\n {\n pattern: /([(,?]\\s*)(?:\\\\?\\b[a-z_]\\w*)+(?=\\s*\\$)/i,\n alias: ['class-name-fully-qualified', 'type-hint'],\n greedy: true,\n lookbehind: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n {\n pattern: /(\\)\\s*:\\s*(?:\\?\\s*)?)\\b[a-z_]\\w*(?!\\\\)\\b/i,\n alias: 'return-type',\n greedy: true,\n lookbehind: true\n },\n {\n pattern: /(\\)\\s*:\\s*(?:\\?\\s*)?)(?:\\\\?\\b[a-z_]\\w*)+\\b(?!\\\\)/i,\n alias: ['class-name-fully-qualified', 'return-type'],\n greedy: true,\n lookbehind: true,\n inside: {\n punctuation: /\\\\/\n }\n }\n ],\n constant: constant,\n function: {\n pattern: /(^|[^\\\\\\w])\\\\?[a-z_](?:[\\w\\\\]*\\w)?(?=\\s*\\()/i,\n lookbehind: true,\n inside: {\n punctuation: /\\\\/\n }\n },\n property: {\n pattern: /(->\\s*)\\w+/,\n lookbehind: true\n },\n number: number,\n operator: operator,\n punctuation: punctuation\n }\n var string_interpolation = {\n pattern:\n /\\{\\$(?:\\{(?:\\{[^{}]+\\}|[^{}]+)\\}|[^{}])+\\}|(^|[^\\\\{])\\$+(?:\\w+(?:\\[[^\\r\\n\\[\\]]+\\]|->\\w+)?)/,\n lookbehind: true,\n inside: Prism.languages.php\n }\n var string = [\n {\n pattern: /<<<'([^']+)'[\\r\\n](?:.*[\\r\\n])*?\\1;/,\n alias: 'nowdoc-string',\n greedy: true,\n inside: {\n delimiter: {\n pattern: /^<<<'[^']+'|[a-z_]\\w*;$/i,\n alias: 'symbol',\n inside: {\n punctuation: /^<<<'?|[';]$/\n }\n }\n }\n },\n {\n pattern:\n /<<<(?:\"([^\"]+)\"[\\r\\n](?:.*[\\r\\n])*?\\1;|([a-z_]\\w*)[\\r\\n](?:.*[\\r\\n])*?\\2;)/i,\n alias: 'heredoc-string',\n greedy: true,\n inside: {\n delimiter: {\n pattern: /^<<<(?:\"[^\"]+\"|[a-z_]\\w*)|[a-z_]\\w*;$/i,\n alias: 'symbol',\n inside: {\n punctuation: /^<<<\"?|[\";]$/\n }\n },\n interpolation: string_interpolation\n }\n },\n {\n pattern: /`(?:\\\\[\\s\\S]|[^\\\\`])*`/,\n alias: 'backtick-quoted-string',\n greedy: true\n },\n {\n pattern: /'(?:\\\\[\\s\\S]|[^\\\\'])*'/,\n alias: 'single-quoted-string',\n greedy: true\n },\n {\n pattern: /\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"/,\n alias: 'double-quoted-string',\n greedy: true,\n inside: {\n interpolation: string_interpolation\n }\n }\n ]\n Prism.languages.insertBefore('php', 'variable', {\n string: string,\n attribute: {\n pattern:\n /#\\[(?:[^\"'\\/#]|\\/(?![*/])|\\/\\/.*$|#(?!\\[).*$|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/|\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"|'(?:\\\\[\\s\\S]|[^\\\\'])*')+\\](?=\\s*[a-z$#])/im,\n greedy: true,\n inside: {\n 'attribute-content': {\n pattern: /^(#\\[)[\\s\\S]+(?=\\]$)/,\n lookbehind: true,\n // inside can appear subset of php\n inside: {\n comment: comment,\n string: string,\n 'attribute-class-name': [\n {\n pattern: /([^:]|^)\\b[a-z_]\\w*(?!\\\\)\\b/i,\n alias: 'class-name',\n greedy: true,\n lookbehind: true\n },\n {\n pattern: /([^:]|^)(?:\\\\?\\b[a-z_]\\w*)+/i,\n alias: ['class-name', 'class-name-fully-qualified'],\n greedy: true,\n lookbehind: true,\n inside: {\n punctuation: /\\\\/\n }\n }\n ],\n constant: constant,\n number: number,\n operator: operator,\n punctuation: punctuation\n }\n },\n delimiter: {\n pattern: /^#\\[|\\]$/,\n alias: 'punctuation'\n }\n }\n }\n })\n Prism.hooks.add('before-tokenize', function (env) {\n if (!/<\\?/.test(env.code)) {\n return\n }\n var phpPattern =\n /<\\?(?:[^\"'/#]|\\/(?![*/])|(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|(?:\\/\\/|#(?!\\[))(?:[^?\\n\\r]|\\?(?!>))*(?=$|\\?>|[\\r\\n])|#\\[|\\/\\*(?:[^*]|\\*(?!\\/))*(?:\\*\\/|$))*?(?:\\?>|$)/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'php',\n phpPattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'php')\n })\n })(Prism)\n}\n","'use strict'\nvar refractorPhp = require('./php.js')\nvar refractorJavadoclike = require('./javadoclike.js')\nmodule.exports = phpdoc\nphpdoc.displayName = 'phpdoc'\nphpdoc.aliases = []\nfunction phpdoc(Prism) {\n Prism.register(refractorPhp)\n Prism.register(refractorJavadoclike)\n ;(function (Prism) {\n var typeExpression = /(?:\\b[a-zA-Z]\\w*|[|\\\\[\\]])+/.source\n Prism.languages.phpdoc = Prism.languages.extend('javadoclike', {\n parameter: {\n pattern: RegExp(\n '(@(?:global|param|property(?:-read|-write)?|var)\\\\s+(?:' +\n typeExpression +\n '\\\\s+)?)\\\\$\\\\w+'\n ),\n lookbehind: true\n }\n })\n Prism.languages.insertBefore('phpdoc', 'keyword', {\n 'class-name': [\n {\n pattern: RegExp(\n '(@(?:global|package|param|property(?:-read|-write)?|return|subpackage|throws|var)\\\\s+)' +\n typeExpression\n ),\n lookbehind: true,\n inside: {\n keyword:\n /\\b(?:array|bool|boolean|callback|double|false|float|int|integer|mixed|null|object|resource|self|string|true|void)\\b/,\n punctuation: /[|\\\\[\\]()]/\n }\n }\n ]\n })\n Prism.languages.javadoclike.addSupport('php', Prism.languages.phpdoc)\n })(Prism)\n}\n","'use strict'\nvar refractorSql = require('./sql.js')\nmodule.exports = plsql\nplsql.displayName = 'plsql'\nplsql.aliases = []\nfunction plsql(Prism) {\n Prism.register(refractorSql)\n Prism.languages.plsql = Prism.languages.extend('sql', {\n comment: {\n pattern: /\\/\\*[\\s\\S]*?\\*\\/|--.*/,\n greedy: true\n },\n // https://docs.oracle.com/en/database/oracle/oracle-database/21/lnpls/plsql-reserved-words-keywords.html\n keyword:\n /\\b(?:A|ACCESSIBLE|ADD|AGENT|AGGREGATE|ALL|ALTER|AND|ANY|ARRAY|AS|ASC|AT|ATTRIBUTE|AUTHID|AVG|BEGIN|BETWEEN|BFILE_BASE|BINARY|BLOB_BASE|BLOCK|BODY|BOTH|BOUND|BULK|BY|BYTE|C|CALL|CALLING|CASCADE|CASE|CHAR|CHARACTER|CHARSET|CHARSETFORM|CHARSETID|CHAR_BASE|CHECK|CLOB_BASE|CLONE|CLOSE|CLUSTER|CLUSTERS|COLAUTH|COLLECT|COLUMNS|COMMENT|COMMIT|COMMITTED|COMPILED|COMPRESS|CONNECT|CONSTANT|CONSTRUCTOR|CONTEXT|CONTINUE|CONVERT|COUNT|CRASH|CREATE|CREDENTIAL|CURRENT|CURSOR|CUSTOMDATUM|DANGLING|DATA|DATE|DATE_BASE|DAY|DECLARE|DEFAULT|DEFINE|DELETE|DESC|DETERMINISTIC|DIRECTORY|DISTINCT|DOUBLE|DROP|DURATION|ELEMENT|ELSE|ELSIF|EMPTY|END|ESCAPE|EXCEPT|EXCEPTION|EXCEPTIONS|EXCLUSIVE|EXECUTE|EXISTS|EXIT|EXTERNAL|FETCH|FINAL|FIRST|FIXED|FLOAT|FOR|FORALL|FORCE|FROM|FUNCTION|GENERAL|GOTO|GRANT|GROUP|HASH|HAVING|HEAP|HIDDEN|HOUR|IDENTIFIED|IF|IMMEDIATE|IMMUTABLE|IN|INCLUDING|INDEX|INDEXES|INDICATOR|INDICES|INFINITE|INSERT|INSTANTIABLE|INT|INTERFACE|INTERSECT|INTERVAL|INTO|INVALIDATE|IS|ISOLATION|JAVA|LANGUAGE|LARGE|LEADING|LENGTH|LEVEL|LIBRARY|LIKE|LIKE2|LIKE4|LIKEC|LIMIT|LIMITED|LOCAL|LOCK|LONG|LOOP|MAP|MAX|MAXLEN|MEMBER|MERGE|MIN|MINUS|MINUTE|MOD|MODE|MODIFY|MONTH|MULTISET|MUTABLE|NAME|NAN|NATIONAL|NATIVE|NCHAR|NEW|NOCOMPRESS|NOCOPY|NOT|NOWAIT|NULL|NUMBER_BASE|OBJECT|OCICOLL|OCIDATE|OCIDATETIME|OCIDURATION|OCIINTERVAL|OCILOBLOCATOR|OCINUMBER|OCIRAW|OCIREF|OCIREFCURSOR|OCIROWID|OCISTRING|OCITYPE|OF|OLD|ON|ONLY|OPAQUE|OPEN|OPERATOR|OPTION|OR|ORACLE|ORADATA|ORDER|ORGANIZATION|ORLANY|ORLVARY|OTHERS|OUT|OVERLAPS|OVERRIDING|PACKAGE|PARALLEL_ENABLE|PARAMETER|PARAMETERS|PARENT|PARTITION|PASCAL|PERSISTABLE|PIPE|PIPELINED|PLUGGABLE|POLYMORPHIC|PRAGMA|PRECISION|PRIOR|PRIVATE|PROCEDURE|PUBLIC|RAISE|RANGE|RAW|READ|RECORD|REF|REFERENCE|RELIES_ON|REM|REMAINDER|RENAME|RESOURCE|RESULT|RESULT_CACHE|RETURN|RETURNING|REVERSE|REVOKE|ROLLBACK|ROW|SAMPLE|SAVE|SAVEPOINT|SB1|SB2|SB4|SECOND|SEGMENT|SELECT|SELF|SEPARATE|SEQUENCE|SERIALIZABLE|SET|SHARE|SHORT|SIZE|SIZE_T|SOME|SPARSE|SQL|SQLCODE|SQLDATA|SQLNAME|SQLSTATE|STANDARD|START|STATIC|STDDEV|STORED|STRING|STRUCT|STYLE|SUBMULTISET|SUBPARTITION|SUBSTITUTABLE|SUBTYPE|SUM|SYNONYM|TABAUTH|TABLE|TDO|THE|THEN|TIME|TIMESTAMP|TIMEZONE_ABBR|TIMEZONE_HOUR|TIMEZONE_MINUTE|TIMEZONE_REGION|TO|TRAILING|TRANSACTION|TRANSACTIONAL|TRUSTED|TYPE|UB1|UB2|UB4|UNDER|UNION|UNIQUE|UNPLUG|UNSIGNED|UNTRUSTED|UPDATE|USE|USING|VALIST|VALUE|VALUES|VARIABLE|VARIANCE|VARRAY|VARYING|VIEW|VIEWS|VOID|WHEN|WHERE|WHILE|WITH|WORK|WRAPPED|WRITE|YEAR|ZONE)\\b/i,\n // https://docs.oracle.com/en/database/oracle/oracle-database/21/lnpls/plsql-language-fundamentals.html#GUID-96A42F7C-7A71-4B90-8255-CA9C8BD9722E\n operator: /:=?|=>|[<>^~!]=|\\.\\.|\\|\\||\\*\\*|[-+*/%<>=@]/\n })\n Prism.languages.insertBefore('plsql', 'operator', {\n label: {\n pattern: /<<\\s*\\w+\\s*>>/,\n alias: 'symbol'\n }\n })\n}\n","'use strict'\n\nmodule.exports = powerquery\npowerquery.displayName = 'powerquery'\npowerquery.aliases = []\nfunction powerquery(Prism) {\n // https://docs.microsoft.com/en-us/powerquery-m/power-query-m-language-specification\n Prism.languages.powerquery = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*)/,\n lookbehind: true,\n greedy: true\n },\n 'quoted-identifier': {\n pattern: /#\"(?:[^\"\\r\\n]|\"\")*\"(?!\")/,\n greedy: true\n },\n string: {\n pattern: /(?:#!)?\"(?:[^\"\\r\\n]|\"\")*\"(?!\")/,\n greedy: true\n },\n constant: [\n /\\bDay\\.(?:Friday|Monday|Saturday|Sunday|Thursday|Tuesday|Wednesday)\\b/,\n /\\bTraceLevel\\.(?:Critical|Error|Information|Verbose|Warning)\\b/,\n /\\bOccurrence\\.(?:All|First|Last)\\b/,\n /\\bOrder\\.(?:Ascending|Descending)\\b/,\n /\\bRoundingMode\\.(?:AwayFromZero|Down|ToEven|TowardZero|Up)\\b/,\n /\\bMissingField\\.(?:Error|Ignore|UseNull)\\b/,\n /\\bQuoteStyle\\.(?:Csv|None)\\b/,\n /\\bJoinKind\\.(?:FullOuter|Inner|LeftAnti|LeftOuter|RightAnti|RightOuter)\\b/,\n /\\bGroupKind\\.(?:Global|Local)\\b/,\n /\\bExtraValues\\.(?:Error|Ignore|List)\\b/,\n /\\bJoinAlgorithm\\.(?:Dynamic|LeftHash|LeftIndex|PairwiseHash|RightHash|RightIndex|SortMerge)\\b/,\n /\\bJoinSide\\.(?:Left|Right)\\b/,\n /\\bPrecision\\.(?:Decimal|Double)\\b/,\n /\\bRelativePosition\\.From(?:End|Start)\\b/,\n /\\bTextEncoding\\.(?:Ascii|BigEndianUnicode|Unicode|Utf16|Utf8|Windows)\\b/,\n /\\b(?:Any|Binary|Date|DateTime|DateTimeZone|Duration|Function|Int16|Int32|Int64|Int8|List|Logical|None|Number|Record|Table|Text|Time)\\.Type\\b/,\n /\\bnull\\b/\n ],\n boolean: /\\b(?:false|true)\\b/,\n keyword:\n /\\b(?:and|as|each|else|error|if|in|is|let|meta|not|nullable|optional|or|otherwise|section|shared|then|try|type)\\b|#(?:binary|date|datetime|datetimezone|duration|infinity|nan|sections|shared|table|time)\\b/,\n function: {\n pattern: /(^|[^#\\w.])[a-z_][\\w.]*(?=\\s*\\()/i,\n lookbehind: true\n },\n 'data-type': {\n pattern:\n /\\b(?:any|anynonnull|binary|date|datetime|datetimezone|duration|function|list|logical|none|number|record|table|text|time)\\b/,\n alias: 'class-name'\n },\n number: {\n pattern:\n /\\b0x[\\da-f]+\\b|(?:[+-]?(?:\\b\\d+\\.)?\\b\\d+|[+-]\\.\\d+|(^|[^.])\\B\\.\\d+)(?:e[+-]?\\d+)?\\b/i,\n lookbehind: true\n },\n operator: /[-+*\\/&?@^]|<(?:=>?|>)?|>=?|=>?|\\.\\.\\.?/,\n punctuation: /[,;\\[\\](){}]/\n }\n Prism.languages.pq = Prism.languages['powerquery']\n Prism.languages.mscript = Prism.languages['powerquery']\n}\n","'use strict'\n\nmodule.exports = powershell\npowershell.displayName = 'powershell'\npowershell.aliases = []\nfunction powershell(Prism) {\n ;(function (Prism) {\n var powershell = (Prism.languages.powershell = {\n comment: [\n {\n pattern: /(^|[^`])<#[\\s\\S]*?#>/,\n lookbehind: true\n },\n {\n pattern: /(^|[^`])#.*/,\n lookbehind: true\n }\n ],\n string: [\n {\n pattern: /\"(?:`[\\s\\S]|[^`\"])*\"/,\n greedy: true,\n inside: null // see below\n },\n {\n pattern: /'(?:[^']|'')*'/,\n greedy: true\n }\n ],\n // Matches name spaces as well as casts, attribute decorators. Force starting with letter to avoid matching array indices\n // Supports two levels of nested brackets (e.g. `[OutputType([System.Collections.Generic.List[int]])]`)\n namespace: /\\[[a-z](?:\\[(?:\\[[^\\]]*\\]|[^\\[\\]])*\\]|[^\\[\\]])*\\]/i,\n boolean: /\\$(?:false|true)\\b/i,\n variable: /\\$\\w+\\b/,\n // Cmdlets and aliases. Aliases should come last, otherwise \"write\" gets preferred over \"write-host\" for example\n // Get-Command | ?{ $_.ModuleName -match \"Microsoft.PowerShell.(Util|Core|Management)\" }\n // Get-Alias | ?{ $_.ReferencedCommand.Module.Name -match \"Microsoft.PowerShell.(Util|Core|Management)\" }\n function: [\n /\\b(?:Add|Approve|Assert|Backup|Block|Checkpoint|Clear|Close|Compare|Complete|Compress|Confirm|Connect|Convert|ConvertFrom|ConvertTo|Copy|Debug|Deny|Disable|Disconnect|Dismount|Edit|Enable|Enter|Exit|Expand|Export|Find|ForEach|Format|Get|Grant|Group|Hide|Import|Initialize|Install|Invoke|Join|Limit|Lock|Measure|Merge|Move|New|Open|Optimize|Out|Ping|Pop|Protect|Publish|Push|Read|Receive|Redo|Register|Remove|Rename|Repair|Request|Reset|Resize|Resolve|Restart|Restore|Resume|Revoke|Save|Search|Select|Send|Set|Show|Skip|Sort|Split|Start|Step|Stop|Submit|Suspend|Switch|Sync|Tee|Test|Trace|Unblock|Undo|Uninstall|Unlock|Unprotect|Unpublish|Unregister|Update|Use|Wait|Watch|Where|Write)-[a-z]+\\b/i,\n /\\b(?:ac|cat|chdir|clc|cli|clp|clv|compare|copy|cp|cpi|cpp|cvpa|dbp|del|diff|dir|ebp|echo|epal|epcsv|epsn|erase|fc|fl|ft|fw|gal|gbp|gc|gci|gcs|gdr|gi|gl|gm|gp|gps|group|gsv|gu|gv|gwmi|iex|ii|ipal|ipcsv|ipsn|irm|iwmi|iwr|kill|lp|ls|measure|mi|mount|move|mp|mv|nal|ndr|ni|nv|ogv|popd|ps|pushd|pwd|rbp|rd|rdr|ren|ri|rm|rmdir|rni|rnp|rp|rv|rvpa|rwmi|sal|saps|sasv|sbp|sc|select|set|shcm|si|sl|sleep|sls|sort|sp|spps|spsv|start|sv|swmi|tee|trcm|type|write)\\b/i\n ],\n // per http://technet.microsoft.com/en-us/library/hh847744.aspx\n keyword:\n /\\b(?:Begin|Break|Catch|Class|Continue|Data|Define|Do|DynamicParam|Else|ElseIf|End|Exit|Filter|Finally|For|ForEach|From|Function|If|InlineScript|Parallel|Param|Process|Return|Sequence|Switch|Throw|Trap|Try|Until|Using|Var|While|Workflow)\\b/i,\n operator: {\n pattern:\n /(^|\\W)(?:!|-(?:b?(?:and|x?or)|as|(?:Not)?(?:Contains|In|Like|Match)|eq|ge|gt|is(?:Not)?|Join|le|lt|ne|not|Replace|sh[lr])\\b|-[-=]?|\\+[+=]?|[*\\/%]=?)/i,\n lookbehind: true\n },\n punctuation: /[|{}[\\];(),.]/\n }) // Variable interpolation inside strings, and nested expressions\n powershell.string[0].inside = {\n function: {\n // Allow for one level of nesting\n pattern: /(^|[^`])\\$\\((?:\\$\\([^\\r\\n()]*\\)|(?!\\$\\()[^\\r\\n)])*\\)/,\n lookbehind: true,\n inside: powershell\n },\n boolean: powershell.boolean,\n variable: powershell.variable\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = processing\nprocessing.displayName = 'processing'\nprocessing.aliases = []\nfunction processing(Prism) {\n Prism.languages.processing = Prism.languages.extend('clike', {\n keyword:\n /\\b(?:break|case|catch|class|continue|default|else|extends|final|for|if|implements|import|new|null|private|public|return|static|super|switch|this|try|void|while)\\b/,\n // Spaces are allowed between function name and parenthesis\n function: /\\b\\w+(?=\\s*\\()/,\n operator: /<[<=]?|>[>=]?|&&?|\\|\\|?|[%?]|[!=+\\-*\\/]=?/\n })\n Prism.languages.insertBefore('processing', 'number', {\n // Special case: XML is a type\n constant: /\\b(?!XML\\b)[A-Z][A-Z\\d_]+\\b/,\n type: {\n pattern: /\\b(?:boolean|byte|char|color|double|float|int|[A-Z]\\w*)\\b/,\n alias: 'class-name'\n }\n })\n}\n","'use strict'\n\nmodule.exports = prolog\nprolog.displayName = 'prolog'\nprolog.aliases = []\nfunction prolog(Prism) {\n Prism.languages.prolog = {\n // Syntax depends on the implementation\n comment: {\n pattern: /\\/\\*[\\s\\S]*?\\*\\/|%.*/,\n greedy: true\n },\n // Depending on the implementation, strings may allow escaped newlines and quote-escape\n string: {\n pattern: /([\"'])(?:\\1\\1|\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1(?!\\1)/,\n greedy: true\n },\n builtin: /\\b(?:fx|fy|xf[xy]?|yfx?)\\b/,\n // FIXME: Should we list all null-ary predicates (not followed by a parenthesis) like halt, trace, etc.?\n function: /\\b[a-z]\\w*(?:(?=\\()|\\/\\d+)/,\n number: /\\b\\d+(?:\\.\\d*)?/,\n // Custom operators are allowed\n operator: /[:\\\\=><\\-?*@\\/;+^|!$.]+|\\b(?:is|mod|not|xor)\\b/,\n punctuation: /[(){}\\[\\],]/\n }\n}\n","'use strict'\n\nmodule.exports = promql\npromql.displayName = 'promql'\npromql.aliases = []\nfunction promql(Prism) {\n // Thanks to: https://github.com/prometheus-community/monaco-promql/blob/master/src/promql/promql.ts\n // As well as: https://kausal.co/blog/slate-prism-add-new-syntax-promql/\n ;(function (Prism) {\n // PromQL Aggregation Operators\n // (https://prometheus.io/docs/prometheus/latest/querying/operators/#aggregation-operators)\n var aggregations = [\n 'sum',\n 'min',\n 'max',\n 'avg',\n 'group',\n 'stddev',\n 'stdvar',\n 'count',\n 'count_values',\n 'bottomk',\n 'topk',\n 'quantile'\n ] // PromQL vector matching + the by and without clauses\n // (https://prometheus.io/docs/prometheus/latest/querying/operators/#vector-matching)\n var vectorMatching = [\n 'on',\n 'ignoring',\n 'group_right',\n 'group_left',\n 'by',\n 'without'\n ] // PromQL offset modifier\n // (https://prometheus.io/docs/prometheus/latest/querying/basics/#offset-modifier)\n var offsetModifier = ['offset']\n var keywords = aggregations.concat(vectorMatching, offsetModifier)\n Prism.languages.promql = {\n comment: {\n pattern: /(^[ \\t]*)#.*/m,\n lookbehind: true\n },\n 'vector-match': {\n // Match the comma-separated label lists inside vector matching:\n pattern: new RegExp(\n '((?:' + vectorMatching.join('|') + ')\\\\s*)\\\\([^)]*\\\\)'\n ),\n lookbehind: true,\n inside: {\n 'label-key': {\n pattern: /\\b[^,]+\\b/,\n alias: 'attr-name'\n },\n punctuation: /[(),]/\n }\n },\n 'context-labels': {\n pattern: /\\{[^{}]*\\}/,\n inside: {\n 'label-key': {\n pattern: /\\b[a-z_]\\w*(?=\\s*(?:=|![=~]))/,\n alias: 'attr-name'\n },\n 'label-value': {\n pattern: /([\"'`])(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1/,\n greedy: true,\n alias: 'attr-value'\n },\n punctuation: /\\{|\\}|=~?|![=~]|,/\n }\n },\n 'context-range': [\n {\n pattern: /\\[[\\w\\s:]+\\]/,\n // [1m]\n inside: {\n punctuation: /\\[|\\]|:/,\n 'range-duration': {\n pattern: /\\b(?:\\d+(?:[smhdwy]|ms))+\\b/i,\n alias: 'number'\n }\n }\n },\n {\n pattern: /(\\boffset\\s+)\\w+/,\n // offset 1m\n lookbehind: true,\n inside: {\n 'range-duration': {\n pattern: /\\b(?:\\d+(?:[smhdwy]|ms))+\\b/i,\n alias: 'number'\n }\n }\n }\n ],\n keyword: new RegExp('\\\\b(?:' + keywords.join('|') + ')\\\\b', 'i'),\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n number:\n /[-+]?(?:(?:\\b\\d+(?:\\.\\d+)?|\\B\\.\\d+)(?:e[-+]?\\d+)?\\b|\\b(?:0x[0-9a-f]+|nan|inf)\\b)/i,\n operator: /[\\^*/%+-]|==|!=|<=|<|>=|>|\\b(?:and|or|unless)\\b/i,\n punctuation: /[{};()`,.[\\]]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = properties\nproperties.displayName = 'properties'\nproperties.aliases = []\nfunction properties(Prism) {\n Prism.languages.properties = {\n comment: /^[ \\t]*[#!].*$/m,\n 'attr-value': {\n pattern:\n /(^[ \\t]*(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\s:=])+(?: *[=:] *(?! )| ))(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\r\\n])+/m,\n lookbehind: true\n },\n 'attr-name': /^[ \\t]*(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\s:=])+(?= *[=:]| )/m,\n punctuation: /[=:]/\n }\n}\n","'use strict'\n\nmodule.exports = protobuf\nprotobuf.displayName = 'protobuf'\nprotobuf.aliases = []\nfunction protobuf(Prism) {\n ;(function (Prism) {\n var builtinTypes =\n /\\b(?:bool|bytes|double|s?fixed(?:32|64)|float|[su]?int(?:32|64)|string)\\b/\n Prism.languages.protobuf = Prism.languages.extend('clike', {\n 'class-name': [\n {\n pattern:\n /(\\b(?:enum|extend|message|service)\\s+)[A-Za-z_]\\w*(?=\\s*\\{)/,\n lookbehind: true\n },\n {\n pattern:\n /(\\b(?:rpc\\s+\\w+|returns)\\s*\\(\\s*(?:stream\\s+)?)\\.?[A-Za-z_]\\w*(?:\\.[A-Za-z_]\\w*)*(?=\\s*\\))/,\n lookbehind: true\n }\n ],\n keyword:\n /\\b(?:enum|extend|extensions|import|message|oneof|option|optional|package|public|repeated|required|reserved|returns|rpc(?=\\s+\\w)|service|stream|syntax|to)\\b(?!\\s*=\\s*\\d)/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i\n })\n Prism.languages.insertBefore('protobuf', 'operator', {\n map: {\n pattern: /\\bmap<\\s*[\\w.]+\\s*,\\s*[\\w.]+\\s*>(?=\\s+[a-z_]\\w*\\s*[=;])/i,\n alias: 'class-name',\n inside: {\n punctuation: /[<>.,]/,\n builtin: builtinTypes\n }\n },\n builtin: builtinTypes,\n 'positional-class-name': {\n pattern: /(?:\\b|\\B\\.)[a-z_]\\w*(?:\\.[a-z_]\\w*)*(?=\\s+[a-z_]\\w*\\s*[=;])/i,\n alias: 'class-name',\n inside: {\n punctuation: /\\./\n }\n },\n annotation: {\n pattern: /(\\[\\s*)[a-z_]\\w*(?=\\s*=)/i,\n lookbehind: true\n }\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = psl\npsl.displayName = 'psl'\npsl.aliases = []\nfunction psl(Prism) {\n Prism.languages.psl = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\"])*\"/,\n greedy: true,\n inside: {\n symbol: /\\\\[ntrbA-Z\"\\\\]/\n }\n },\n 'heredoc-string': {\n pattern: /<<<([a-zA-Z_]\\w*)[\\r\\n](?:.*[\\r\\n])*?\\1\\b/,\n alias: 'string',\n greedy: true\n },\n keyword:\n /\\b(?:__multi|__single|case|default|do|else|elsif|exit|export|for|foreach|function|if|last|line|local|next|requires|return|switch|until|while|word)\\b/,\n constant:\n /\\b(?:ALARM|CHART_ADD_GRAPH|CHART_DELETE_GRAPH|CHART_DESTROY|CHART_LOAD|CHART_PRINT|EOF|OFFLINE|OK|PSL_PROF_LOG|R_CHECK_HORIZ|R_CHECK_VERT|R_CLICKER|R_COLUMN|R_FRAME|R_ICON|R_LABEL|R_LABEL_CENTER|R_LIST_MULTIPLE|R_LIST_MULTIPLE_ND|R_LIST_SINGLE|R_LIST_SINGLE_ND|R_MENU|R_POPUP|R_POPUP_SCROLLED|R_RADIO_HORIZ|R_RADIO_VERT|R_ROW|R_SCALE_HORIZ|R_SCALE_VERT|R_SEP_HORIZ|R_SEP_VERT|R_SPINNER|R_TEXT_FIELD|R_TEXT_FIELD_LABEL|R_TOGGLE|TRIM_LEADING|TRIM_LEADING_AND_TRAILING|TRIM_REDUNDANT|TRIM_TRAILING|VOID|WARN)\\b/,\n boolean: /\\b(?:FALSE|False|NO|No|TRUE|True|YES|Yes|false|no|true|yes)\\b/,\n variable: /\\b(?:PslDebug|errno|exit_status)\\b/,\n builtin: {\n pattern:\n /\\b(?:PslExecute|PslFunctionCall|PslFunctionExists|PslSetOptions|_snmp_debug|acos|add_diary|annotate|annotate_get|ascii_to_ebcdic|asctime|asin|atan|atexit|batch_set|blackout|cat|ceil|chan_exists|change_state|close|code_cvt|cond_signal|cond_wait|console_type|convert_base|convert_date|convert_locale_date|cos|cosh|create|date|dcget_text|destroy|destroy_lock|dget_text|difference|dump_hist|ebcdic_to_ascii|encrypt|event_archive|event_catalog_get|event_check|event_query|event_range_manage|event_range_query|event_report|event_schedule|event_trigger|event_trigger2|execute|exists|exp|fabs|file|floor|fmod|fopen|fseek|ftell|full_discovery|get|get_chan_info|get_ranges|get_text|get_vars|getenv|gethostinfo|getpid|getpname|grep|history|history_get_retention|in_transition|index|int|internal|intersection|is_var|isnumber|join|kill|length|lines|lock|lock_info|log|log10|loge|matchline|msg_check|msg_get_format|msg_get_severity|msg_printf|msg_sprintf|ntharg|nthargf|nthline|nthlinef|num_bytes|num_consoles|pconfig|popen|poplines|pow|print|printf|proc_exists|process|random|read|readln|refresh_parameters|remote_check|remote_close|remote_event_query|remote_event_trigger|remote_file_send|remote_open|remove|replace|rindex|sec_check_priv|sec_store_get|sec_store_set|set|set_alarm_ranges|set_locale|share|sin|sinh|sleep|snmp_agent_config|snmp_agent_start|snmp_agent_stop|snmp_close|snmp_config|snmp_get|snmp_get_next|snmp_h_get|snmp_h_get_next|snmp_h_set|snmp_open|snmp_set|snmp_trap_ignore|snmp_trap_listen|snmp_trap_raise_std_trap|snmp_trap_receive|snmp_trap_register_im|snmp_trap_send|snmp_walk|sopen|sort|splitline|sprintf|sqrt|srandom|str_repeat|strcasecmp|subset|substr|system|tail|tan|tanh|text_domain|time|tmpnam|tolower|toupper|trace_psl_process|trim|union|unique|unlock|unset|va_arg|va_start|write)\\b/,\n alias: 'builtin-function'\n },\n 'foreach-variable': {\n pattern:\n /(\\bforeach\\s+(?:(?:\\w+\\b|\"(?:\\\\.|[^\\\\\"])*\")\\s+){0,2})[_a-zA-Z]\\w*(?=\\s*\\()/,\n lookbehind: true,\n greedy: true\n },\n function: /\\b[_a-z]\\w*\\b(?=\\s*\\()/i,\n number: /\\b(?:0x[0-9a-f]+|\\d+(?:\\.\\d+)?)\\b/i,\n operator: /--|\\+\\+|&&=?|\\|\\|=?|<<=?|>>=?|[=!]~|[-+*/%&|^!=<>]=?|\\.|[:?]/,\n punctuation: /[(){}\\[\\];,]/\n }\n}\n","'use strict'\n\nmodule.exports = pug\npug.displayName = 'pug'\npug.aliases = []\nfunction pug(Prism) {\n ;(function (Prism) {\n // TODO:\n // - Add CSS highlighting inside <style> tags\n // - Add support for multi-line code blocks\n // - Add support for interpolation #{} and !{}\n // - Add support for tag interpolation #[]\n // - Add explicit support for plain text using |\n // - Add support for markup embedded in plain text\n Prism.languages.pug = {\n // Multiline stuff should appear before the rest\n // This handles both single-line and multi-line comments\n comment: {\n pattern: /(^([\\t ]*))\\/\\/.*(?:(?:\\r?\\n|\\r)\\2[\\t ].+)*/m,\n lookbehind: true\n },\n // All the tag-related part is in lookbehind\n // so that it can be highlighted by the \"tag\" pattern\n 'multiline-script': {\n pattern:\n /(^([\\t ]*)script\\b.*\\.[\\t ]*)(?:(?:\\r?\\n|\\r(?!\\n))(?:\\2[\\t ].+|\\s*?(?=\\r?\\n|\\r)))+/m,\n lookbehind: true,\n inside: Prism.languages.javascript\n },\n // See at the end of the file for known filters\n filter: {\n pattern:\n /(^([\\t ]*)):.+(?:(?:\\r?\\n|\\r(?!\\n))(?:\\2[\\t ].+|\\s*?(?=\\r?\\n|\\r)))+/m,\n lookbehind: true,\n inside: {\n 'filter-name': {\n pattern: /^:[\\w-]+/,\n alias: 'variable'\n },\n text: /\\S[\\s\\S]*/\n }\n },\n 'multiline-plain-text': {\n pattern:\n /(^([\\t ]*)[\\w\\-#.]+\\.[\\t ]*)(?:(?:\\r?\\n|\\r(?!\\n))(?:\\2[\\t ].+|\\s*?(?=\\r?\\n|\\r)))+/m,\n lookbehind: true\n },\n markup: {\n pattern: /(^[\\t ]*)<.+/m,\n lookbehind: true,\n inside: Prism.languages.markup\n },\n doctype: {\n pattern: /((?:^|\\n)[\\t ]*)doctype(?: .+)?/,\n lookbehind: true\n },\n // This handle all conditional and loop keywords\n 'flow-control': {\n pattern:\n /(^[\\t ]*)(?:case|default|each|else|if|unless|when|while)\\b(?: .+)?/m,\n lookbehind: true,\n inside: {\n each: {\n pattern: /^each .+? in\\b/,\n inside: {\n keyword: /\\b(?:each|in)\\b/,\n punctuation: /,/\n }\n },\n branch: {\n pattern: /^(?:case|default|else|if|unless|when|while)\\b/,\n alias: 'keyword'\n },\n rest: Prism.languages.javascript\n }\n },\n keyword: {\n pattern: /(^[\\t ]*)(?:append|block|extends|include|prepend)\\b.+/m,\n lookbehind: true\n },\n mixin: [\n // Declaration\n {\n pattern: /(^[\\t ]*)mixin .+/m,\n lookbehind: true,\n inside: {\n keyword: /^mixin/,\n function: /\\w+(?=\\s*\\(|\\s*$)/,\n punctuation: /[(),.]/\n }\n }, // Usage\n {\n pattern: /(^[\\t ]*)\\+.+/m,\n lookbehind: true,\n inside: {\n name: {\n pattern: /^\\+\\w+/,\n alias: 'function'\n },\n rest: Prism.languages.javascript\n }\n }\n ],\n script: {\n pattern: /(^[\\t ]*script(?:(?:&[^(]+)?\\([^)]+\\))*[\\t ]).+/m,\n lookbehind: true,\n inside: Prism.languages.javascript\n },\n 'plain-text': {\n pattern:\n /(^[\\t ]*(?!-)[\\w\\-#.]*[\\w\\-](?:(?:&[^(]+)?\\([^)]+\\))*\\/?[\\t ]).+/m,\n lookbehind: true\n },\n tag: {\n pattern: /(^[\\t ]*)(?!-)[\\w\\-#.]*[\\w\\-](?:(?:&[^(]+)?\\([^)]+\\))*\\/?:?/m,\n lookbehind: true,\n inside: {\n attributes: [\n {\n pattern: /&[^(]+\\([^)]+\\)/,\n inside: Prism.languages.javascript\n },\n {\n pattern: /\\([^)]+\\)/,\n inside: {\n 'attr-value': {\n pattern: /(=\\s*(?!\\s))(?:\\{[^}]*\\}|[^,)\\r\\n]+)/,\n lookbehind: true,\n inside: Prism.languages.javascript\n },\n 'attr-name': /[\\w-]+(?=\\s*!?=|\\s*[,)])/,\n punctuation: /[!=(),]+/\n }\n }\n ],\n punctuation: /:/,\n 'attr-id': /#[\\w\\-]+/,\n 'attr-class': /\\.[\\w\\-]+/\n }\n },\n code: [\n {\n pattern: /(^[\\t ]*(?:-|!?=)).+/m,\n lookbehind: true,\n inside: Prism.languages.javascript\n }\n ],\n punctuation: /[.\\-!=|]+/\n }\n var filter_pattern =\n /(^([\\t ]*)):<filter_name>(?:(?:\\r?\\n|\\r(?!\\n))(?:\\2[\\t ].+|\\s*?(?=\\r?\\n|\\r)))+/\n .source // Non exhaustive list of available filters and associated languages\n var filters = [\n {\n filter: 'atpl',\n language: 'twig'\n },\n {\n filter: 'coffee',\n language: 'coffeescript'\n },\n 'ejs',\n 'handlebars',\n 'less',\n 'livescript',\n 'markdown',\n {\n filter: 'sass',\n language: 'scss'\n },\n 'stylus'\n ]\n var all_filters = {}\n for (var i = 0, l = filters.length; i < l; i++) {\n var filter = filters[i]\n filter =\n typeof filter === 'string'\n ? {\n filter: filter,\n language: filter\n }\n : filter\n if (Prism.languages[filter.language]) {\n all_filters['filter-' + filter.filter] = {\n pattern: RegExp(\n filter_pattern.replace('<filter_name>', function () {\n return filter.filter\n }),\n 'm'\n ),\n lookbehind: true,\n inside: {\n 'filter-name': {\n pattern: /^:[\\w-]+/,\n alias: 'variable'\n },\n text: {\n pattern: /\\S[\\s\\S]*/,\n alias: [filter.language, 'language-' + filter.language],\n inside: Prism.languages[filter.language]\n }\n }\n }\n }\n }\n Prism.languages.insertBefore('pug', 'filter', all_filters)\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = puppet\npuppet.displayName = 'puppet'\npuppet.aliases = []\nfunction puppet(Prism) {\n ;(function (Prism) {\n Prism.languages.puppet = {\n heredoc: [\n // Matches the content of a quoted heredoc string (subject to interpolation)\n {\n pattern:\n /(@\\(\"([^\"\\r\\n\\/):]+)\"(?:\\/[nrts$uL]*)?\\).*(?:\\r?\\n|\\r))(?:.*(?:\\r?\\n|\\r(?!\\n)))*?[ \\t]*(?:\\|[ \\t]*)?(?:-[ \\t]*)?\\2/,\n lookbehind: true,\n alias: 'string',\n inside: {\n // Matches the end tag\n punctuation: /(?=\\S).*\\S(?= *$)/ // See interpolation below\n }\n }, // Matches the content of an unquoted heredoc string (no interpolation)\n {\n pattern:\n /(@\\(([^\"\\r\\n\\/):]+)(?:\\/[nrts$uL]*)?\\).*(?:\\r?\\n|\\r))(?:.*(?:\\r?\\n|\\r(?!\\n)))*?[ \\t]*(?:\\|[ \\t]*)?(?:-[ \\t]*)?\\2/,\n lookbehind: true,\n greedy: true,\n alias: 'string',\n inside: {\n // Matches the end tag\n punctuation: /(?=\\S).*\\S(?= *$)/\n }\n }, // Matches the start tag of heredoc strings\n {\n pattern: /@\\(\"?(?:[^\"\\r\\n\\/):]+)\"?(?:\\/[nrts$uL]*)?\\)/,\n alias: 'string',\n inside: {\n punctuation: {\n pattern: /(\\().+?(?=\\))/,\n lookbehind: true\n }\n }\n }\n ],\n 'multiline-comment': {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?\\*\\//,\n lookbehind: true,\n greedy: true,\n alias: 'comment'\n },\n regex: {\n // Must be prefixed with the keyword \"node\" or a non-word char\n pattern:\n /((?:\\bnode\\s+|[~=\\(\\[\\{,]\\s*|[=+]>\\s*|^\\s*))\\/(?:[^\\/\\\\]|\\\\[\\s\\S])+\\/(?:[imx]+\\b|\\B)/,\n lookbehind: true,\n greedy: true,\n inside: {\n // Extended regexes must have the x flag. They can contain single-line comments.\n 'extended-regex': {\n pattern: /^\\/(?:[^\\/\\\\]|\\\\[\\s\\S])+\\/[im]*x[im]*$/,\n inside: {\n comment: /#.*/\n }\n }\n }\n },\n comment: {\n pattern: /(^|[^\\\\])#.*/,\n lookbehind: true,\n greedy: true\n },\n string: {\n // Allow for one nested level of double quotes inside interpolation\n pattern:\n /([\"'])(?:\\$\\{(?:[^'\"}]|([\"'])(?:(?!\\2)[^\\\\]|\\\\[\\s\\S])*\\2)+\\}|\\$(?!\\{)|(?!\\1)[^\\\\$]|\\\\[\\s\\S])*\\1/,\n greedy: true,\n inside: {\n 'double-quoted': {\n pattern: /^\"[\\s\\S]*\"$/,\n inside: {\n // See interpolation below\n }\n }\n }\n },\n variable: {\n pattern: /\\$(?:::)?\\w+(?:::\\w+)*/,\n inside: {\n punctuation: /::/\n }\n },\n 'attr-name': /(?:\\b\\w+|\\*)(?=\\s*=>)/,\n function: [\n {\n pattern: /(\\.)(?!\\d)\\w+/,\n lookbehind: true\n },\n /\\b(?:contain|debug|err|fail|include|info|notice|realize|require|tag|warning)\\b|\\b(?!\\d)\\w+(?=\\()/\n ],\n number: /\\b(?:0x[a-f\\d]+|\\d+(?:\\.\\d+)?(?:e-?\\d+)?)\\b/i,\n boolean: /\\b(?:false|true)\\b/,\n // Includes words reserved for future use\n keyword:\n /\\b(?:application|attr|case|class|consumes|default|define|else|elsif|function|if|import|inherits|node|private|produces|type|undef|unless)\\b/,\n datatype: {\n pattern:\n /\\b(?:Any|Array|Boolean|Callable|Catalogentry|Class|Collection|Data|Default|Enum|Float|Hash|Integer|NotUndef|Numeric|Optional|Pattern|Regexp|Resource|Runtime|Scalar|String|Struct|Tuple|Type|Undef|Variant)\\b/,\n alias: 'symbol'\n },\n operator:\n /=[=~>]?|![=~]?|<(?:<\\|?|[=~|-])?|>[>=]?|->?|~>|\\|>?>?|[*\\/%+?]|\\b(?:and|in|or)\\b/,\n punctuation: /[\\[\\]{}().,;]|:+/\n }\n var interpolation = [\n {\n // Allow for one nested level of braces inside interpolation\n pattern:\n /(^|[^\\\\])\\$\\{(?:[^'\"{}]|\\{[^}]*\\}|([\"'])(?:(?!\\2)[^\\\\]|\\\\[\\s\\S])*\\2)+\\}/,\n lookbehind: true,\n inside: {\n 'short-variable': {\n // Negative look-ahead prevent wrong highlighting of functions\n pattern: /(^\\$\\{)(?!\\w+\\()(?:::)?\\w+(?:::\\w+)*/,\n lookbehind: true,\n alias: 'variable',\n inside: {\n punctuation: /::/\n }\n },\n delimiter: {\n pattern: /^\\$/,\n alias: 'variable'\n },\n rest: Prism.languages.puppet\n }\n },\n {\n pattern: /(^|[^\\\\])\\$(?:::)?\\w+(?:::\\w+)*/,\n lookbehind: true,\n alias: 'variable',\n inside: {\n punctuation: /::/\n }\n }\n ]\n Prism.languages.puppet['heredoc'][0].inside.interpolation = interpolation\n Prism.languages.puppet['string'].inside[\n 'double-quoted'\n ].inside.interpolation = interpolation\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = pure\npure.displayName = 'pure'\npure.aliases = []\nfunction pure(Prism) {\n ;(function (Prism) {\n // https://agraef.github.io/pure-docs/pure.html#lexical-matters\n Prism.languages.pure = {\n comment: [\n {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?\\*\\//,\n lookbehind: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true\n },\n /#!.+/\n ],\n 'inline-lang': {\n pattern: /%<[\\s\\S]+?%>/,\n greedy: true,\n inside: {\n lang: {\n pattern: /(^%< *)-\\*-.+?-\\*-/,\n lookbehind: true,\n alias: 'comment'\n },\n delimiter: {\n pattern: /^%<.*|%>$/,\n alias: 'punctuation'\n }\n }\n },\n string: {\n pattern: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n number: {\n // The look-behind prevents wrong highlighting of the .. operator\n pattern:\n /((?:\\.\\.)?)(?:\\b(?:inf|nan)\\b|\\b0x[\\da-f]+|(?:\\b(?:0b)?\\d+(?:\\.\\d+)?|\\B\\.\\d+)(?:e[+-]?\\d+)?L?)/i,\n lookbehind: true\n },\n keyword:\n /\\b(?:NULL|ans|break|bt|case|catch|cd|clear|const|def|del|dump|else|end|exit|extern|false|force|help|if|infix[lr]?|interface|let|ls|mem|namespace|nonfix|of|otherwise|outfix|override|postfix|prefix|private|public|pwd|quit|run|save|show|stats|then|throw|trace|true|type|underride|using|when|with)\\b/,\n function:\n /\\b(?:abs|add_(?:addr|constdef|(?:fundef|interface|macdef|typedef)(?:_at)?|vardef)|all|any|applp?|arity|bigintp?|blob(?:_crc|_size|p)?|boolp?|byte_c?string(?:_pointer)?|byte_(?:matrix|pointer)|calloc|cat|catmap|ceil|char[ps]?|check_ptrtag|chr|clear_sentry|clearsym|closurep?|cmatrixp?|cols?|colcat(?:map)?|colmap|colrev|colvector(?:p|seq)?|complex(?:_float_(?:matrix|pointer)|_matrix(?:_view)?|_pointer|p)?|conj|cookedp?|cst|cstring(?:_(?:dup|list|vector))?|curry3?|cyclen?|del_(?:constdef|fundef|interface|macdef|typedef|vardef)|delete|diag(?:mat)?|dim|dmatrixp?|do|double(?:_matrix(?:_view)?|_pointer|p)?|dowith3?|drop|dropwhile|eval(?:cmd)?|exactp|filter|fix|fixity|flip|float(?:_matrix|_pointer)|floor|fold[lr]1?|frac|free|funp?|functionp?|gcd|get(?:_(?:byte|constdef|double|float|fundef|int(?:64)?|interface(?:_typedef)?|long|macdef|pointer|ptrtag|sentry|short|string|typedef|vardef))?|globsym|hash|head|id|im|imatrixp?|index|inexactp|infp|init|insert|int(?:_matrix(?:_view)?|_pointer|p)?|int64_(?:matrix|pointer)|integerp?|iteraten?|iterwhile|join|keys?|lambdap?|last(?:err(?:pos)?)?|lcd|list[2p]?|listmap|make_ptrtag|malloc|map|matcat|matrixp?|max|member|min|nanp|nargs|nmatrixp?|null|numberp?|ord|pack(?:ed)?|pointer(?:_cast|_tag|_type|p)?|pow|pred|ptrtag|put(?:_(?:byte|double|float|int(?:64)?|long|pointer|short|string))?|rationalp?|re|realp?|realloc|recordp?|redim|reduce(?:_with)?|refp?|repeatn?|reverse|rlistp?|round|rows?|rowcat(?:map)?|rowmap|rowrev|rowvector(?:p|seq)?|same|scan[lr]1?|sentry|sgn|short_(?:matrix|pointer)|slice|smatrixp?|sort|split|str|strcat|stream|stride|string(?:_(?:dup|list|vector)|p)?|subdiag(?:mat)?|submat|subseq2?|substr|succ|supdiag(?:mat)?|symbolp?|tail|take|takewhile|thunkp?|transpose|trunc|tuplep?|typep|ubyte|uint(?:64)?|ulong|uncurry3?|unref|unzip3?|update|ushort|vals?|varp?|vector(?:p|seq)?|void|zip3?|zipwith3?)\\b/,\n special: {\n pattern: /\\b__[a-z]+__\\b/i,\n alias: 'builtin'\n },\n // Any combination of operator chars can be an operator\n // eslint-disable-next-line no-misleading-character-class\n operator:\n /(?:[!\"#$%&'*+,\\-.\\/:<=>?@\\\\^`|~\\u00a1-\\u00bf\\u00d7-\\u00f7\\u20d0-\\u2bff]|\\b_+\\b)+|\\b(?:and|div|mod|not|or)\\b/,\n // FIXME: How can we prevent | and , to be highlighted as operator when they are used alone?\n punctuation: /[(){}\\[\\];,|]/\n }\n var inlineLanguages = [\n 'c',\n {\n lang: 'c++',\n alias: 'cpp'\n },\n 'fortran'\n ]\n var inlineLanguageRe = /%< *-\\*- *<lang>\\d* *-\\*-[\\s\\S]+?%>/.source\n inlineLanguages.forEach(function (lang) {\n var alias = lang\n if (typeof lang !== 'string') {\n alias = lang.alias\n lang = lang.lang\n }\n if (Prism.languages[alias]) {\n var o = {}\n o['inline-lang-' + alias] = {\n pattern: RegExp(\n inlineLanguageRe.replace(\n '<lang>',\n lang.replace(/([.+*?\\/\\\\(){}\\[\\]])/g, '\\\\$1')\n ),\n 'i'\n ),\n inside: Prism.util.clone(Prism.languages.pure['inline-lang'].inside)\n }\n o['inline-lang-' + alias].inside.rest = Prism.util.clone(\n Prism.languages[alias]\n )\n Prism.languages.insertBefore('pure', 'inline-lang', o)\n }\n }) // C is the default inline language\n if (Prism.languages.c) {\n Prism.languages.pure['inline-lang'].inside.rest = Prism.util.clone(\n Prism.languages.c\n )\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = purebasic\npurebasic.displayName = 'purebasic'\npurebasic.aliases = []\nfunction purebasic(Prism) {\n /*\nOriginal Code by Bas Groothedde\n!!MANY THANKS!! I never would have made this, regex and me will never be best friends ;)\n==> https://codepen.io/ImagineProgramming/details/JYydBy/\nslightly changed to pass all tests\n*/\n // PureBasic support, steal stuff from ansi-c\n Prism.languages.purebasic = Prism.languages.extend('clike', {\n comment: /;.*/,\n keyword:\n /\\b(?:align|and|as|break|calldebugger|case|compilercase|compilerdefault|compilerelse|compilerelseif|compilerendif|compilerendselect|compilererror|compilerif|compilerselect|continue|data|datasection|debug|debuglevel|declare|declarec|declarecdll|declaredll|declaremodule|default|define|dim|disableasm|disabledebugger|disableexplicit|else|elseif|enableasm|enabledebugger|enableexplicit|end|enddatasection|enddeclaremodule|endenumeration|endif|endimport|endinterface|endmacro|endmodule|endprocedure|endselect|endstructure|endstructureunion|endwith|enumeration|extends|fakereturn|for|foreach|forever|global|gosub|goto|if|import|importc|includebinary|includefile|includepath|interface|macro|module|newlist|newmap|next|not|or|procedure|procedurec|procedurecdll|proceduredll|procedurereturn|protected|prototype|prototypec|read|redim|repeat|restore|return|runtime|select|shared|static|step|structure|structureunion|swap|threaded|to|until|wend|while|with|xincludefile|xor)\\b/i,\n function: /\\b\\w+(?:\\.\\w+)?\\s*(?=\\()/,\n number: /(?:\\$[\\da-f]+|\\b-?(?:\\d+(?:\\.\\d+)?|\\.\\d+)(?:e[+-]?\\d+)?)\\b/i,\n operator:\n /(?:@\\*?|\\?|\\*)\\w+|-[>-]?|\\+\\+?|!=?|<<?=?|>>?=?|==?|&&?|\\|?\\||[~^%?*/@]/\n })\n Prism.languages.insertBefore('purebasic', 'keyword', {\n tag: /#\\w+\\$?/,\n asm: {\n pattern: /(^[\\t ]*)!.*/m,\n lookbehind: true,\n alias: 'tag',\n inside: {\n comment: /;.*/,\n string: {\n pattern: /([\"'`])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n // Anonymous label references, i.e.: jmp @b\n 'label-reference-anonymous': {\n pattern: /(!\\s*j[a-z]+\\s+)@[fb]/i,\n lookbehind: true,\n alias: 'fasm-label'\n },\n // Named label reference, i.e.: jne label1\n 'label-reference-addressed': {\n pattern: /(!\\s*j[a-z]+\\s+)[A-Z._?$@][\\w.?$@~#]*/i,\n lookbehind: true,\n alias: 'fasm-label'\n },\n keyword: [\n /\\b(?:extern|global)\\b[^;\\r\\n]*/i,\n /\\b(?:CPU|DEFAULT|FLOAT)\\b.*/\n ],\n function: {\n pattern: /^([\\t ]*!\\s*)[\\da-z]+(?=\\s|$)/im,\n lookbehind: true\n },\n 'function-inline': {\n pattern: /(:\\s*)[\\da-z]+(?=\\s)/i,\n lookbehind: true,\n alias: 'function'\n },\n label: {\n pattern: /^([\\t ]*!\\s*)[A-Za-z._?$@][\\w.?$@~#]*(?=:)/m,\n lookbehind: true,\n alias: 'fasm-label'\n },\n register:\n /\\b(?:st\\d|[xyz]mm\\d\\d?|[cdt]r\\d|r\\d\\d?[bwd]?|[er]?[abcd]x|[abcd][hl]|[er]?(?:bp|di|si|sp)|[cdefgs]s|mm\\d+)\\b/i,\n number:\n /(?:\\b|-|(?=\\$))(?:0[hx](?:[\\da-f]*\\.)?[\\da-f]+(?:p[+-]?\\d+)?|\\d[\\da-f]+[hx]|\\$\\d[\\da-f]*|0[oq][0-7]+|[0-7]+[oq]|0[by][01]+|[01]+[by]|0[dt]\\d+|(?:\\d+(?:\\.\\d+)?|\\.\\d+)(?:\\.?e[+-]?\\d+)?[dt]?)\\b/i,\n operator: /[\\[\\]*+\\-/%<>=&|$!,.:]/\n }\n }\n })\n delete Prism.languages.purebasic['class-name']\n delete Prism.languages.purebasic['boolean']\n Prism.languages.pbfasm = Prism.languages['purebasic']\n}\n","'use strict'\nvar refractorHaskell = require('./haskell.js')\nmodule.exports = purescript\npurescript.displayName = 'purescript'\npurescript.aliases = ['purs']\nfunction purescript(Prism) {\n Prism.register(refractorHaskell)\n Prism.languages.purescript = Prism.languages.extend('haskell', {\n keyword:\n /\\b(?:ado|case|class|data|derive|do|else|forall|if|in|infixl|infixr|instance|let|module|newtype|of|primitive|then|type|where)\\b|∀/,\n 'import-statement': {\n // The imported or hidden names are not included in this import\n // statement. This is because we want to highlight those exactly like\n // we do for the names in the program.\n pattern:\n /(^[\\t ]*)import\\s+[A-Z][\\w']*(?:\\.[A-Z][\\w']*)*(?:\\s+as\\s+[A-Z][\\w']*(?:\\.[A-Z][\\w']*)*)?(?:\\s+hiding\\b)?/m,\n lookbehind: true,\n inside: {\n keyword: /\\b(?:as|hiding|import)\\b/,\n punctuation: /\\./\n }\n },\n // These are builtin functions only. Constructors are highlighted later as a constant.\n builtin:\n /\\b(?:absurd|add|ap|append|apply|between|bind|bottom|clamp|compare|comparing|compose|conj|const|degree|discard|disj|div|eq|flap|flip|gcd|identity|ifM|join|lcm|liftA1|liftM1|map|max|mempty|min|mod|mul|negate|not|notEq|one|otherwise|recip|show|sub|top|unit|unless|unlessM|void|when|whenM|zero)\\b/,\n operator: [\n // Infix operators\n Prism.languages.haskell.operator[0], // ASCII operators\n Prism.languages.haskell.operator[2], // All UTF16 Unicode operator symbols\n // This regex is equivalent to /(?=[\\x80-\\uFFFF])[\\p{gc=Math_Symbol}\\p{gc=Currency_Symbol}\\p{Modifier_Symbol}\\p{Other_Symbol}]/u\n // See https://github.com/PrismJS/prism/issues/3006 for more details.\n /[\\xa2-\\xa6\\xa8\\xa9\\xac\\xae-\\xb1\\xb4\\xb8\\xd7\\xf7\\u02c2-\\u02c5\\u02d2-\\u02df\\u02e5-\\u02eb\\u02ed\\u02ef-\\u02ff\\u0375\\u0384\\u0385\\u03f6\\u0482\\u058d-\\u058f\\u0606-\\u0608\\u060b\\u060e\\u060f\\u06de\\u06e9\\u06fd\\u06fe\\u07f6\\u07fe\\u07ff\\u09f2\\u09f3\\u09fa\\u09fb\\u0af1\\u0b70\\u0bf3-\\u0bfa\\u0c7f\\u0d4f\\u0d79\\u0e3f\\u0f01-\\u0f03\\u0f13\\u0f15-\\u0f17\\u0f1a-\\u0f1f\\u0f34\\u0f36\\u0f38\\u0fbe-\\u0fc5\\u0fc7-\\u0fcc\\u0fce\\u0fcf\\u0fd5-\\u0fd8\\u109e\\u109f\\u1390-\\u1399\\u166d\\u17db\\u1940\\u19de-\\u19ff\\u1b61-\\u1b6a\\u1b74-\\u1b7c\\u1fbd\\u1fbf-\\u1fc1\\u1fcd-\\u1fcf\\u1fdd-\\u1fdf\\u1fed-\\u1fef\\u1ffd\\u1ffe\\u2044\\u2052\\u207a-\\u207c\\u208a-\\u208c\\u20a0-\\u20bf\\u2100\\u2101\\u2103-\\u2106\\u2108\\u2109\\u2114\\u2116-\\u2118\\u211e-\\u2123\\u2125\\u2127\\u2129\\u212e\\u213a\\u213b\\u2140-\\u2144\\u214a-\\u214d\\u214f\\u218a\\u218b\\u2190-\\u2307\\u230c-\\u2328\\u232b-\\u2426\\u2440-\\u244a\\u249c-\\u24e9\\u2500-\\u2767\\u2794-\\u27c4\\u27c7-\\u27e5\\u27f0-\\u2982\\u2999-\\u29d7\\u29dc-\\u29fb\\u29fe-\\u2b73\\u2b76-\\u2b95\\u2b97-\\u2bff\\u2ce5-\\u2cea\\u2e50\\u2e51\\u2e80-\\u2e99\\u2e9b-\\u2ef3\\u2f00-\\u2fd5\\u2ff0-\\u2ffb\\u3004\\u3012\\u3013\\u3020\\u3036\\u3037\\u303e\\u303f\\u309b\\u309c\\u3190\\u3191\\u3196-\\u319f\\u31c0-\\u31e3\\u3200-\\u321e\\u322a-\\u3247\\u3250\\u3260-\\u327f\\u328a-\\u32b0\\u32c0-\\u33ff\\u4dc0-\\u4dff\\ua490-\\ua4c6\\ua700-\\ua716\\ua720\\ua721\\ua789\\ua78a\\ua828-\\ua82b\\ua836-\\ua839\\uaa77-\\uaa79\\uab5b\\uab6a\\uab6b\\ufb29\\ufbb2-\\ufbc1\\ufdfc\\ufdfd\\ufe62\\ufe64-\\ufe66\\ufe69\\uff04\\uff0b\\uff1c-\\uff1e\\uff3e\\uff40\\uff5c\\uff5e\\uffe0-\\uffe6\\uffe8-\\uffee\\ufffc\\ufffd]/\n ]\n })\n Prism.languages.purs = Prism.languages.purescript\n}\n","'use strict'\n\nmodule.exports = python\npython.displayName = 'python'\npython.aliases = ['py']\nfunction python(Prism) {\n Prism.languages.python = {\n comment: {\n pattern: /(^|[^\\\\])#.*/,\n lookbehind: true,\n greedy: true\n },\n 'string-interpolation': {\n pattern:\n /(?:f|fr|rf)(?:(\"\"\"|''')[\\s\\S]*?\\1|(\"|')(?:\\\\.|(?!\\2)[^\\\\\\r\\n])*\\2)/i,\n greedy: true,\n inside: {\n interpolation: {\n // \"{\" <expression> <optional \"!s\", \"!r\", or \"!a\"> <optional \":\" format specifier> \"}\"\n pattern:\n /((?:^|[^{])(?:\\{\\{)*)\\{(?!\\{)(?:[^{}]|\\{(?!\\{)(?:[^{}]|\\{(?!\\{)(?:[^{}])+\\})+\\})+\\}/,\n lookbehind: true,\n inside: {\n 'format-spec': {\n pattern: /(:)[^:(){}]+(?=\\}$)/,\n lookbehind: true\n },\n 'conversion-option': {\n pattern: //,\n alias: 'punctuation'\n },\n rest: null\n }\n },\n string: /[\\s\\S]+/\n }\n },\n 'triple-quoted-string': {\n pattern: /(?:[rub]|br|rb)?(\"\"\"|''')[\\s\\S]*?\\1/i,\n greedy: true,\n alias: 'string'\n },\n string: {\n pattern: /(?:[rub]|br|rb)?(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/i,\n greedy: true\n },\n function: {\n pattern: /((?:^|\\s)def[ \\t]+)[a-zA-Z_]\\w*(?=\\s*\\()/g,\n lookbehind: true\n },\n 'class-name': {\n pattern: /(\\bclass\\s+)\\w+/i,\n lookbehind: true\n },\n decorator: {\n pattern: /(^[\\t ]*)@\\w+(?:\\.\\w+)*/m,\n lookbehind: true,\n alias: ['annotation', 'punctuation'],\n inside: {\n punctuation: /\\./\n }\n },\n keyword:\n /\\b(?:_(?=\\s*:)|and|as|assert|async|await|break|case|class|continue|def|del|elif|else|except|exec|finally|for|from|global|if|import|in|is|lambda|match|nonlocal|not|or|pass|print|raise|return|try|while|with|yield)\\b/,\n builtin:\n /\\b(?:__import__|abs|all|any|apply|ascii|basestring|bin|bool|buffer|bytearray|bytes|callable|chr|classmethod|cmp|coerce|compile|complex|delattr|dict|dir|divmod|enumerate|eval|execfile|file|filter|float|format|frozenset|getattr|globals|hasattr|hash|help|hex|id|input|int|intern|isinstance|issubclass|iter|len|list|locals|long|map|max|memoryview|min|next|object|oct|open|ord|pow|property|range|raw_input|reduce|reload|repr|reversed|round|set|setattr|slice|sorted|staticmethod|str|sum|super|tuple|type|unichr|unicode|vars|xrange|zip)\\b/,\n boolean: /\\b(?:False|None|True)\\b/,\n number:\n /\\b0(?:b(?:_?[01])+|o(?:_?[0-7])+|x(?:_?[a-f0-9])+)\\b|(?:\\b\\d+(?:_\\d+)*(?:\\.(?:\\d+(?:_\\d+)*)?)?|\\B\\.\\d+(?:_\\d+)*)(?:e[+-]?\\d+(?:_\\d+)*)?j?(?!\\w)/i,\n operator: /[-+%=]=?|!=|:=|\\*\\*?=?|\\/\\/?=?|<[<=>]?|>[=>]?|[&|^~]/,\n punctuation: /[{}[\\];(),.:]/\n }\n Prism.languages.python['string-interpolation'].inside[\n 'interpolation'\n ].inside.rest = Prism.languages.python\n Prism.languages.py = Prism.languages.python\n}\n","'use strict'\n\nmodule.exports = q\nq.displayName = 'q'\nq.aliases = []\nfunction q(Prism) {\n Prism.languages.q = {\n string: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n comment: [\n // From http://code.kx.com/wiki/Reference/Slash:\n // When / is following a space (or a right parenthesis, bracket, or brace), it is ignored with the rest of the line.\n {\n pattern: /([\\t )\\]}])\\/.*/,\n lookbehind: true,\n greedy: true\n }, // From http://code.kx.com/wiki/Reference/Slash:\n // A line which has / as its first character and contains at least one other non-whitespace character is a whole-line comment and is ignored entirely.\n // A / on a line by itself begins a multiline comment which is terminated by the next \\ on a line by itself.\n // If a / is not matched by a \\, the multiline comment is unterminated and continues to end of file.\n // The / and \\ must be the first char on the line, but may be followed by any amount of whitespace.\n {\n pattern:\n /(^|\\r?\\n|\\r)\\/[\\t ]*(?:(?:\\r?\\n|\\r)(?:.*(?:\\r?\\n|\\r(?!\\n)))*?(?:\\\\(?=[\\t ]*(?:\\r?\\n|\\r))|$)|\\S.*)/,\n lookbehind: true,\n greedy: true\n }, // From http://code.kx.com/wiki/Reference/Slash:\n // A \\ on a line by itself with no preceding matching / will comment to end of file.\n {\n pattern: /^\\\\[\\t ]*(?:\\r?\\n|\\r)[\\s\\S]+/m,\n greedy: true\n },\n {\n pattern: /^#!.+/m,\n greedy: true\n }\n ],\n symbol: /`(?::\\S+|[\\w.]*)/,\n datetime: {\n pattern:\n /0N[mdzuvt]|0W[dtz]|\\d{4}\\.\\d\\d(?:m|\\.\\d\\d(?:T(?:\\d\\d(?::\\d\\d(?::\\d\\d(?:[.:]\\d\\d\\d)?)?)?)?)?[dz]?)|\\d\\d:\\d\\d(?::\\d\\d(?:[.:]\\d\\d\\d)?)?[uvt]?/,\n alias: 'number'\n },\n // The negative look-ahead prevents bad highlighting\n // of verbs 0: and 1:\n number:\n /\\b(?![01]:)(?:0N[hje]?|0W[hj]?|0[wn]|0x[\\da-fA-F]+|\\d+(?:\\.\\d*)?(?:e[+-]?\\d+)?[hjfeb]?)/,\n keyword:\n /\\\\\\w+\\b|\\b(?:abs|acos|aj0?|all|and|any|asc|asin|asof|atan|attr|avgs?|binr?|by|ceiling|cols|cor|cos|count|cov|cross|csv|cut|delete|deltas|desc|dev|differ|distinct|div|do|dsave|ej|enlist|eval|except|exec|exit|exp|fby|fills|first|fkeys|flip|floor|from|get|getenv|group|gtime|hclose|hcount|hdel|hopen|hsym|iasc|identity|idesc|if|ij|in|insert|inter|inv|keys?|last|like|list|ljf?|load|log|lower|lsq|ltime|ltrim|mavg|maxs?|mcount|md5|mdev|med|meta|mins?|mmax|mmin|mmu|mod|msum|neg|next|not|null|or|over|parse|peach|pj|plist|prds?|prev|prior|rand|rank|ratios|raze|read0|read1|reciprocal|reval|reverse|rload|rotate|rsave|rtrim|save|scan|scov|sdev|select|set|setenv|show|signum|sin|sqrt|ssr?|string|sublist|sums?|sv|svar|system|tables|tan|til|trim|txf|type|uj|ungroup|union|update|upper|upsert|value|var|views?|vs|wavg|where|while|within|wj1?|wsum|ww|xasc|xbar|xcols?|xdesc|xexp|xgroup|xkey|xlog|xprev|xrank)\\b/,\n adverb: {\n pattern: /['\\/\\\\]:?|\\beach\\b/,\n alias: 'function'\n },\n verb: {\n pattern: /(?:\\B\\.\\B|\\b[01]:|<[=>]?|>=?|[:+\\-*%,!?~=|$&#@^]):?|\\b_\\b:?/,\n alias: 'operator'\n },\n punctuation: /[(){}\\[\\];.]/\n }\n}\n","'use strict'\n\nmodule.exports = qml\nqml.displayName = 'qml'\nqml.aliases = []\nfunction qml(Prism) {\n ;(function (Prism) {\n var jsString = /\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|'(?:\\\\.|[^\\\\'\\r\\n])*'/.source\n var jsComment = /\\/\\/.*(?!.)|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\//.source\n var jsExpr =\n /(?:[^\\\\()[\\]{}\"'/]|<string>|\\/(?![*/])|<comment>|\\(<expr>*\\)|\\[<expr>*\\]|\\{<expr>*\\}|\\\\[\\s\\S])/.source\n .replace(/<string>/g, function () {\n return jsString\n })\n .replace(/<comment>/g, function () {\n return jsComment\n }) // the pattern will blow up, so only a few iterations\n for (var i = 0; i < 2; i++) {\n jsExpr = jsExpr.replace(/<expr>/g, function () {\n return jsExpr\n })\n }\n jsExpr = jsExpr.replace(/<expr>/g, '[^\\\\s\\\\S]')\n Prism.languages.qml = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n 'javascript-function': {\n pattern: RegExp(\n /((?:^|;)[ \\t]*)function\\s+(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*\\s*\\(<js>*\\)\\s*\\{<js>*\\}/.source.replace(\n /<js>/g,\n function () {\n return jsExpr\n }\n ),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'language-javascript',\n inside: Prism.languages.javascript\n },\n 'class-name': {\n pattern: /((?:^|[:;])[ \\t]*)(?!\\d)\\w+(?=[ \\t]*\\{|[ \\t]+on\\b)/m,\n lookbehind: true\n },\n property: [\n {\n pattern: /((?:^|[;{])[ \\t]*)(?!\\d)\\w+(?:\\.\\w+)*(?=[ \\t]*:)/m,\n lookbehind: true\n },\n {\n pattern:\n /((?:^|[;{])[ \\t]*)property[ \\t]+(?!\\d)\\w+(?:\\.\\w+)*[ \\t]+(?!\\d)\\w+(?:\\.\\w+)*(?=[ \\t]*:)/m,\n lookbehind: true,\n inside: {\n keyword: /^property/,\n property: /\\w+(?:\\.\\w+)*/\n }\n }\n ],\n 'javascript-expression': {\n pattern: RegExp(\n /(:[ \\t]*)(?![\\s;}[])(?:(?!$|[;}])<js>)+/.source.replace(\n /<js>/g,\n function () {\n return jsExpr\n }\n ),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'language-javascript',\n inside: Prism.languages.javascript\n },\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/,\n greedy: true\n },\n keyword: /\\b(?:as|import|on)\\b/,\n punctuation: /[{}[\\]:;,]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = qore\nqore.displayName = 'qore'\nqore.aliases = []\nfunction qore(Prism) {\n Prism.languages.qore = Prism.languages.extend('clike', {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|(?:\\/\\/|#).*)/,\n lookbehind: true\n },\n // Overridden to allow unescaped multi-line strings\n string: {\n pattern: /(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1/,\n greedy: true\n },\n keyword:\n /\\b(?:abstract|any|assert|binary|bool|boolean|break|byte|case|catch|char|class|code|const|continue|data|default|do|double|else|enum|extends|final|finally|float|for|goto|hash|if|implements|import|inherits|instanceof|int|interface|long|my|native|new|nothing|null|object|our|own|private|reference|rethrow|return|short|soft(?:bool|date|float|int|list|number|string)|static|strictfp|string|sub|super|switch|synchronized|this|throw|throws|transient|try|void|volatile|while)\\b/,\n boolean: /\\b(?:false|true)\\b/i,\n function: /\\$?\\b(?!\\d)\\w+(?=\\()/,\n number:\n /\\b(?:0b[01]+|0x(?:[\\da-f]*\\.)?[\\da-fp\\-]+|(?:\\d+(?:\\.\\d+)?|\\.\\d+)(?:e\\d+)?[df]|(?:\\d+(?:\\.\\d+)?|\\.\\d+))\\b/i,\n operator: {\n pattern:\n /(^|[^.])(?:\\+[+=]?|-[-=]?|[!=](?:==?|~)?|>>?=?|<(?:=>?|<=?)?|&[&=]?|\\|[|=]?|[*\\/%^]=?|[~?])/,\n lookbehind: true\n },\n variable: /\\$(?!\\d)\\w+\\b/\n })\n}\n","'use strict'\n\nmodule.exports = qsharp\nqsharp.displayName = 'qsharp'\nqsharp.aliases = ['qs']\nfunction qsharp(Prism) {\n ;(function (Prism) {\n /**\n * Replaces all placeholders \"<<n>>\" of given pattern with the n-th replacement (zero based).\n *\n * Note: This is a simple text based replacement. Be careful when using backreferences!\n *\n * @param {string} pattern the given pattern.\n * @param {string[]} replacements a list of replacement which can be inserted into the given pattern.\n * @returns {string} the pattern with all placeholders replaced with their corresponding replacements.\n * @example replace(/a<<0>>a/.source, [/b+/.source]) === /a(?:b+)a/.source\n */\n function replace(pattern, replacements) {\n return pattern.replace(/<<(\\d+)>>/g, function (m, index) {\n return '(?:' + replacements[+index] + ')'\n })\n }\n /**\n * @param {string} pattern\n * @param {string[]} replacements\n * @param {string} [flags]\n * @returns {RegExp}\n */\n function re(pattern, replacements, flags) {\n return RegExp(replace(pattern, replacements), flags || '')\n }\n /**\n * Creates a nested pattern where all occurrences of the string `<<self>>` are replaced with the pattern itself.\n *\n * @param {string} pattern\n * @param {number} depthLog2\n * @returns {string}\n */\n function nested(pattern, depthLog2) {\n for (var i = 0; i < depthLog2; i++) {\n pattern = pattern.replace(/<<self>>/g, function () {\n return '(?:' + pattern + ')'\n })\n }\n return pattern.replace(/<<self>>/g, '[^\\\\s\\\\S]')\n } // https://docs.microsoft.com/en-us/azure/quantum/user-guide/language/typesystem/\n // https://github.com/microsoft/qsharp-language/tree/main/Specifications/Language/5_Grammar\n var keywordKinds = {\n // keywords which represent a return or variable type\n type: 'Adj BigInt Bool Ctl Double false Int One Pauli PauliI PauliX PauliY PauliZ Qubit Range Result String true Unit Zero',\n // all other keywords\n other:\n 'Adjoint adjoint apply as auto body borrow borrowing Controlled controlled distribute elif else fail fixup for function if in internal intrinsic invert is let mutable namespace new newtype open operation repeat return self set until use using while within'\n } // keywords\n function keywordsToPattern(words) {\n return '\\\\b(?:' + words.trim().replace(/ /g, '|') + ')\\\\b'\n }\n var keywords = RegExp(\n keywordsToPattern(keywordKinds.type + ' ' + keywordKinds.other)\n ) // types\n var identifier = /\\b[A-Za-z_]\\w*\\b/.source\n var qualifiedName = replace(/<<0>>(?:\\s*\\.\\s*<<0>>)*/.source, [identifier])\n var typeInside = {\n keyword: keywords,\n punctuation: /[<>()?,.:[\\]]/\n } // strings\n var regularString = /\"(?:\\\\.|[^\\\\\"])*\"/.source\n Prism.languages.qsharp = Prism.languages.extend('clike', {\n comment: /\\/\\/.*/,\n string: [\n {\n pattern: re(/(^|[^$\\\\])<<0>>/.source, [regularString]),\n lookbehind: true,\n greedy: true\n }\n ],\n 'class-name': [\n {\n // open Microsoft.Quantum.Canon;\n // open Microsoft.Quantum.Canon as CN;\n pattern: re(/(\\b(?:as|open)\\s+)<<0>>(?=\\s*(?:;|as\\b))/.source, [\n qualifiedName\n ]),\n lookbehind: true,\n inside: typeInside\n },\n {\n // namespace Quantum.App1;\n pattern: re(/(\\bnamespace\\s+)<<0>>(?=\\s*\\{)/.source, [qualifiedName]),\n lookbehind: true,\n inside: typeInside\n }\n ],\n keyword: keywords,\n number:\n /(?:\\b0(?:x[\\da-f]+|b[01]+|o[0-7]+)|(?:\\B\\.\\d+|\\b\\d+(?:\\.\\d*)?)(?:e[-+]?\\d+)?)l?\\b/i,\n operator:\n /\\band=|\\bor=|\\band\\b|\\bnot\\b|\\bor\\b|<[-=]|[-=]>|>>>=?|<<<=?|\\^\\^\\^=?|\\|\\|\\|=?|&&&=?|w\\/=?|~~~|[*\\/+\\-^=!%]=?/,\n punctuation: /::|[{}[\\];(),.:]/\n })\n Prism.languages.insertBefore('qsharp', 'number', {\n range: {\n pattern: /\\.\\./,\n alias: 'operator'\n }\n }) // single line\n var interpolationExpr = nested(\n replace(/\\{(?:[^\"{}]|<<0>>|<<self>>)*\\}/.source, [regularString]),\n 2\n )\n Prism.languages.insertBefore('qsharp', 'string', {\n 'interpolation-string': {\n pattern: re(/\\$\"(?:\\\\.|<<0>>|[^\\\\\"{])*\"/.source, [interpolationExpr]),\n greedy: true,\n inside: {\n interpolation: {\n pattern: re(/((?:^|[^\\\\])(?:\\\\\\\\)*)<<0>>/.source, [\n interpolationExpr\n ]),\n lookbehind: true,\n inside: {\n punctuation: /^\\{|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n alias: 'language-qsharp',\n inside: Prism.languages.qsharp\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n })(Prism)\n Prism.languages.qs = Prism.languages.qsharp\n}\n","'use strict'\n\nmodule.exports = r\nr.displayName = 'r'\nr.aliases = []\nfunction r(Prism) {\n Prism.languages.r = {\n comment: /#.*/,\n string: {\n pattern: /(['\"])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n 'percent-operator': {\n // Includes user-defined operators\n // and %%, %*%, %/%, %in%, %o%, %x%\n pattern: /%[^%\\s]*%/,\n alias: 'operator'\n },\n boolean: /\\b(?:FALSE|TRUE)\\b/,\n ellipsis: /\\.\\.(?:\\.|\\d+)/,\n number: [\n /\\b(?:Inf|NaN)\\b/,\n /(?:\\b0x[\\dA-Fa-f]+(?:\\.\\d*)?|\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[EePp][+-]?\\d+)?[iL]?/\n ],\n keyword:\n /\\b(?:NA|NA_character_|NA_complex_|NA_integer_|NA_real_|NULL|break|else|for|function|if|in|next|repeat|while)\\b/,\n operator: /->?>?|<(?:=|<?-)?|[>=!]=?|::?|&&?|\\|\\|?|[+*\\/^$@~]/,\n punctuation: /[(){}\\[\\],;]/\n }\n}\n","'use strict'\nvar refractorScheme = require('./scheme.js')\nmodule.exports = racket\nracket.displayName = 'racket'\nracket.aliases = ['rkt']\nfunction racket(Prism) {\n Prism.register(refractorScheme)\n Prism.languages.racket = Prism.languages.extend('scheme', {\n 'lambda-parameter': {\n // the racket lambda syntax is a lot more complex, so we won't even attempt to capture it.\n // this will just prevent false positives of the `function` pattern\n pattern: /([(\\[]lambda\\s+[(\\[])[^()\\[\\]'\\s]+/,\n lookbehind: true\n }\n })\n Prism.languages.insertBefore('racket', 'string', {\n lang: {\n pattern: /^#lang.+/m,\n greedy: true,\n alias: 'keyword'\n }\n })\n Prism.languages.rkt = Prism.languages.racket\n}\n","'use strict'\n\nmodule.exports = reason\nreason.displayName = 'reason'\nreason.aliases = []\nfunction reason(Prism) {\n Prism.languages.reason = Prism.languages.extend('clike', {\n string: {\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\r\\n\"])*\"/,\n greedy: true\n },\n // 'class-name' must be matched *after* 'constructor' defined below\n 'class-name': /\\b[A-Z]\\w*/,\n keyword:\n /\\b(?:and|as|assert|begin|class|constraint|do|done|downto|else|end|exception|external|for|fun|function|functor|if|in|include|inherit|initializer|lazy|let|method|module|mutable|new|nonrec|object|of|open|or|private|rec|sig|struct|switch|then|to|try|type|val|virtual|when|while|with)\\b/,\n operator:\n /\\.{3}|:[:=]|\\|>|->|=(?:==?|>)?|<=?|>=?|[|^?'#!~`]|[+\\-*\\/]\\.?|\\b(?:asr|land|lor|lsl|lsr|lxor|mod)\\b/\n })\n Prism.languages.insertBefore('reason', 'class-name', {\n char: {\n pattern: /'(?:\\\\x[\\da-f]{2}|\\\\o[0-3][0-7][0-7]|\\\\\\d{3}|\\\\.|[^'\\\\\\r\\n])'/,\n greedy: true\n },\n // Negative look-ahead prevents from matching things like String.capitalize\n constructor: /\\b[A-Z]\\w*\\b(?!\\s*\\.)/,\n label: {\n pattern: /\\b[a-z]\\w*(?=::)/,\n alias: 'symbol'\n }\n }) // We can't match functions property, so let's not even try.\n delete Prism.languages.reason.function\n}\n","'use strict'\n\nmodule.exports = regex\nregex.displayName = 'regex'\nregex.aliases = []\nfunction regex(Prism) {\n ;(function (Prism) {\n var specialEscape = {\n pattern: /\\\\[\\\\(){}[\\]^$+*?|.]/,\n alias: 'escape'\n }\n var escape =\n /\\\\(?:x[\\da-fA-F]{2}|u[\\da-fA-F]{4}|u\\{[\\da-fA-F]+\\}|0[0-7]{0,2}|[123][0-7]{2}|c[a-zA-Z]|.)/\n var charSet = {\n pattern: /\\.|\\\\[wsd]|\\\\p\\{[^{}]+\\}/i,\n alias: 'class-name'\n }\n var charSetWithoutDot = {\n pattern: /\\\\[wsd]|\\\\p\\{[^{}]+\\}/i,\n alias: 'class-name'\n }\n var rangeChar = '(?:[^\\\\\\\\-]|' + escape.source + ')'\n var range = RegExp(rangeChar + '-' + rangeChar) // the name of a capturing group\n var groupName = {\n pattern: /(<|')[^<>']+(?=[>']$)/,\n lookbehind: true,\n alias: 'variable'\n }\n Prism.languages.regex = {\n 'char-class': {\n pattern: /((?:^|[^\\\\])(?:\\\\\\\\)*)\\[(?:[^\\\\\\]]|\\\\[\\s\\S])*\\]/,\n lookbehind: true,\n inside: {\n 'char-class-negation': {\n pattern: /(^\\[)\\^/,\n lookbehind: true,\n alias: 'operator'\n },\n 'char-class-punctuation': {\n pattern: /^\\[|\\]$/,\n alias: 'punctuation'\n },\n range: {\n pattern: range,\n inside: {\n escape: escape,\n 'range-punctuation': {\n pattern: /-/,\n alias: 'operator'\n }\n }\n },\n 'special-escape': specialEscape,\n 'char-set': charSetWithoutDot,\n escape: escape\n }\n },\n 'special-escape': specialEscape,\n 'char-set': charSet,\n backreference: [\n {\n // a backreference which is not an octal escape\n pattern: /\\\\(?![123][0-7]{2})[1-9]/,\n alias: 'keyword'\n },\n {\n pattern: /\\\\k<[^<>']+>/,\n alias: 'keyword',\n inside: {\n 'group-name': groupName\n }\n }\n ],\n anchor: {\n pattern: /[$^]|\\\\[ABbGZz]/,\n alias: 'function'\n },\n escape: escape,\n group: [\n {\n // https://docs.oracle.com/javase/10/docs/api/java/util/regex/Pattern.html\n // https://docs.microsoft.com/en-us/dotnet/standard/base-types/regular-expression-language-quick-reference?view=netframework-4.7.2#grouping-constructs\n // (), (?<name>), (?'name'), (?>), (?:), (?=), (?!), (?<=), (?<!), (?is-m), (?i-m:)\n pattern:\n /\\((?:\\?(?:<[^<>']+>|'[^<>']+'|[>:]|<?[=!]|[idmnsuxU]+(?:-[idmnsuxU]+)?:?))?/,\n alias: 'punctuation',\n inside: {\n 'group-name': groupName\n }\n },\n {\n pattern: /\\)/,\n alias: 'punctuation'\n }\n ],\n quantifier: {\n pattern: /(?:[+*?]|\\{\\d+(?:,\\d*)?\\})[?+]?/,\n alias: 'number'\n },\n alternation: {\n pattern: /\\|/,\n alias: 'keyword'\n }\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = rego\nrego.displayName = 'rego'\nrego.aliases = []\nfunction rego(Prism) {\n // https://www.openpolicyagent.org/docs/latest/policy-reference/\n Prism.languages.rego = {\n comment: /#.*/,\n property: {\n pattern:\n /(^|[^\\\\.])(?:\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|`[^`]*`|\\b[a-z_]\\w*\\b)(?=\\s*:(?!=))/i,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /(^|[^\\\\])\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|`[^`]*`/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /\\b(?:as|default|else|import|not|null|package|set(?=\\s*\\()|some|with)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n function: {\n pattern: /\\b[a-z_]\\w*\\b(?:\\s*\\.\\s*\\b[a-z_]\\w*\\b)*(?=\\s*\\()/i,\n inside: {\n namespace: /\\b\\w+\\b(?=\\s*\\.)/,\n punctuation: /\\./\n }\n },\n number: /-?\\b\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?\\b/i,\n operator: /[-+*/%|&]|[<>:=]=?|!=|\\b_\\b/,\n punctuation: /[,;.\\[\\]{}()]/\n }\n}\n","'use strict'\n\nmodule.exports = renpy\nrenpy.displayName = 'renpy'\nrenpy.aliases = ['rpy']\nfunction renpy(Prism) {\n Prism.languages.renpy = {\n comment: {\n pattern: /(^|[^\\\\])#.+/,\n lookbehind: true\n },\n string: {\n pattern:\n /(\"\"\"|''')[\\s\\S]+?\\1|(\"|')(?:\\\\.|(?!\\2)[^\\\\])*\\2|(?:^#?(?:(?:[0-9a-fA-F]){3}|[0-9a-fA-F]{6})$)/m,\n greedy: true\n },\n function: /\\b[a-z_]\\w*(?=\\()/i,\n property:\n /\\b(?:Update|UpdateVersion|action|activate_sound|adv_nvl_transition|after_load_transition|align|alpha|alt|anchor|antialias|area|auto|background|bar_invert|bar_resizing|bar_vertical|black_color|bold|bottom_bar|bottom_gutter|bottom_margin|bottom_padding|box_reverse|box_wrap|can_update|caret|child|color|crop|default_afm_enable|default_afm_time|default_fullscreen|default_text_cps|developer|directory_name|drag_handle|drag_joined|drag_name|drag_raise|draggable|dragged|drop_shadow|drop_shadow_color|droppable|dropped|easein|easeout|edgescroll|end_game_transition|end_splash_transition|enter_replay_transition|enter_sound|enter_transition|enter_yesno_transition|executable_name|exit_replay_transition|exit_sound|exit_transition|exit_yesno_transition|fadein|fadeout|first_indent|first_spacing|fit_first|focus|focus_mask|font|foreground|game_main_transition|get_installed_packages|google_play_key|google_play_salt|ground|has_music|has_sound|has_voice|height|help|hinting|hover|hover_background|hover_color|hover_sound|hovered|hyperlink_functions|idle|idle_color|image_style|include_update|insensitive|insensitive_background|insensitive_color|inside|intra_transition|italic|justify|kerning|keyboard_focus|language|layer_clipping|layers|layout|left_bar|left_gutter|left_margin|left_padding|length|line_leading|line_overlap_split|line_spacing|linear|main_game_transition|main_menu_music|maximum|min_width|minimum|minwidth|modal|mouse|mousewheel|name|narrator_menu|newline_indent|nvl_adv_transition|offset|order_reverse|outlines|overlay_functions|pos|position|prefix|radius|range|rest_indent|right_bar|right_gutter|right_margin|right_padding|rotate|rotate_pad|ruby_style|sample_sound|save_directory|say_attribute_transition|screen_height|screen_width|scrollbars|selected_hover|selected_hover_color|selected_idle|selected_idle_color|selected_insensitive|show_side_image|show_two_window|side_spacing|side_xpos|side_ypos|size|size_group|slow_cps|slow_cps_multiplier|spacing|strikethrough|subpixel|text_align|text_style|text_xpos|text_y_fudge|text_ypos|thumb|thumb_offset|thumb_shadow|thumbnail_height|thumbnail_width|time|top_bar|top_gutter|top_margin|top_padding|translations|underline|unscrollable|update|value|version|version_name|version_tuple|vertical|width|window_hide_transition|window_icon|window_left_padding|window_show_transition|window_title|windows_icon|xadjustment|xalign|xanchor|xanchoraround|xaround|xcenter|xfill|xinitial|xmargin|xmaximum|xminimum|xoffset|xofsset|xpadding|xpos|xsize|xzoom|yadjustment|yalign|yanchor|yanchoraround|yaround|ycenter|yfill|yinitial|ymargin|ymaximum|yminimum|yoffset|ypadding|ypos|ysize|ysizexysize|yzoom|zoom|zorder)\\b/,\n tag: /\\b(?:bar|block|button|buttoscreenn|drag|draggroup|fixed|frame|grid|[hv]box|hotbar|hotspot|image|imagebutton|imagemap|input|key|label|menu|mm_menu_frame|mousearea|nvl|parallel|screen|self|side|tag|text|textbutton|timer|vbar|viewport|window)\\b|\\$/,\n keyword:\n /\\b(?:None|add|adjustment|alignaround|allow|angle|animation|around|as|assert|behind|box_layout|break|build|cache|call|center|changed|child_size|choice|circles|class|clear|clicked|clipping|clockwise|config|contains|continue|corner1|corner2|counterclockwise|def|default|define|del|delay|disabled|disabled_text|dissolve|elif|else|event|except|exclude|exec|expression|fade|finally|for|from|function|global|gm_root|has|hide|id|if|import|in|init|is|jump|knot|lambda|left|less_rounded|mm_root|movie|music|null|on|onlayer|pass|pause|persistent|play|print|python|queue|raise|random|renpy|repeat|return|right|rounded_window|scene|scope|set|show|slow|slow_abortable|slow_done|sound|stop|store|style|style_group|substitute|suffix|theme|transform|transform_anchor|transpose|try|ui|unhovered|updater|use|voice|while|widget|widget_hover|widget_selected|widget_text|yield)\\b/,\n boolean: /\\b(?:[Ff]alse|[Tt]rue)\\b/,\n number:\n /(?:\\b(?:0[bo])?(?:(?:\\d|0x[\\da-f])[\\da-f]*(?:\\.\\d*)?)|\\B\\.\\d+)(?:e[+-]?\\d+)?j?/i,\n operator:\n /[-+%=]=?|!=|\\*\\*?=?|\\/\\/?=?|<[<=>]?|>[=>]?|[&|^~]|\\b(?:and|at|not|or|with)\\b/,\n punctuation: /[{}[\\];(),.:]/\n }\n Prism.languages.rpy = Prism.languages.renpy\n}\n","'use strict'\n\nmodule.exports = rest\nrest.displayName = 'rest'\nrest.aliases = []\nfunction rest(Prism) {\n Prism.languages.rest = {\n table: [\n {\n pattern:\n /(^[\\t ]*)(?:\\+[=-]+)+\\+(?:\\r?\\n|\\r)(?:\\1[+|].+[+|](?:\\r?\\n|\\r))+\\1(?:\\+[=-]+)+\\+/m,\n lookbehind: true,\n inside: {\n punctuation: /\\||(?:\\+[=-]+)+\\+/\n }\n },\n {\n pattern:\n /(^[\\t ]*)=+ [ =]*=(?:(?:\\r?\\n|\\r)\\1.+)+(?:\\r?\\n|\\r)\\1=+ [ =]*=(?=(?:\\r?\\n|\\r){2}|\\s*$)/m,\n lookbehind: true,\n inside: {\n punctuation: /[=-]+/\n }\n }\n ],\n // Directive-like patterns\n 'substitution-def': {\n pattern: /(^[\\t ]*\\.\\. )\\|(?:[^|\\s](?:[^|]*[^|\\s])?)\\| [^:]+::/m,\n lookbehind: true,\n inside: {\n substitution: {\n pattern: /^\\|(?:[^|\\s]|[^|\\s][^|]*[^|\\s])\\|/,\n alias: 'attr-value',\n inside: {\n punctuation: /^\\||\\|$/\n }\n },\n directive: {\n pattern: /( )(?! )[^:]+::/,\n lookbehind: true,\n alias: 'function',\n inside: {\n punctuation: /::$/\n }\n }\n }\n },\n 'link-target': [\n {\n pattern: /(^[\\t ]*\\.\\. )\\[[^\\]]+\\]/m,\n lookbehind: true,\n alias: 'string',\n inside: {\n punctuation: /^\\[|\\]$/\n }\n },\n {\n pattern: /(^[\\t ]*\\.\\. )_(?:`[^`]+`|(?:[^:\\\\]|\\\\.)+):/m,\n lookbehind: true,\n alias: 'string',\n inside: {\n punctuation: /^_|:$/\n }\n }\n ],\n directive: {\n pattern: /(^[\\t ]*\\.\\. )[^:]+::/m,\n lookbehind: true,\n alias: 'function',\n inside: {\n punctuation: /::$/\n }\n },\n comment: {\n // The two alternatives try to prevent highlighting of blank comments\n pattern:\n /(^[\\t ]*\\.\\.)(?:(?: .+)?(?:(?:\\r?\\n|\\r).+)+| .+)(?=(?:\\r?\\n|\\r){2}|$)/m,\n lookbehind: true\n },\n title: [\n // Overlined and underlined\n {\n pattern:\n /^(([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\2+)(?:\\r?\\n|\\r).+(?:\\r?\\n|\\r)\\1$/m,\n inside: {\n punctuation:\n /^[!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]+|[!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]+$/,\n important: /.+/\n }\n }, // Underlined only\n {\n pattern:\n /(^|(?:\\r?\\n|\\r){2}).+(?:\\r?\\n|\\r)([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\2+(?=\\r?\\n|\\r|$)/,\n lookbehind: true,\n inside: {\n punctuation: /[!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]+$/,\n important: /.+/\n }\n }\n ],\n hr: {\n pattern:\n /((?:\\r?\\n|\\r){2})([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\2{3,}(?=(?:\\r?\\n|\\r){2})/,\n lookbehind: true,\n alias: 'punctuation'\n },\n field: {\n pattern: /(^[\\t ]*):[^:\\r\\n]+:(?= )/m,\n lookbehind: true,\n alias: 'attr-name'\n },\n 'command-line-option': {\n pattern:\n /(^[\\t ]*)(?:[+-][a-z\\d]|(?:--|\\/)[a-z\\d-]+)(?:[ =](?:[a-z][\\w-]*|<[^<>]+>))?(?:, (?:[+-][a-z\\d]|(?:--|\\/)[a-z\\d-]+)(?:[ =](?:[a-z][\\w-]*|<[^<>]+>))?)*(?=(?:\\r?\\n|\\r)? {2,}\\S)/im,\n lookbehind: true,\n alias: 'symbol'\n },\n 'literal-block': {\n pattern: /::(?:\\r?\\n|\\r){2}([ \\t]+)(?![ \\t]).+(?:(?:\\r?\\n|\\r)\\1.+)*/,\n inside: {\n 'literal-block-punctuation': {\n pattern: /^::/,\n alias: 'punctuation'\n }\n }\n },\n 'quoted-literal-block': {\n pattern:\n /::(?:\\r?\\n|\\r){2}([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]).*(?:(?:\\r?\\n|\\r)\\1.*)*/,\n inside: {\n 'literal-block-punctuation': {\n pattern: /^(?:::|([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\1*)/m,\n alias: 'punctuation'\n }\n }\n },\n 'list-bullet': {\n pattern:\n /(^[\\t ]*)(?:[*+\\-•‣⁃]|\\(?(?:\\d+|[a-z]|[ivxdclm]+)\\)|(?:\\d+|[a-z]|[ivxdclm]+)\\.)(?= )/im,\n lookbehind: true,\n alias: 'punctuation'\n },\n 'doctest-block': {\n pattern: /(^[\\t ]*)>>> .+(?:(?:\\r?\\n|\\r).+)*/m,\n lookbehind: true,\n inside: {\n punctuation: /^>>>/\n }\n },\n inline: [\n {\n pattern:\n /(^|[\\s\\-:\\/'\"<(\\[{])(?::[^:]+:`.*?`|`.*?`:[^:]+:|(\\*\\*?|``?|\\|)(?!\\s)(?:(?!\\2).)*\\S\\2(?=[\\s\\-.,:;!?\\\\\\/'\")\\]}]|$))/m,\n lookbehind: true,\n inside: {\n bold: {\n pattern: /(^\\*\\*).+(?=\\*\\*$)/,\n lookbehind: true\n },\n italic: {\n pattern: /(^\\*).+(?=\\*$)/,\n lookbehind: true\n },\n 'inline-literal': {\n pattern: /(^``).+(?=``$)/,\n lookbehind: true,\n alias: 'symbol'\n },\n role: {\n pattern: /^:[^:]+:|:[^:]+:$/,\n alias: 'function',\n inside: {\n punctuation: /^:|:$/\n }\n },\n 'interpreted-text': {\n pattern: /(^`).+(?=`$)/,\n lookbehind: true,\n alias: 'attr-value'\n },\n substitution: {\n pattern: /(^\\|).+(?=\\|$)/,\n lookbehind: true,\n alias: 'attr-value'\n },\n punctuation: /\\*\\*?|``?|\\|/\n }\n }\n ],\n link: [\n {\n pattern: /\\[[^\\[\\]]+\\]_(?=[\\s\\-.,:;!?\\\\\\/'\")\\]}]|$)/,\n alias: 'string',\n inside: {\n punctuation: /^\\[|\\]_$/\n }\n },\n {\n pattern:\n /(?:\\b[a-z\\d]+(?:[_.:+][a-z\\d]+)*_?_|`[^`]+`_?_|_`[^`]+`)(?=[\\s\\-.,:;!?\\\\\\/'\")\\]}]|$)/i,\n alias: 'string',\n inside: {\n punctuation: /^_?`|`$|`?_?_$/\n }\n }\n ],\n // Line block start,\n // quote attribution,\n // explicit markup start,\n // and anonymous hyperlink target shortcut (__)\n punctuation: {\n pattern: /(^[\\t ]*)(?:\\|(?= |$)|(?:---?|—|\\.\\.|__)(?= )|\\.\\.$)/m,\n lookbehind: true\n }\n }\n}\n","'use strict'\n\nmodule.exports = rip\nrip.displayName = 'rip'\nrip.aliases = []\nfunction rip(Prism) {\n Prism.languages.rip = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n char: {\n pattern: /\\B`[^\\s`'\",.:;#\\/\\\\()<>\\[\\]{}]\\b/,\n greedy: true\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n regex: {\n pattern:\n /(^|[^/])\\/(?!\\/)(?:\\[[^\\n\\r\\]]*\\]|\\\\.|[^/\\\\\\r\\n\\[])+\\/(?=\\s*(?:$|[\\r\\n,.;})]))/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /(?:=>|->)|\\b(?:case|catch|class|else|exit|finally|if|raise|return|switch|try)\\b/,\n builtin: /@|\\bSystem\\b/,\n boolean: /\\b(?:false|true)\\b/,\n date: /\\b\\d{4}-\\d{2}-\\d{2}\\b/,\n time: /\\b\\d{2}:\\d{2}:\\d{2}\\b/,\n datetime: /\\b\\d{4}-\\d{2}-\\d{2}T\\d{2}:\\d{2}:\\d{2}\\b/,\n symbol: /:[^\\d\\s`'\",.:;#\\/\\\\()<>\\[\\]{}][^\\s`'\",.:;#\\/\\\\()<>\\[\\]{}]*/,\n number: /[+-]?\\b(?:\\d+\\.\\d+|\\d+)\\b/,\n punctuation: /(?:\\.{2,3})|[`,.:;=\\/\\\\()<>\\[\\]{}]/,\n reference: /[^\\d\\s`'\",.:;#\\/\\\\()<>\\[\\]{}][^\\s`'\",.:;#\\/\\\\()<>\\[\\]{}]*/\n }\n}\n","'use strict'\n\nmodule.exports = roboconf\nroboconf.displayName = 'roboconf'\nroboconf.aliases = []\nfunction roboconf(Prism) {\n Prism.languages.roboconf = {\n comment: /#.*/,\n keyword: {\n pattern:\n /(^|\\s)(?:(?:external|import)\\b|(?:facet|instance of)(?=[ \\t]+[\\w-]+[ \\t]*\\{))/,\n lookbehind: true\n },\n component: {\n pattern: /[\\w-]+(?=[ \\t]*\\{)/,\n alias: 'variable'\n },\n property: /[\\w.-]+(?=[ \\t]*:)/,\n value: {\n pattern: /(=[ \\t]*(?![ \\t]))[^,;]+/,\n lookbehind: true,\n alias: 'attr-value'\n },\n optional: {\n pattern: /\\(optional\\)/,\n alias: 'builtin'\n },\n wildcard: {\n pattern: /(\\.)\\*/,\n lookbehind: true,\n alias: 'operator'\n },\n punctuation: /[{},.;:=]/\n }\n}\n","'use strict'\n\nmodule.exports = robotframework\nrobotframework.displayName = 'robotframework'\nrobotframework.aliases = []\nfunction robotframework(Prism) {\n ;(function (Prism) {\n var comment = {\n pattern: /(^[ \\t]*| {2}|\\t)#.*/m,\n lookbehind: true,\n greedy: true\n }\n var variable = {\n pattern: /((?:^|[^\\\\])(?:\\\\{2})*)[$@&%]\\{(?:[^{}\\r\\n]|\\{[^{}\\r\\n]*\\})*\\}/,\n lookbehind: true,\n inside: {\n punctuation: /^[$@&%]\\{|\\}$/\n }\n }\n function createSection(name, inside) {\n var extendecInside = {}\n extendecInside['section-header'] = {\n pattern: /^ ?\\*{3}.+?\\*{3}/,\n alias: 'keyword'\n } // copy inside tokens\n for (var token in inside) {\n extendecInside[token] = inside[token]\n }\n extendecInside['tag'] = {\n pattern: /([\\r\\n](?: {2}|\\t)[ \\t]*)\\[[-\\w]+\\]/,\n lookbehind: true,\n inside: {\n punctuation: /\\[|\\]/\n }\n }\n extendecInside['variable'] = variable\n extendecInside['comment'] = comment\n return {\n pattern: RegExp(\n /^ ?\\*{3}[ \\t]*<name>[ \\t]*\\*{3}(?:.|[\\r\\n](?!\\*{3}))*/.source.replace(\n /<name>/g,\n function () {\n return name\n }\n ),\n 'im'\n ),\n alias: 'section',\n inside: extendecInside\n }\n }\n var docTag = {\n pattern:\n /(\\[Documentation\\](?: {2}|\\t)[ \\t]*)(?![ \\t]|#)(?:.|(?:\\r\\n?|\\n)[ \\t]*\\.{3})+/,\n lookbehind: true,\n alias: 'string'\n }\n var testNameLike = {\n pattern: /([\\r\\n] ?)(?!#)(?:\\S(?:[ \\t]\\S)*)+/,\n lookbehind: true,\n alias: 'function',\n inside: {\n variable: variable\n }\n }\n var testPropertyLike = {\n pattern: /([\\r\\n](?: {2}|\\t)[ \\t]*)(?!\\[|\\.{3}|#)(?:\\S(?:[ \\t]\\S)*)+/,\n lookbehind: true,\n inside: {\n variable: variable\n }\n }\n Prism.languages['robotframework'] = {\n settings: createSection('Settings', {\n documentation: {\n pattern:\n /([\\r\\n] ?Documentation(?: {2}|\\t)[ \\t]*)(?![ \\t]|#)(?:.|(?:\\r\\n?|\\n)[ \\t]*\\.{3})+/,\n lookbehind: true,\n alias: 'string'\n },\n property: {\n pattern: /([\\r\\n] ?)(?!\\.{3}|#)(?:\\S(?:[ \\t]\\S)*)+/,\n lookbehind: true\n }\n }),\n variables: createSection('Variables'),\n 'test-cases': createSection('Test Cases', {\n 'test-name': testNameLike,\n documentation: docTag,\n property: testPropertyLike\n }),\n keywords: createSection('Keywords', {\n 'keyword-name': testNameLike,\n documentation: docTag,\n property: testPropertyLike\n }),\n tasks: createSection('Tasks', {\n 'task-name': testNameLike,\n documentation: docTag,\n property: testPropertyLike\n }),\n comment: comment\n }\n Prism.languages.robot = Prism.languages['robotframework']\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = ruby\nruby.displayName = 'ruby'\nruby.aliases = ['rb']\nfunction ruby(Prism) {\n /**\n * Original by Samuel Flores\n *\n * Adds the following new token classes:\n * constant, builtin, variable, symbol, regex\n */\n ;(function (Prism) {\n Prism.languages.ruby = Prism.languages.extend('clike', {\n comment: {\n pattern: /#.*|^=begin\\s[\\s\\S]*?^=end/m,\n greedy: true\n },\n 'class-name': {\n pattern:\n /(\\b(?:class|module)\\s+|\\bcatch\\s+\\()[\\w.\\\\]+|\\b[A-Z_]\\w*(?=\\s*\\.\\s*new\\b)/,\n lookbehind: true,\n inside: {\n punctuation: /[.\\\\]/\n }\n },\n keyword:\n /\\b(?:BEGIN|END|alias|and|begin|break|case|class|def|define_method|defined|do|each|else|elsif|end|ensure|extend|for|if|in|include|module|new|next|nil|not|or|prepend|private|protected|public|raise|redo|require|rescue|retry|return|self|super|then|throw|undef|unless|until|when|while|yield)\\b/,\n operator:\n /\\.{2,3}|&\\.|===|<?=>|[!=]?~|(?:&&|\\|\\||<<|>>|\\*\\*|[+\\-*/%<>!^&|=])=?|[?:]/,\n punctuation: /[(){}[\\].,;]/\n })\n Prism.languages.insertBefore('ruby', 'operator', {\n 'double-colon': {\n pattern: /::/,\n alias: 'punctuation'\n }\n })\n var interpolation = {\n pattern: /((?:^|[^\\\\])(?:\\\\{2})*)#\\{(?:[^{}]|\\{[^{}]*\\})*\\}/,\n lookbehind: true,\n inside: {\n content: {\n pattern: /^(#\\{)[\\s\\S]+(?=\\}$)/,\n lookbehind: true,\n inside: Prism.languages.ruby\n },\n delimiter: {\n pattern: /^#\\{|\\}$/,\n alias: 'punctuation'\n }\n }\n }\n delete Prism.languages.ruby.function\n var percentExpression =\n '(?:' +\n [\n /([^a-zA-Z0-9\\s{(\\[<=])(?:(?!\\1)[^\\\\]|\\\\[\\s\\S])*\\1/.source,\n /\\((?:[^()\\\\]|\\\\[\\s\\S]|\\((?:[^()\\\\]|\\\\[\\s\\S])*\\))*\\)/.source,\n /\\{(?:[^{}\\\\]|\\\\[\\s\\S]|\\{(?:[^{}\\\\]|\\\\[\\s\\S])*\\})*\\}/.source,\n /\\[(?:[^\\[\\]\\\\]|\\\\[\\s\\S]|\\[(?:[^\\[\\]\\\\]|\\\\[\\s\\S])*\\])*\\]/.source,\n /<(?:[^<>\\\\]|\\\\[\\s\\S]|<(?:[^<>\\\\]|\\\\[\\s\\S])*>)*>/.source\n ].join('|') +\n ')'\n var symbolName =\n /(?:\"(?:\\\\.|[^\"\\\\\\r\\n])*\"|(?:\\b[a-zA-Z_]\\w*|[^\\s\\0-\\x7F]+)[?!]?|\\$.)/\n .source\n Prism.languages.insertBefore('ruby', 'keyword', {\n 'regex-literal': [\n {\n pattern: RegExp(\n /%r/.source + percentExpression + /[egimnosux]{0,6}/.source\n ),\n greedy: true,\n inside: {\n interpolation: interpolation,\n regex: /[\\s\\S]+/\n }\n },\n {\n pattern:\n /(^|[^/])\\/(?!\\/)(?:\\[[^\\r\\n\\]]+\\]|\\\\.|[^[/\\\\\\r\\n])+\\/[egimnosux]{0,6}(?=\\s*(?:$|[\\r\\n,.;})#]))/,\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: interpolation,\n regex: /[\\s\\S]+/\n }\n }\n ],\n variable: /[@$]+[a-zA-Z_]\\w*(?:[?!]|\\b)/,\n symbol: [\n {\n pattern: RegExp(/(^|[^:]):/.source + symbolName),\n lookbehind: true,\n greedy: true\n },\n {\n pattern: RegExp(\n /([\\r\\n{(,][ \\t]*)/.source + symbolName + /(?=:(?!:))/.source\n ),\n lookbehind: true,\n greedy: true\n }\n ],\n 'method-definition': {\n pattern: /(\\bdef\\s+)\\w+(?:\\s*\\.\\s*\\w+)?/,\n lookbehind: true,\n inside: {\n function: /\\b\\w+$/,\n keyword: /^self\\b/,\n 'class-name': /^\\w+/,\n punctuation: /\\./\n }\n }\n })\n Prism.languages.insertBefore('ruby', 'string', {\n 'string-literal': [\n {\n pattern: RegExp(/%[qQiIwWs]?/.source + percentExpression),\n greedy: true,\n inside: {\n interpolation: interpolation,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern:\n /(\"|')(?:#\\{[^}]+\\}|#(?!\\{)|\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\#\\r\\n])*\\1/,\n greedy: true,\n inside: {\n interpolation: interpolation,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern: /<<[-~]?([a-z_]\\w*)[\\r\\n](?:.*[\\r\\n])*?[\\t ]*\\1/i,\n alias: 'heredoc-string',\n greedy: true,\n inside: {\n delimiter: {\n pattern: /^<<[-~]?[a-z_]\\w*|\\b[a-z_]\\w*$/i,\n inside: {\n symbol: /\\b\\w+/,\n punctuation: /^<<[-~]?/\n }\n },\n interpolation: interpolation,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern: /<<[-~]?'([a-z_]\\w*)'[\\r\\n](?:.*[\\r\\n])*?[\\t ]*\\1/i,\n alias: 'heredoc-string',\n greedy: true,\n inside: {\n delimiter: {\n pattern: /^<<[-~]?'[a-z_]\\w*'|\\b[a-z_]\\w*$/i,\n inside: {\n symbol: /\\b\\w+/,\n punctuation: /^<<[-~]?'|'$/\n }\n },\n string: /[\\s\\S]+/\n }\n }\n ],\n 'command-literal': [\n {\n pattern: RegExp(/%x/.source + percentExpression),\n greedy: true,\n inside: {\n interpolation: interpolation,\n command: {\n pattern: /[\\s\\S]+/,\n alias: 'string'\n }\n }\n },\n {\n pattern: /`(?:#\\{[^}]+\\}|#(?!\\{)|\\\\(?:\\r\\n|[\\s\\S])|[^\\\\`#\\r\\n])*`/,\n greedy: true,\n inside: {\n interpolation: interpolation,\n command: {\n pattern: /[\\s\\S]+/,\n alias: 'string'\n }\n }\n }\n ]\n })\n delete Prism.languages.ruby.string\n Prism.languages.insertBefore('ruby', 'number', {\n builtin:\n /\\b(?:Array|Bignum|Binding|Class|Continuation|Dir|Exception|FalseClass|File|Fixnum|Float|Hash|IO|Integer|MatchData|Method|Module|NilClass|Numeric|Object|Proc|Range|Regexp|Stat|String|Struct|Symbol|TMS|Thread|ThreadGroup|Time|TrueClass)\\b/,\n constant: /\\b[A-Z][A-Z0-9_]*(?:[?!]|\\b)/\n })\n Prism.languages.rb = Prism.languages.ruby\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = rust\nrust.displayName = 'rust'\nrust.aliases = []\nfunction rust(Prism) {\n ;(function (Prism) {\n var multilineComment = /\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|<self>)*\\*\\//.source\n for (var i = 0; i < 2; i++) {\n // support 4 levels of nested comments\n multilineComment = multilineComment.replace(/<self>/g, function () {\n return multilineComment\n })\n }\n multilineComment = multilineComment.replace(/<self>/g, function () {\n return /[^\\s\\S]/.source\n })\n Prism.languages.rust = {\n comment: [\n {\n pattern: RegExp(/(^|[^\\\\])/.source + multilineComment),\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /b?\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"|b?r(#*)\"(?:[^\"]|\"(?!\\1))*\"\\1/,\n greedy: true\n },\n char: {\n pattern:\n /b?'(?:\\\\(?:x[0-7][\\da-fA-F]|u\\{(?:[\\da-fA-F]_*){1,6}\\}|.)|[^\\\\\\r\\n\\t'])'/,\n greedy: true\n },\n attribute: {\n pattern: /#!?\\[(?:[^\\[\\]\"]|\"(?:\\\\[\\s\\S]|[^\\\\\"])*\")*\\]/,\n greedy: true,\n alias: 'attr-name',\n inside: {\n string: null // see below\n }\n },\n // Closure params should not be confused with bitwise OR |\n 'closure-params': {\n pattern: /([=(,:]\\s*|\\bmove\\s*)\\|[^|]*\\||\\|[^|]*\\|(?=\\s*(?:\\{|->))/,\n lookbehind: true,\n greedy: true,\n inside: {\n 'closure-punctuation': {\n pattern: /^\\||\\|$/,\n alias: 'punctuation'\n },\n rest: null // see below\n }\n },\n 'lifetime-annotation': {\n pattern: /'\\w+/,\n alias: 'symbol'\n },\n 'fragment-specifier': {\n pattern: /(\\$\\w+:)[a-z]+/,\n lookbehind: true,\n alias: 'punctuation'\n },\n variable: /\\$\\w+/,\n 'function-definition': {\n pattern: /(\\bfn\\s+)\\w+/,\n lookbehind: true,\n alias: 'function'\n },\n 'type-definition': {\n pattern: /(\\b(?:enum|struct|trait|type|union)\\s+)\\w+/,\n lookbehind: true,\n alias: 'class-name'\n },\n 'module-declaration': [\n {\n pattern: /(\\b(?:crate|mod)\\s+)[a-z][a-z_\\d]*/,\n lookbehind: true,\n alias: 'namespace'\n },\n {\n pattern:\n /(\\b(?:crate|self|super)\\s*)::\\s*[a-z][a-z_\\d]*\\b(?:\\s*::(?:\\s*[a-z][a-z_\\d]*\\s*::)*)?/,\n lookbehind: true,\n alias: 'namespace',\n inside: {\n punctuation: /::/\n }\n }\n ],\n keyword: [\n // https://github.com/rust-lang/reference/blob/master/src/keywords.md\n /\\b(?:Self|abstract|as|async|await|become|box|break|const|continue|crate|do|dyn|else|enum|extern|final|fn|for|if|impl|in|let|loop|macro|match|mod|move|mut|override|priv|pub|ref|return|self|static|struct|super|trait|try|type|typeof|union|unsafe|unsized|use|virtual|where|while|yield)\\b/, // primitives and str\n // https://doc.rust-lang.org/stable/rust-by-example/primitives.html\n /\\b(?:bool|char|f(?:32|64)|[ui](?:8|16|32|64|128|size)|str)\\b/\n ],\n // functions can technically start with an upper-case letter, but this will introduce a lot of false positives\n // and Rust's naming conventions recommend snake_case anyway.\n // https://doc.rust-lang.org/1.0.0/style/style/naming/README.html\n function: /\\b[a-z_]\\w*(?=\\s*(?:::\\s*<|\\())/,\n macro: {\n pattern: /\\b\\w+!/,\n alias: 'property'\n },\n constant: /\\b[A-Z_][A-Z_\\d]+\\b/,\n 'class-name': /\\b[A-Z]\\w*\\b/,\n namespace: {\n pattern: /(?:\\b[a-z][a-z_\\d]*\\s*::\\s*)*\\b[a-z][a-z_\\d]*\\s*::(?!\\s*<)/,\n inside: {\n punctuation: /::/\n }\n },\n // Hex, oct, bin, dec numbers with visual separators and type suffix\n number:\n /\\b(?:0x[\\dA-Fa-f](?:_?[\\dA-Fa-f])*|0o[0-7](?:_?[0-7])*|0b[01](?:_?[01])*|(?:(?:\\d(?:_?\\d)*)?\\.)?\\d(?:_?\\d)*(?:[Ee][+-]?\\d+)?)(?:_?(?:f32|f64|[iu](?:8|16|32|64|size)?))?\\b/,\n boolean: /\\b(?:false|true)\\b/,\n punctuation: /->|\\.\\.=|\\.{1,3}|::|[{}[\\];(),:]/,\n operator: /[-+*\\/%!^]=?|=[=>]?|&[&=]?|\\|[|=]?|<<?=?|>>?=?|[@?]/\n }\n Prism.languages.rust['closure-params'].inside.rest = Prism.languages.rust\n Prism.languages.rust['attribute'].inside['string'] =\n Prism.languages.rust['string']\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = sas\nsas.displayName = 'sas'\nsas.aliases = []\nfunction sas(Prism) {\n ;(function (Prism) {\n var stringPattern = /(?:\"(?:\"\"|[^\"])*\"(?!\")|'(?:''|[^'])*'(?!'))/.source\n var number = /\\b(?:\\d[\\da-f]*x|\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?)\\b/i\n var numericConstant = {\n pattern: RegExp(stringPattern + '[bx]'),\n alias: 'number'\n }\n var macroVariable = {\n pattern: /&[a-z_]\\w*/i\n }\n var macroKeyword = {\n pattern:\n /((?:^|\\s|=|\\())%(?:ABORT|BY|CMS|COPY|DISPLAY|DO|ELSE|END|EVAL|GLOBAL|GO|GOTO|IF|INC|INCLUDE|INDEX|INPUT|KTRIM|LENGTH|LET|LIST|LOCAL|PUT|QKTRIM|QSCAN|QSUBSTR|QSYSFUNC|QUPCASE|RETURN|RUN|SCAN|SUBSTR|SUPERQ|SYMDEL|SYMEXIST|SYMGLOBL|SYMLOCAL|SYSCALL|SYSEVALF|SYSEXEC|SYSFUNC|SYSGET|SYSRPUT|THEN|TO|TSO|UNQUOTE|UNTIL|UPCASE|WHILE|WINDOW)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n }\n var step = {\n pattern: /(^|\\s)(?:proc\\s+\\w+|data(?!=)|quit|run)\\b/i,\n alias: 'keyword',\n lookbehind: true\n }\n var comment = [\n /\\/\\*[\\s\\S]*?\\*\\//,\n {\n pattern: /(^[ \\t]*|;\\s*)\\*[^;]*;/m,\n lookbehind: true\n }\n ]\n var string = {\n pattern: RegExp(stringPattern),\n greedy: true\n }\n var punctuation = /[$%@.(){}\\[\\];,\\\\]/\n var func = {\n pattern: /%?\\b\\w+(?=\\()/,\n alias: 'keyword'\n }\n var args = {\n function: func,\n 'arg-value': {\n pattern: /(=\\s*)[A-Z\\.]+/i,\n lookbehind: true\n },\n operator: /=/,\n 'macro-variable': macroVariable,\n arg: {\n pattern: /[A-Z]+/i,\n alias: 'keyword'\n },\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n var format = {\n pattern: /\\b(?:format|put)\\b=?[\\w'$.]+/i,\n inside: {\n keyword: /^(?:format|put)(?==)/i,\n equals: /=/,\n format: {\n pattern: /(?:\\w|\\$\\d)+\\.\\d?/,\n alias: 'number'\n }\n }\n }\n var altformat = {\n pattern: /\\b(?:format|put)\\s+[\\w']+(?:\\s+[$.\\w]+)+(?=;)/i,\n inside: {\n keyword: /^(?:format|put)/i,\n format: {\n pattern: /[\\w$]+\\.\\d?/,\n alias: 'number'\n }\n }\n }\n var globalStatements = {\n pattern:\n /((?:^|\\s)=?)(?:catname|checkpoint execute_always|dm|endsas|filename|footnote|%include|libname|%list|lock|missing|options|page|resetline|%run|sasfile|skip|sysecho|title\\d?)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n }\n var submitStatement = {\n pattern: /(^|\\s)(?:submit(?:\\s+(?:load|norun|parseonly))?|endsubmit)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n }\n var actionSets =\n /aStore|accessControl|aggregation|audio|autotune|bayesianNetClassifier|bioMedImage|boolRule|builtins|cardinality|cdm|clustering|conditionalRandomFields|configuration|copula|countreg|dataDiscovery|dataPreprocess|dataSciencePilot|dataStep|decisionTree|deduplication|deepLearn|deepNeural|deepRnn|ds2|ecm|entityRes|espCluster|explainModel|factmac|fastKnn|fcmpact|fedSql|freqTab|gVarCluster|gam|gleam|graphSemiSupLearn|hiddenMarkovModel|hyperGroup|ica|image|iml|kernalPca|langModel|ldaTopic|loadStreams|mbc|mixed|mlTools|modelPublishing|network|neuralNet|nmf|nonParametricBayes|nonlinear|optNetwork|optimization|panel|pca|percentile|phreg|pls|qkb|qlim|quantreg|recommend|regression|reinforcementLearn|robustPca|ruleMining|sampling|sandwich|sccasl|search(?:Analytics)?|sentimentAnalysis|sequence|session(?:Prop)?|severity|simSystem|simple|smartData|sparkEmbeddedProcess|sparseML|spatialreg|spc|stabilityMonitoring|svDataDescription|svm|table|text(?:Filters|Frequency|Mining|Parse|Rule(?:Develop|Score)|Topic|Util)|timeData|transpose|tsInfo|tsReconcile|uniTimeSeries|varReduce/\n .source\n var casActions = {\n pattern: RegExp(\n /(^|\\s)(?:action\\s+)?(?:<act>)\\.[a-z]+\\b[^;]+/.source.replace(\n /<act>/g,\n function () {\n return actionSets\n }\n ),\n 'i'\n ),\n lookbehind: true,\n inside: {\n keyword: RegExp(\n /(?:<act>)\\.[a-z]+\\b/.source.replace(/<act>/g, function () {\n return actionSets\n }),\n 'i'\n ),\n action: {\n pattern: /(?:action)/i,\n alias: 'keyword'\n },\n comment: comment,\n function: func,\n 'arg-value': args['arg-value'],\n operator: args.operator,\n argument: args.arg,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n }\n var keywords = {\n pattern:\n /((?:^|\\s)=?)(?:after|analysis|and|array|barchart|barwidth|begingraph|by|call|cas|cbarline|cfill|class(?:lev)?|close|column|computed?|contains|continue|data(?==)|define|delete|describe|document|do\\s+over|do|dol|drop|dul|else|end(?:comp|source)?|entryTitle|eval(?:uate)?|exec(?:ute)?|exit|file(?:name)?|fill(?:attrs)?|flist|fnc|function(?:list)?|global|goto|group(?:by)?|headline|headskip|histogram|if|infile|keep|keylabel|keyword|label|layout|leave|legendlabel|length|libname|loadactionset|merge|midpoints|_?null_|name|noobs|nowd|ods|options|or|otherwise|out(?:put)?|over(?:lay)?|plot|print|put|raise|ranexp|rannor|rbreak|retain|return|select|session|sessref|set|source|statgraph|sum|summarize|table|temp|terminate|then\\s+do|then|title\\d?|to|var|when|where|xaxisopts|y2axisopts|yaxisopts)\\b/i,\n lookbehind: true\n }\n Prism.languages.sas = {\n datalines: {\n pattern: /^([ \\t]*)(?:cards|(?:data)?lines);[\\s\\S]+?^[ \\t]*;/im,\n lookbehind: true,\n alias: 'string',\n inside: {\n keyword: {\n pattern: /^(?:cards|(?:data)?lines)/i\n },\n punctuation: /;/\n }\n },\n 'proc-sql': {\n pattern:\n /(^proc\\s+(?:fed)?sql(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|data|quit|run);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n sql: {\n pattern: RegExp(\n /^[ \\t]*(?:select|alter\\s+table|(?:create|describe|drop)\\s+(?:index|table(?:\\s+constraints)?|view)|create\\s+unique\\s+index|insert\\s+into|update)(?:<str>|[^;\"'])+;/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n alias: 'language-sql',\n inside: Prism.languages.sql\n },\n 'global-statements': globalStatements,\n 'sql-statements': {\n pattern:\n /(^|\\s)(?:disconnect\\s+from|begin|commit|exec(?:ute)?|reset|rollback|validate)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n },\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-groovy': {\n pattern:\n /(^proc\\s+groovy(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|data|quit|run);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n comment: comment,\n groovy: {\n pattern: RegExp(\n /(^[ \\t]*submit(?:\\s+(?:load|norun|parseonly))?)(?:<str>|[^\"'])+?(?=endsubmit;)/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n lookbehind: true,\n alias: 'language-groovy',\n inside: Prism.languages.groovy\n },\n keyword: keywords,\n 'submit-statement': submitStatement,\n 'global-statements': globalStatements,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-lua': {\n pattern:\n /(^proc\\s+lua(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|data|quit|run);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n comment: comment,\n lua: {\n pattern: RegExp(\n /(^[ \\t]*submit(?:\\s+(?:load|norun|parseonly))?)(?:<str>|[^\"'])+?(?=endsubmit;)/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n lookbehind: true,\n alias: 'language-lua',\n inside: Prism.languages.lua\n },\n keyword: keywords,\n 'submit-statement': submitStatement,\n 'global-statements': globalStatements,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-cas': {\n pattern:\n /(^proc\\s+cas(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|quit|data);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n comment: comment,\n 'statement-var': {\n pattern: /((?:^|\\s)=?)saveresult\\s[^;]+/im,\n lookbehind: true,\n inside: {\n statement: {\n pattern: /^saveresult\\s+\\S+/i,\n inside: {\n keyword: /^(?:saveresult)/i\n }\n },\n rest: args\n }\n },\n 'cas-actions': casActions,\n statement: {\n pattern:\n /((?:^|\\s)=?)(?:default|(?:un)?set|on|output|upload)[^;]+/im,\n lookbehind: true,\n inside: args\n },\n step: step,\n keyword: keywords,\n function: func,\n format: format,\n altformat: altformat,\n 'global-statements': globalStatements,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-args': {\n pattern: RegExp(\n /(^proc\\s+\\w+\\s+)(?!\\s)(?:[^;\"']|<str>)+;/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n lookbehind: true,\n inside: args\n },\n /*Special keywords within macros*/\n 'macro-keyword': macroKeyword,\n 'macro-variable': macroVariable,\n 'macro-string-functions': {\n pattern:\n /((?:^|\\s|=))%(?:BQUOTE|NRBQUOTE|NRQUOTE|NRSTR|QUOTE|STR)\\(.*?(?:[^%]\\))/i,\n lookbehind: true,\n inside: {\n function: {\n pattern: /%(?:BQUOTE|NRBQUOTE|NRQUOTE|NRSTR|QUOTE|STR)/i,\n alias: 'keyword'\n },\n 'macro-keyword': macroKeyword,\n 'macro-variable': macroVariable,\n 'escaped-char': {\n pattern: /%['\"()<>=¬^~;,#]/\n },\n punctuation: punctuation\n }\n },\n 'macro-declaration': {\n pattern: /^%macro[^;]+(?=;)/im,\n inside: {\n keyword: /%macro/i\n }\n },\n 'macro-end': {\n pattern: /^%mend[^;]+(?=;)/im,\n inside: {\n keyword: /%mend/i\n }\n },\n /*%_zscore(headcir, _lhc, _mhc, _shc, headcz, headcpct, _Fheadcz); */\n macro: {\n pattern: /%_\\w+(?=\\()/,\n alias: 'keyword'\n },\n input: {\n pattern: /\\binput\\s[-\\w\\s/*.$&]+;/i,\n inside: {\n input: {\n alias: 'keyword',\n pattern: /^input/i\n },\n comment: comment,\n number: number,\n 'numeric-constant': numericConstant\n }\n },\n 'options-args': {\n pattern: /(^options)[-'\"|/\\\\<>*+=:()\\w\\s]*(?=;)/im,\n lookbehind: true,\n inside: args\n },\n 'cas-actions': casActions,\n comment: comment,\n function: func,\n format: format,\n altformat: altformat,\n 'numeric-constant': numericConstant,\n datetime: {\n // '1jan2013'd, '9:25:19pm't, '18jan2003:9:27:05am'dt\n pattern: RegExp(stringPattern + '(?:dt?|t)'),\n alias: 'number'\n },\n string: string,\n step: step,\n keyword: keywords,\n // In SAS Studio syntax highlighting, these operators are styled like keywords\n 'operator-keyword': {\n pattern: /\\b(?:eq|ge|gt|in|le|lt|ne|not)\\b/i,\n alias: 'operator'\n },\n // Decimal (1.2e23), hexadecimal (0c1x)\n number: number,\n operator: /\\*\\*?|\\|\\|?|!!?|¦¦?|<[>=]?|>[<=]?|[-+\\/=&]|[~¬^]=?/,\n punctuation: punctuation\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = sass\nsass.displayName = 'sass'\nsass.aliases = []\nfunction sass(Prism) {\n ;(function (Prism) {\n Prism.languages.sass = Prism.languages.extend('css', {\n // Sass comments don't need to be closed, only indented\n comment: {\n pattern: /^([ \\t]*)\\/[\\/*].*(?:(?:\\r?\\n|\\r)\\1[ \\t].+)*/m,\n lookbehind: true,\n greedy: true\n }\n })\n Prism.languages.insertBefore('sass', 'atrule', {\n // We want to consume the whole line\n 'atrule-line': {\n // Includes support for = and + shortcuts\n pattern: /^(?:[ \\t]*)[@+=].+/m,\n greedy: true,\n inside: {\n atrule: /(?:@[\\w-]+|[+=])/\n }\n }\n })\n delete Prism.languages.sass.atrule\n var variable = /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n var operator = [\n /[+*\\/%]|[=!]=|<=?|>=?|\\b(?:and|not|or)\\b/,\n {\n pattern: /(\\s)-(?=\\s)/,\n lookbehind: true\n }\n ]\n Prism.languages.insertBefore('sass', 'property', {\n // We want to consume the whole line\n 'variable-line': {\n pattern: /^[ \\t]*\\$.+/m,\n greedy: true,\n inside: {\n punctuation: /:/,\n variable: variable,\n operator: operator\n }\n },\n // We want to consume the whole line\n 'property-line': {\n pattern: /^[ \\t]*(?:[^:\\s]+ *:.*|:[^:\\s].*)/m,\n greedy: true,\n inside: {\n property: [\n /[^:\\s]+(?=\\s*:)/,\n {\n pattern: /(:)[^:\\s]+/,\n lookbehind: true\n }\n ],\n punctuation: /:/,\n variable: variable,\n operator: operator,\n important: Prism.languages.sass.important\n }\n }\n })\n delete Prism.languages.sass.property\n delete Prism.languages.sass.important // Now that whole lines for other patterns are consumed,\n // what's left should be selectors\n Prism.languages.insertBefore('sass', 'punctuation', {\n selector: {\n pattern:\n /^([ \\t]*)\\S(?:,[^,\\r\\n]+|[^,\\r\\n]*)(?:,[^,\\r\\n]+)*(?:,(?:\\r?\\n|\\r)\\1[ \\t]+\\S(?:,[^,\\r\\n]+|[^,\\r\\n]*)(?:,[^,\\r\\n]+)*)*/m,\n lookbehind: true,\n greedy: true\n }\n })\n })(Prism)\n}\n","'use strict'\nvar refractorJava = require('./java.js')\nmodule.exports = scala\nscala.displayName = 'scala'\nscala.aliases = []\nfunction scala(Prism) {\n Prism.register(refractorJava)\n Prism.languages.scala = Prism.languages.extend('java', {\n 'triple-quoted-string': {\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n keyword:\n /<-|=>|\\b(?:abstract|case|catch|class|def|do|else|extends|final|finally|for|forSome|if|implicit|import|lazy|match|new|null|object|override|package|private|protected|return|sealed|self|super|this|throw|trait|try|type|val|var|while|with|yield)\\b/,\n number:\n /\\b0x(?:[\\da-f]*\\.)?[\\da-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e\\d+)?[dfl]?/i,\n builtin:\n /\\b(?:Any|AnyRef|AnyVal|Boolean|Byte|Char|Double|Float|Int|Long|Nothing|Short|String|Unit)\\b/,\n symbol: /'[^\\d\\s\\\\]\\w*/\n })\n Prism.languages.insertBefore('scala', 'triple-quoted-string', {\n 'string-interpolation': {\n pattern:\n /\\b[a-z]\\w*(?:\"\"\"(?:[^$]|\\$(?:[^{]|\\{(?:[^{}]|\\{[^{}]*\\})*\\}))*?\"\"\"|\"(?:[^$\"\\r\\n]|\\$(?:[^{]|\\{(?:[^{}]|\\{[^{}]*\\})*\\}))*\")/i,\n greedy: true,\n inside: {\n id: {\n pattern: /^\\w+/,\n greedy: true,\n alias: 'function'\n },\n escape: {\n pattern: /\\\\\\$\"|\\$[$\"]/,\n greedy: true,\n alias: 'symbol'\n },\n interpolation: {\n pattern: /\\$(?:\\w+|\\{(?:[^{}]|\\{[^{}]*\\})*\\})/,\n greedy: true,\n inside: {\n punctuation: /^\\$\\{?|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.scala\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n delete Prism.languages.scala['class-name']\n delete Prism.languages.scala['function']\n}\n","'use strict'\n\nmodule.exports = scheme\nscheme.displayName = 'scheme'\nscheme.aliases = []\nfunction scheme(Prism) {\n ;(function (Prism) {\n Prism.languages.scheme = {\n // this supports \"normal\" single-line comments:\n // ; comment\n // and (potentially nested) multiline comments:\n // #| comment #| nested |# still comment |#\n // (only 1 level of nesting is supported)\n comment:\n /;.*|#;\\s*(?:\\((?:[^()]|\\([^()]*\\))*\\)|\\[(?:[^\\[\\]]|\\[[^\\[\\]]*\\])*\\])|#\\|(?:[^#|]|#(?!\\|)|\\|(?!#)|#\\|(?:[^#|]|#(?!\\|)|\\|(?!#))*\\|#)*\\|#/,\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\.)*\"/,\n greedy: true\n },\n symbol: {\n pattern: /'[^()\\[\\]#'\\s]+/,\n greedy: true\n },\n char: {\n pattern:\n /#\\\\(?:[ux][a-fA-F\\d]+\\b|[-a-zA-Z]+\\b|[\\uD800-\\uDBFF][\\uDC00-\\uDFFF]|\\S)/,\n greedy: true\n },\n 'lambda-parameter': [\n // https://www.cs.cmu.edu/Groups/AI/html/r4rs/r4rs_6.html#SEC30\n {\n pattern:\n /((?:^|[^'`#])[(\\[]lambda\\s+)(?:[^|()\\[\\]'\\s]+|\\|(?:[^\\\\|]|\\\\.)*\\|)/,\n lookbehind: true\n },\n {\n pattern: /((?:^|[^'`#])[(\\[]lambda\\s+[(\\[])[^()\\[\\]']+/,\n lookbehind: true\n }\n ],\n keyword: {\n pattern:\n /((?:^|[^'`#])[(\\[])(?:begin|case(?:-lambda)?|cond(?:-expand)?|define(?:-library|-macro|-record-type|-syntax|-values)?|defmacro|delay(?:-force)?|do|else|except|export|guard|if|import|include(?:-ci|-library-declarations)?|lambda|let(?:rec)?(?:-syntax|-values|\\*)?|let\\*-values|only|parameterize|prefix|(?:quasi-?)?quote|rename|set!|syntax-(?:case|rules)|unless|unquote(?:-splicing)?|when)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n builtin: {\n // all functions of the base library of R7RS plus some of built-ins of R5Rs\n pattern:\n /((?:^|[^'`#])[(\\[])(?:abs|and|append|apply|assoc|ass[qv]|binary-port\\?|boolean=?\\?|bytevector(?:-append|-copy|-copy!|-length|-u8-ref|-u8-set!|\\?)?|caar|cadr|call-with-(?:current-continuation|port|values)|call\\/cc|car|cdar|cddr|cdr|ceiling|char(?:->integer|-ready\\?|\\?|<\\?|<=\\?|=\\?|>\\?|>=\\?)|close-(?:input-port|output-port|port)|complex\\?|cons|current-(?:error|input|output)-port|denominator|dynamic-wind|eof-object\\??|eq\\?|equal\\?|eqv\\?|error|error-object(?:-irritants|-message|\\?)|eval|even\\?|exact(?:-integer-sqrt|-integer\\?|\\?)?|expt|features|file-error\\?|floor(?:-quotient|-remainder|\\/)?|flush-output-port|for-each|gcd|get-output-(?:bytevector|string)|inexact\\??|input-port(?:-open\\?|\\?)|integer(?:->char|\\?)|lcm|length|list(?:->string|->vector|-copy|-ref|-set!|-tail|\\?)?|make-(?:bytevector|list|parameter|string|vector)|map|max|member|memq|memv|min|modulo|negative\\?|newline|not|null\\?|number(?:->string|\\?)|numerator|odd\\?|open-(?:input|output)-(?:bytevector|string)|or|output-port(?:-open\\?|\\?)|pair\\?|peek-char|peek-u8|port\\?|positive\\?|procedure\\?|quotient|raise|raise-continuable|rational\\?|rationalize|read-(?:bytevector|bytevector!|char|error\\?|line|string|u8)|real\\?|remainder|reverse|round|set-c[ad]r!|square|string(?:->list|->number|->symbol|->utf8|->vector|-append|-copy|-copy!|-fill!|-for-each|-length|-map|-ref|-set!|\\?|<\\?|<=\\?|=\\?|>\\?|>=\\?)?|substring|symbol(?:->string|\\?|=\\?)|syntax-error|textual-port\\?|truncate(?:-quotient|-remainder|\\/)?|u8-ready\\?|utf8->string|values|vector(?:->list|->string|-append|-copy|-copy!|-fill!|-for-each|-length|-map|-ref|-set!|\\?)?|with-exception-handler|write-(?:bytevector|char|string|u8)|zero\\?)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n operator: {\n pattern: /((?:^|[^'`#])[(\\[])(?:[-+*%/]|[<>]=?|=>?)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n number: {\n // The number pattern from [the R7RS spec](https://small.r7rs.org/attachment/r7rs.pdf).\n //\n // <number> := <num 2>|<num 8>|<num 10>|<num 16>\n // <num R> := <prefix R><complex R>\n // <complex R> := <real R>(?:@<real R>|<imaginary R>)?|<imaginary R>\n // <imaginary R> := [+-](?:<ureal R>|(?:inf|nan)\\.0)?i\n // <real R> := [+-]?<ureal R>|[+-](?:inf|nan)\\.0\n // <ureal R> := <uint R>(?:\\/<uint R>)?\n // | <decimal R>\n //\n // <decimal 10> := (?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?\n // <uint R> := <digit R>+\n // <prefix R> := <radix R>(?:#[ei])?|(?:#[ei])?<radix R>\n // <radix 2> := #b\n // <radix 8> := #o\n // <radix 10> := (?:#d)?\n // <radix 16> := #x\n // <digit 2> := [01]\n // <digit 8> := [0-7]\n // <digit 10> := \\d\n // <digit 16> := [0-9a-f]\n //\n // The problem with this grammar is that the resulting regex is way to complex, so we simplify by grouping all\n // non-decimal bases together. This results in a decimal (dec) and combined binary, octal, and hexadecimal (box)\n // pattern:\n pattern: RegExp(\n SortedBNF({\n '<ureal dec>':\n /\\d+(?:\\/\\d+)|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:[esfdl][+-]?\\d+)?/.source,\n '<real dec>': /[+-]?<ureal dec>|[+-](?:inf|nan)\\.0/.source,\n '<imaginary dec>': /[+-](?:<ureal dec>|(?:inf|nan)\\.0)?i/.source,\n '<complex dec>':\n /<real dec>(?:@<real dec>|<imaginary dec>)?|<imaginary dec>/\n .source,\n '<num dec>': /(?:#d(?:#[ei])?|#[ei](?:#d)?)?<complex dec>/.source,\n '<ureal box>': /[0-9a-f]+(?:\\/[0-9a-f]+)?/.source,\n '<real box>': /[+-]?<ureal box>|[+-](?:inf|nan)\\.0/.source,\n '<imaginary box>': /[+-](?:<ureal box>|(?:inf|nan)\\.0)?i/.source,\n '<complex box>':\n /<real box>(?:@<real box>|<imaginary box>)?|<imaginary box>/\n .source,\n '<num box>': /#[box](?:#[ei])?|(?:#[ei])?#[box]<complex box>/\n .source,\n '<number>': /(^|[()\\[\\]\\s])(?:<num dec>|<num box>)(?=[()\\[\\]\\s]|$)/\n .source\n }),\n 'i'\n ),\n lookbehind: true\n },\n boolean: {\n pattern: /(^|[()\\[\\]\\s])#(?:[ft]|false|true)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n function: {\n pattern:\n /((?:^|[^'`#])[(\\[])(?:[^|()\\[\\]'\\s]+|\\|(?:[^\\\\|]|\\\\.)*\\|)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n identifier: {\n pattern: /(^|[()\\[\\]\\s])\\|(?:[^\\\\|]|\\\\.)*\\|(?=[()\\[\\]\\s]|$)/,\n lookbehind: true,\n greedy: true\n },\n punctuation: /[()\\[\\]']/\n }\n /**\n * Given a topologically sorted BNF grammar, this will return the RegExp source of last rule of the grammar.\n *\n * @param {Record<string, string>} grammar\n * @returns {string}\n */\n function SortedBNF(grammar) {\n for (var key in grammar) {\n grammar[key] = grammar[key].replace(/<[\\w\\s]+>/g, function (key) {\n return '(?:' + grammar[key].trim() + ')'\n })\n } // return the last item\n return grammar[key]\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = scss\nscss.displayName = 'scss'\nscss.aliases = []\nfunction scss(Prism) {\n Prism.languages.scss = Prism.languages.extend('css', {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*)/,\n lookbehind: true\n },\n atrule: {\n pattern: /@[\\w-](?:\\([^()]+\\)|[^()\\s]|\\s+(?!\\s))*?(?=\\s+[{;])/,\n inside: {\n rule: /@[\\w-]+/ // See rest below\n }\n },\n // url, compassified\n url: /(?:[-a-z]+-)?url(?=\\()/i,\n // CSS selector regex is not appropriate for Sass\n // since there can be lot more things (var, @ directive, nesting..)\n // a selector must start at the end of a property or after a brace (end of other rules or nesting)\n // it can contain some characters that aren't used for defining rules or end of selector, & (parent selector), or interpolated variable\n // the end of a selector is found when there is no rules in it ( {} or {\\s}) or if there is a property (because an interpolated var\n // can \"pass\" as a selector- e.g: proper#{$erty})\n // this one was hard to do, so please be careful if you edit this one :)\n selector: {\n // Initial look-ahead is used to prevent matching of blank selectors\n pattern:\n /(?=\\S)[^@;{}()]?(?:[^@;{}()\\s]|\\s+(?!\\s)|#\\{\\$[-\\w]+\\})+(?=\\s*\\{(?:\\}|\\s|[^}][^:{}]*[:{][^}]))/,\n inside: {\n parent: {\n pattern: /&/,\n alias: 'important'\n },\n placeholder: /%[-\\w]+/,\n variable: /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n }\n },\n property: {\n pattern: /(?:[-\\w]|\\$[-\\w]|#\\{\\$[-\\w]+\\})+(?=\\s*:)/,\n inside: {\n variable: /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n }\n }\n })\n Prism.languages.insertBefore('scss', 'atrule', {\n keyword: [\n /@(?:content|debug|each|else(?: if)?|extend|for|forward|function|if|import|include|mixin|return|use|warn|while)\\b/i,\n {\n pattern: /( )(?:from|through)(?= )/,\n lookbehind: true\n }\n ]\n })\n Prism.languages.insertBefore('scss', 'important', {\n // var and interpolated vars\n variable: /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n })\n Prism.languages.insertBefore('scss', 'function', {\n 'module-modifier': {\n pattern: /\\b(?:as|hide|show|with)\\b/i,\n alias: 'keyword'\n },\n placeholder: {\n pattern: /%[-\\w]+/,\n alias: 'selector'\n },\n statement: {\n pattern: /\\B!(?:default|optional)\\b/i,\n alias: 'keyword'\n },\n boolean: /\\b(?:false|true)\\b/,\n null: {\n pattern: /\\bnull\\b/,\n alias: 'keyword'\n },\n operator: {\n pattern: /(\\s)(?:[-+*\\/%]|[=!]=|<=?|>=?|and|not|or)(?=\\s)/,\n lookbehind: true\n }\n })\n Prism.languages.scss['atrule'].inside.rest = Prism.languages.scss\n}\n","'use strict'\nvar refractorBash = require('./bash.js')\nmodule.exports = shellSession\nshellSession.displayName = 'shellSession'\nshellSession.aliases = []\nfunction shellSession(Prism) {\n Prism.register(refractorBash)\n ;(function (Prism) {\n // CAREFUL!\n // The following patterns are concatenated, so the group referenced by a back reference is non-obvious!\n var strings = [\n // normal string\n /\"(?:\\\\[\\s\\S]|\\$\\([^)]+\\)|\\$(?!\\()|`[^`]+`|[^\"\\\\`$])*\"/.source,\n /'[^']*'/.source,\n /\\$'(?:[^'\\\\]|\\\\[\\s\\S])*'/.source, // here doc\n // 2 capturing groups\n /<<-?\\s*([\"']?)(\\w+)\\1\\s[\\s\\S]*?[\\r\\n]\\2/.source\n ].join('|')\n Prism.languages['shell-session'] = {\n command: {\n pattern: RegExp(\n // user info\n /^/.source +\n '(?:' + // <user> \":\" ( <path> )?\n (/[^\\s@:$#%*!/\\\\]+@[^\\r\\n@:$#%*!/\\\\]+(?::[^\\0-\\x1F$#%*?\"<>:;|]+)?/\n .source +\n '|' + // <path>\n // Since the path pattern is quite general, we will require it to start with a special character to\n // prevent false positives.\n /[/~.][^\\0-\\x1F$#%*?\"<>@:;|]*/.source) +\n ')?' + // shell symbol\n /[$#%](?=\\s)/.source + // bash command\n /(?:[^\\\\\\r\\n \\t'\"<$]|[ \\t](?:(?!#)|#.*$)|\\\\(?:[^\\r]|\\r\\n?)|\\$(?!')|<(?!<)|<<str>>)+/.source.replace(\n /<<str>>/g,\n function () {\n return strings\n }\n ),\n 'm'\n ),\n greedy: true,\n inside: {\n info: {\n // foo@bar:~/files$ exit\n // foo@bar$ exit\n // ~/files$ exit\n pattern: /^[^#$%]+/,\n alias: 'punctuation',\n inside: {\n user: /^[^\\s@:$#%*!/\\\\]+@[^\\r\\n@:$#%*!/\\\\]+/,\n punctuation: /:/,\n path: /[\\s\\S]+/\n }\n },\n bash: {\n pattern: /(^[$#%]\\s*)\\S[\\s\\S]*/,\n lookbehind: true,\n alias: 'language-bash',\n inside: Prism.languages.bash\n },\n 'shell-symbol': {\n pattern: /^[$#%]/,\n alias: 'important'\n }\n }\n },\n output: /.(?:.*(?:[\\r\\n]|.$))*/\n }\n Prism.languages['sh-session'] = Prism.languages['shellsession'] =\n Prism.languages['shell-session']\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = smali\nsmali.displayName = 'smali'\nsmali.aliases = []\nfunction smali(Prism) {\n // Test files for the parser itself:\n // https://github.com/JesusFreke/smali/tree/master/smali/src/test/resources/LexerTest\n Prism.languages.smali = {\n comment: /#.*/,\n string: {\n pattern: /\"(?:[^\\r\\n\\\\\"]|\\\\.)*\"|'(?:[^\\r\\n\\\\']|\\\\(?:.|u[\\da-fA-F]{4}))'/,\n greedy: true\n },\n 'class-name': {\n pattern:\n /(^|[^L])L(?:(?:\\w+|`[^`\\r\\n]*`)\\/)*(?:[\\w$]+|`[^`\\r\\n]*`)(?=\\s*;)/,\n lookbehind: true,\n inside: {\n 'class-name': {\n pattern: /(^L|\\/)(?:[\\w$]+|`[^`\\r\\n]*`)$/,\n lookbehind: true\n },\n namespace: {\n pattern: /^(L)(?:(?:\\w+|`[^`\\r\\n]*`)\\/)+/,\n lookbehind: true,\n inside: {\n punctuation: /\\//\n }\n },\n builtin: /^L/\n }\n },\n builtin: [\n {\n // Reference: https://github.com/JesusFreke/smali/wiki/TypesMethodsAndFields#types\n pattern: /([();\\[])[BCDFIJSVZ]+/,\n lookbehind: true\n },\n {\n // e.g. .field mWifiOnUid:I\n pattern: /([\\w$>]:)[BCDFIJSVZ]/,\n lookbehind: true\n }\n ],\n keyword: [\n {\n pattern: /(\\.end\\s+)[\\w-]+/,\n lookbehind: true\n },\n {\n pattern: /(^|[^\\w.-])\\.(?!\\d)[\\w-]+/,\n lookbehind: true\n },\n {\n pattern:\n /(^|[^\\w.-])(?:abstract|annotation|bridge|constructor|enum|final|interface|private|protected|public|runtime|static|synthetic|system|transient)(?![\\w.-])/,\n lookbehind: true\n }\n ],\n function: {\n pattern: /(^|[^\\w.-])(?:\\w+|<[\\w$-]+>)(?=\\()/,\n lookbehind: true\n },\n field: {\n pattern: /[\\w$]+(?=:)/,\n alias: 'variable'\n },\n register: {\n pattern: /(^|[^\\w.-])[vp]\\d(?![\\w.-])/,\n lookbehind: true,\n alias: 'variable'\n },\n boolean: {\n pattern: /(^|[^\\w.-])(?:false|true)(?![\\w.-])/,\n lookbehind: true\n },\n number: {\n pattern:\n /(^|[^/\\w.-])-?(?:NAN|INFINITY|0x(?:[\\dA-F]+(?:\\.[\\dA-F]*)?|\\.[\\dA-F]+)(?:p[+-]?[\\dA-F]+)?|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?)[dflst]?(?![\\w.-])/i,\n lookbehind: true\n },\n label: {\n pattern: /(:)\\w+/,\n lookbehind: true,\n alias: 'property'\n },\n operator: /->|\\.\\.|[\\[=]/,\n punctuation: /[{}(),;:]/\n }\n}\n","'use strict'\n\nmodule.exports = smalltalk\nsmalltalk.displayName = 'smalltalk'\nsmalltalk.aliases = []\nfunction smalltalk(Prism) {\n Prism.languages.smalltalk = {\n comment: {\n pattern: /\"(?:\"\"|[^\"])*\"/,\n greedy: true\n },\n char: {\n pattern: /\\$./,\n greedy: true\n },\n string: {\n pattern: /'(?:''|[^'])*'/,\n greedy: true\n },\n symbol: /#[\\da-z]+|#(?:-|([+\\/\\\\*~<>=@%|&?!])\\1?)|#(?=\\()/i,\n 'block-arguments': {\n pattern: /(\\[\\s*):[^\\[|]*\\|/,\n lookbehind: true,\n inside: {\n variable: /:[\\da-z]+/i,\n punctuation: /\\|/\n }\n },\n 'temporary-variables': {\n pattern: /\\|[^|]+\\|/,\n inside: {\n variable: /[\\da-z]+/i,\n punctuation: /\\|/\n }\n },\n keyword: /\\b(?:new|nil|self|super)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number: [\n /\\d+r-?[\\dA-Z]+(?:\\.[\\dA-Z]+)?(?:e-?\\d+)?/,\n /\\b\\d+(?:\\.\\d+)?(?:e-?\\d+)?/\n ],\n operator: /[<=]=?|:=|~[~=]|\\/\\/?|\\\\\\\\|>[>=]?|[!^+\\-*&|,@]/,\n punctuation: /[.;:?\\[\\](){}]/\n }\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = smarty\nsmarty.displayName = 'smarty'\nsmarty.aliases = []\nfunction smarty(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.smarty = {\n comment: {\n pattern: /^\\{\\*[\\s\\S]*?\\*\\}/,\n greedy: true\n },\n 'embedded-php': {\n pattern: /^\\{php\\}[\\s\\S]*?\\{\\/php\\}/,\n greedy: true,\n inside: {\n smarty: {\n pattern: /^\\{php\\}|\\{\\/php\\}$/,\n inside: null // see below\n },\n php: {\n pattern: /[\\s\\S]+/,\n alias: 'language-php',\n inside: Prism.languages.php\n }\n }\n },\n string: [\n {\n pattern: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\{[^{}]*\\}|`[^`]*`/,\n inside: {\n 'interpolation-punctuation': {\n pattern: /^[{`]|[`}]$/,\n alias: 'punctuation'\n },\n expression: {\n pattern: /[\\s\\S]+/,\n inside: null // see below\n }\n }\n },\n variable: /\\$\\w+/\n }\n },\n {\n pattern: /'(?:\\\\.|[^'\\\\\\r\\n])*'/,\n greedy: true\n }\n ],\n keyword: {\n pattern: /(^\\{\\/?)[a-z_]\\w*\\b(?!\\()/i,\n lookbehind: true,\n greedy: true\n },\n delimiter: {\n pattern: /^\\{\\/?|\\}$/,\n greedy: true,\n alias: 'punctuation'\n },\n number: /\\b0x[\\dA-Fa-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee][-+]?\\d+)?/,\n variable: [\n /\\$(?!\\d)\\w+/,\n /#(?!\\d)\\w+#/,\n {\n pattern: /(\\.|->|\\w\\s*=)(?!\\d)\\w+\\b(?!\\()/,\n lookbehind: true\n },\n {\n pattern: /(\\[)(?!\\d)\\w+(?=\\])/,\n lookbehind: true\n }\n ],\n function: {\n pattern: /(\\|\\s*)@?[a-z_]\\w*|\\b[a-z_]\\w*(?=\\()/i,\n lookbehind: true\n },\n 'attr-name': /\\b[a-z_]\\w*(?=\\s*=)/i,\n boolean: /\\b(?:false|no|off|on|true|yes)\\b/,\n punctuation: /[\\[\\](){}.,:`]|->/,\n operator: [\n /[+\\-*\\/%]|==?=?|[!<>]=?|&&|\\|\\|?/,\n /\\bis\\s+(?:not\\s+)?(?:div|even|odd)(?:\\s+by)?\\b/,\n /\\b(?:and|eq|gt?e|gt|lt?e|lt|mod|neq?|not|or)\\b/\n ]\n }\n Prism.languages.smarty['embedded-php'].inside.smarty.inside =\n Prism.languages.smarty\n Prism.languages.smarty.string[0].inside.interpolation.inside.expression.inside =\n Prism.languages.smarty\n var string = /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"|'(?:\\\\.|[^'\\\\\\r\\n])*'/\n var smartyPattern = RegExp(\n // comments\n /\\{\\*[\\s\\S]*?\\*\\}/.source +\n '|' + // php tags\n /\\{php\\}[\\s\\S]*?\\{\\/php\\}/.source +\n '|' + // smarty blocks\n /\\{(?:[^{}\"']|<str>|\\{(?:[^{}\"']|<str>|\\{(?:[^{}\"']|<str>)*\\})*\\})*\\}/.source.replace(\n /<str>/g,\n function () {\n return string.source\n }\n ),\n 'g'\n ) // Tokenize all inline Smarty expressions\n Prism.hooks.add('before-tokenize', function (env) {\n var smartyLiteralStart = '{literal}'\n var smartyLiteralEnd = '{/literal}'\n var smartyLiteralMode = false\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'smarty',\n smartyPattern,\n function (match) {\n // Smarty tags inside {literal} block are ignored\n if (match === smartyLiteralEnd) {\n smartyLiteralMode = false\n }\n if (!smartyLiteralMode) {\n if (match === smartyLiteralStart) {\n smartyLiteralMode = true\n }\n return true\n }\n return false\n }\n )\n }) // Re-insert the tokens after tokenizing\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'smarty')\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = sml\nsml.displayName = 'sml'\nsml.aliases = ['smlnj']\nfunction sml(Prism) {\n // https://smlfamily.github.io/sml97-defn.pdf\n // https://people.mpi-sws.org/~rossberg/sml.html\n ;(function (Prism) {\n var keywords =\n /\\b(?:abstype|and|andalso|as|case|datatype|do|else|end|eqtype|exception|fn|fun|functor|handle|if|in|include|infix|infixr|let|local|nonfix|of|op|open|orelse|raise|rec|sharing|sig|signature|struct|structure|then|type|val|where|while|with|withtype)\\b/i\n Prism.languages.sml = {\n // allow one level of nesting\n comment:\n /\\(\\*(?:[^*(]|\\*(?!\\))|\\((?!\\*)|\\(\\*(?:[^*(]|\\*(?!\\))|\\((?!\\*))*\\*\\))*\\*\\)/,\n string: {\n pattern: /#?\"(?:[^\"\\\\]|\\\\.)*\"/,\n greedy: true\n },\n 'class-name': [\n {\n // This is only an approximation since the real grammar is context-free\n //\n // Why the main loop so complex?\n // The main loop is approximately the same as /(?:\\s*(?:[*,]|->)\\s*<TERMINAL>)*/ which is, obviously, a lot\n // simpler. The difference is that if a comma is the last iteration of the loop, then the terminal must be\n // followed by a long identifier.\n pattern: RegExp(\n /((?:^|[^:]):\\s*)<TERMINAL>(?:\\s*(?:(?:\\*|->)\\s*<TERMINAL>|,\\s*<TERMINAL>(?:(?=<NOT-LAST>)|(?!<NOT-LAST>)\\s+<LONG-ID>)))*/.source\n .replace(/<NOT-LAST>/g, function () {\n return /\\s*(?:[*,]|->)/.source\n })\n .replace(/<TERMINAL>/g, function () {\n return /(?:'[\\w']*|<LONG-ID>|\\((?:[^()]|\\([^()]*\\))*\\)|\\{(?:[^{}]|\\{[^{}]*\\})*\\})(?:\\s+<LONG-ID>)*/\n .source\n })\n .replace(/<LONG-ID>/g, function () {\n return /(?!<KEYWORD>)[a-z\\d_][\\w'.]*/.source\n })\n .replace(/<KEYWORD>/g, function () {\n return keywords.source\n }),\n 'i'\n ),\n lookbehind: true,\n greedy: true,\n inside: null // see below\n },\n {\n pattern:\n /((?:^|[^\\w'])(?:datatype|exception|functor|signature|structure|type)\\s+)[a-z_][\\w'.]*/i,\n lookbehind: true\n }\n ],\n function: {\n pattern: /((?:^|[^\\w'])fun\\s+)[a-z_][\\w'.]*/i,\n lookbehind: true\n },\n keyword: keywords,\n variable: {\n pattern: /(^|[^\\w'])'[\\w']*/,\n lookbehind: true\n },\n number: /~?\\b(?:\\d+(?:\\.\\d+)?(?:e~?\\d+)?|0x[\\da-f]+)\\b/i,\n word: {\n pattern: /\\b0w(?:\\d+|x[\\da-f]+)\\b/i,\n alias: 'constant'\n },\n boolean: /\\b(?:false|true)\\b/i,\n operator: /\\.\\.\\.|:[>=:]|=>?|->|[<>]=?|[!+\\-*/^#|@~]/,\n punctuation: /[(){}\\[\\].:,;]/\n }\n Prism.languages.sml['class-name'][0].inside = Prism.languages.sml\n Prism.languages.smlnj = Prism.languages.sml\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = solidity\nsolidity.displayName = 'solidity'\nsolidity.aliases = ['sol']\nfunction solidity(Prism) {\n Prism.languages.solidity = Prism.languages.extend('clike', {\n 'class-name': {\n pattern:\n /(\\b(?:contract|enum|interface|library|new|struct|using)\\s+)(?!\\d)[\\w$]+/,\n lookbehind: true\n },\n keyword:\n /\\b(?:_|anonymous|as|assembly|assert|break|calldata|case|constant|constructor|continue|contract|default|delete|do|else|emit|enum|event|external|for|from|function|if|import|indexed|inherited|interface|internal|is|let|library|mapping|memory|modifier|new|payable|pragma|private|public|pure|require|returns?|revert|selfdestruct|solidity|storage|struct|suicide|switch|this|throw|using|var|view|while)\\b/,\n operator: /=>|->|:=|=:|\\*\\*|\\+\\+|--|\\|\\||&&|<<=?|>>=?|[-+*/%^&|<>!=]=?|[~?]/\n })\n Prism.languages.insertBefore('solidity', 'keyword', {\n builtin:\n /\\b(?:address|bool|byte|u?int(?:8|16|24|32|40|48|56|64|72|80|88|96|104|112|120|128|136|144|152|160|168|176|184|192|200|208|216|224|232|240|248|256)?|string|bytes(?:[1-9]|[12]\\d|3[0-2])?)\\b/\n })\n Prism.languages.insertBefore('solidity', 'number', {\n version: {\n pattern: /([<>]=?|\\^)\\d+\\.\\d+\\.\\d+\\b/,\n lookbehind: true,\n alias: 'number'\n }\n })\n Prism.languages.sol = Prism.languages.solidity\n}\n","'use strict'\n\nmodule.exports = solutionFile\nsolutionFile.displayName = 'solutionFile'\nsolutionFile.aliases = []\nfunction solutionFile(Prism) {\n ;(function (Prism) {\n var guid = {\n // https://en.wikipedia.org/wiki/Universally_unique_identifier#Format\n pattern: /\\{[\\da-f]{8}-[\\da-f]{4}-[\\da-f]{4}-[\\da-f]{4}-[\\da-f]{12}\\}/i,\n alias: 'constant',\n inside: {\n punctuation: /[{}]/\n }\n }\n Prism.languages['solution-file'] = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n string: {\n pattern: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/,\n greedy: true,\n inside: {\n guid: guid\n }\n },\n object: {\n // Foo\n // Bar(\"abs\") = 9\n // EndBar\n // Prop = TRUE\n // EndFoo\n pattern:\n /^([ \\t]*)(?:([A-Z]\\w*)\\b(?=.*(?:\\r\\n?|\\n)(?:\\1[ \\t].*(?:\\r\\n?|\\n))*\\1End\\2(?=[ \\t]*$))|End[A-Z]\\w*(?=[ \\t]*$))/m,\n lookbehind: true,\n greedy: true,\n alias: 'keyword'\n },\n property: {\n pattern: /^([ \\t]*)(?!\\s)[^\\r\\n\"#=()]*[^\\s\"#=()](?=\\s*=)/m,\n lookbehind: true,\n inside: {\n guid: guid\n }\n },\n guid: guid,\n number: /\\b\\d+(?:\\.\\d+)*\\b/,\n boolean: /\\b(?:FALSE|TRUE)\\b/,\n operator: /=/,\n punctuation: /[(),]/\n }\n Prism.languages['sln'] = Prism.languages['solution-file']\n })(Prism)\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = soy\nsoy.displayName = 'soy'\nsoy.aliases = []\nfunction soy(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n var stringPattern = /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/\n var numberPattern = /\\b\\d+(?:\\.\\d+)?(?:[eE][+-]?\\d+)?\\b|\\b0x[\\dA-F]+\\b/\n Prism.languages.soy = {\n comment: [\n /\\/\\*[\\s\\S]*?\\*\\//,\n {\n pattern: /(\\s)\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n 'command-arg': {\n pattern:\n /(\\{+\\/?\\s*(?:alias|call|delcall|delpackage|deltemplate|namespace|template)\\s+)\\.?[\\w.]+/,\n lookbehind: true,\n alias: 'string',\n inside: {\n punctuation: /\\./\n }\n },\n parameter: {\n pattern: /(\\{+\\/?\\s*@?param\\??\\s+)\\.?[\\w.]+/,\n lookbehind: true,\n alias: 'variable'\n },\n keyword: [\n {\n pattern:\n /(\\{+\\/?[^\\S\\r\\n]*)(?:\\\\[nrt]|alias|call|case|css|default|delcall|delpackage|deltemplate|else(?:if)?|fallbackmsg|for(?:each)?|if(?:empty)?|lb|let|literal|msg|namespace|nil|@?param\\??|rb|sp|switch|template|xid)/,\n lookbehind: true\n },\n /\\b(?:any|as|attributes|bool|css|float|html|in|int|js|list|map|null|number|string|uri)\\b/\n ],\n delimiter: {\n pattern: /^\\{+\\/?|\\/?\\}+$/,\n alias: 'punctuation'\n },\n property: /\\w+(?==)/,\n variable: {\n pattern: /\\$[^\\W\\d]\\w*(?:\\??(?:\\.\\w+|\\[[^\\]]+\\]))*/,\n inside: {\n string: {\n pattern: stringPattern,\n greedy: true\n },\n number: numberPattern,\n punctuation: /[\\[\\].?]/\n }\n },\n string: {\n pattern: stringPattern,\n greedy: true\n },\n function: [\n /\\w+(?=\\()/,\n {\n pattern: /(\\|[^\\S\\r\\n]*)\\w+/,\n lookbehind: true\n }\n ],\n boolean: /\\b(?:false|true)\\b/,\n number: numberPattern,\n operator: /\\?:?|<=?|>=?|==?|!=|[+*/%-]|\\b(?:and|not|or)\\b/,\n punctuation: /[{}()\\[\\]|.,:]/\n } // Tokenize all inline Soy expressions\n Prism.hooks.add('before-tokenize', function (env) {\n var soyPattern = /\\{\\{.+?\\}\\}|\\{.+?\\}|\\s\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//g\n var soyLitteralStart = '{literal}'\n var soyLitteralEnd = '{/literal}'\n var soyLitteralMode = false\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'soy',\n soyPattern,\n function (match) {\n // Soy tags inside {literal} block are ignored\n if (match === soyLitteralEnd) {\n soyLitteralMode = false\n }\n if (!soyLitteralMode) {\n if (match === soyLitteralStart) {\n soyLitteralMode = true\n }\n return true\n }\n return false\n }\n )\n }) // Re-insert the tokens after tokenizing\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'soy')\n })\n })(Prism)\n}\n","'use strict'\nvar refractorTurtle = require('./turtle.js')\nmodule.exports = sparql\nsparql.displayName = 'sparql'\nsparql.aliases = ['rq']\nfunction sparql(Prism) {\n Prism.register(refractorTurtle)\n Prism.languages.sparql = Prism.languages.extend('turtle', {\n boolean: /\\b(?:false|true)\\b/i,\n variable: {\n pattern: /[?$]\\w+/,\n greedy: true\n }\n })\n Prism.languages.insertBefore('sparql', 'punctuation', {\n keyword: [\n /\\b(?:A|ADD|ALL|AS|ASC|ASK|BNODE|BY|CLEAR|CONSTRUCT|COPY|CREATE|DATA|DEFAULT|DELETE|DESC|DESCRIBE|DISTINCT|DROP|EXISTS|FILTER|FROM|GROUP|HAVING|INSERT|INTO|LIMIT|LOAD|MINUS|MOVE|NAMED|NOT|NOW|OFFSET|OPTIONAL|ORDER|RAND|REDUCED|SELECT|SEPARATOR|SERVICE|SILENT|STRUUID|UNION|USING|UUID|VALUES|WHERE)\\b/i,\n /\\b(?:ABS|AVG|BIND|BOUND|CEIL|COALESCE|CONCAT|CONTAINS|COUNT|DATATYPE|DAY|ENCODE_FOR_URI|FLOOR|GROUP_CONCAT|HOURS|IF|IRI|isBLANK|isIRI|isLITERAL|isNUMERIC|isURI|LANG|LANGMATCHES|LCASE|MAX|MD5|MIN|MINUTES|MONTH|REGEX|REPLACE|ROUND|sameTerm|SAMPLE|SECONDS|SHA1|SHA256|SHA384|SHA512|STR|STRAFTER|STRBEFORE|STRDT|STRENDS|STRLANG|STRLEN|STRSTARTS|SUBSTR|SUM|TIMEZONE|TZ|UCASE|URI|YEAR)\\b(?=\\s*\\()/i,\n /\\b(?:BASE|GRAPH|PREFIX)\\b/i\n ]\n })\n Prism.languages.rq = Prism.languages.sparql\n}\n","'use strict'\n\nmodule.exports = splunkSpl\nsplunkSpl.displayName = 'splunkSpl'\nsplunkSpl.aliases = []\nfunction splunkSpl(Prism) {\n Prism.languages['splunk-spl'] = {\n comment: /`comment\\(\"(?:\\\\.|[^\\\\\"])*\"\\)`/,\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\"])*\"/,\n greedy: true\n },\n // https://docs.splunk.com/Documentation/Splunk/7.3.0/SearchReference/ListOfSearchCommands\n keyword:\n /\\b(?:abstract|accum|addcoltotals|addinfo|addtotals|analyzefields|anomalies|anomalousvalue|anomalydetection|append|appendcols|appendcsv|appendlookup|appendpipe|arules|associate|audit|autoregress|bin|bucket|bucketdir|chart|cluster|cofilter|collect|concurrency|contingency|convert|correlate|datamodel|dbinspect|dedup|delete|delta|diff|erex|eval|eventcount|eventstats|extract|fieldformat|fields|fieldsummary|filldown|fillnull|findtypes|folderize|foreach|format|from|gauge|gentimes|geom|geomfilter|geostats|head|highlight|history|iconify|input|inputcsv|inputlookup|iplocation|join|kmeans|kv|kvform|loadjob|localize|localop|lookup|makecontinuous|makemv|makeresults|map|mcollect|metadata|metasearch|meventcollect|mstats|multikv|multisearch|mvcombine|mvexpand|nomv|outlier|outputcsv|outputlookup|outputtext|overlap|pivot|predict|rangemap|rare|regex|relevancy|reltime|rename|replace|rest|return|reverse|rex|rtorder|run|savedsearch|script|scrub|search|searchtxn|selfjoin|sendemail|set|setfields|sichart|sirare|sistats|sitimechart|sitop|sort|spath|stats|strcat|streamstats|table|tags|tail|timechart|timewrap|top|transaction|transpose|trendline|tscollect|tstats|typeahead|typelearner|typer|union|uniq|untable|where|x11|xmlkv|xmlunescape|xpath|xyseries)\\b/i,\n 'operator-word': {\n pattern: /\\b(?:and|as|by|not|or|xor)\\b/i,\n alias: 'operator'\n },\n function: /\\b\\w+(?=\\s*\\()/,\n property: /\\b\\w+(?=\\s*=(?!=))/,\n date: {\n // MM/DD/YYYY(:HH:MM:SS)?\n pattern: /\\b\\d{1,2}\\/\\d{1,2}\\/\\d{1,4}(?:(?::\\d{1,2}){3})?\\b/,\n alias: 'number'\n },\n number: /\\b\\d+(?:\\.\\d+)?\\b/,\n boolean: /\\b(?:f|false|t|true)\\b/i,\n operator: /[<>=]=?|[-+*/%|]/,\n punctuation: /[()[\\],]/\n }\n}\n","'use strict'\n\nmodule.exports = sqf\nsqf.displayName = 'sqf'\nsqf.aliases = []\nfunction sqf(Prism) {\n Prism.languages.sqf = Prism.languages.extend('clike', {\n string: {\n pattern: /\"(?:(?:\"\")?[^\"])*\"(?!\")|'(?:[^'])*'/,\n greedy: true\n },\n keyword:\n /\\b(?:breakOut|breakTo|call|case|catch|default|do|echo|else|execFSM|execVM|exitWith|for|forEach|forEachMember|forEachMemberAgent|forEachMemberTeam|from|goto|if|nil|preprocessFile|preprocessFileLineNumbers|private|scopeName|spawn|step|switch|then|throw|to|try|while|with)\\b/i,\n boolean: /\\b(?:false|true)\\b/i,\n function:\n /\\b(?:abs|accTime|acos|action|actionIDs|actionKeys|actionKeysImages|actionKeysNames|actionKeysNamesArray|actionName|actionParams|activateAddons|activatedAddons|activateKey|add3DENConnection|add3DENEventHandler|add3DENLayer|addAction|addBackpack|addBackpackCargo|addBackpackCargoGlobal|addBackpackGlobal|addCamShake|addCuratorAddons|addCuratorCameraArea|addCuratorEditableObjects|addCuratorEditingArea|addCuratorPoints|addEditorObject|addEventHandler|addForce|addForceGeneratorRTD|addGoggles|addGroupIcon|addHandgunItem|addHeadgear|addItem|addItemCargo|addItemCargoGlobal|addItemPool|addItemToBackpack|addItemToUniform|addItemToVest|addLiveStats|addMagazine|addMagazineAmmoCargo|addMagazineCargo|addMagazineCargoGlobal|addMagazineGlobal|addMagazinePool|addMagazines|addMagazineTurret|addMenu|addMenuItem|addMissionEventHandler|addMPEventHandler|addMusicEventHandler|addOwnedMine|addPlayerScores|addPrimaryWeaponItem|addPublicVariableEventHandler|addRating|addResources|addScore|addScoreSide|addSecondaryWeaponItem|addSwitchableUnit|addTeamMember|addToRemainsCollector|addTorque|addUniform|addVehicle|addVest|addWaypoint|addWeapon|addWeaponCargo|addWeaponCargoGlobal|addWeaponGlobal|addWeaponItem|addWeaponPool|addWeaponTurret|admin|agent|agents|AGLToASL|aimedAtTarget|aimPos|airDensityCurveRTD|airDensityRTD|airplaneThrottle|airportSide|AISFinishHeal|alive|all3DENEntities|allAirports|allControls|allCurators|allCutLayers|allDead|allDeadMen|allDisplays|allGroups|allMapMarkers|allMines|allMissionObjects|allow3DMode|allowCrewInImmobile|allowCuratorLogicIgnoreAreas|allowDamage|allowDammage|allowFileOperations|allowFleeing|allowGetIn|allowSprint|allPlayers|allSimpleObjects|allSites|allTurrets|allUnits|allUnitsUAV|allVariables|ammo|ammoOnPylon|animate|animateBay|animateDoor|animatePylon|animateSource|animationNames|animationPhase|animationSourcePhase|animationState|append|apply|armoryPoints|arrayIntersect|asin|ASLToAGL|ASLToATL|assert|assignAsCargo|assignAsCargoIndex|assignAsCommander|assignAsDriver|assignAsGunner|assignAsTurret|assignCurator|assignedCargo|assignedCommander|assignedDriver|assignedGunner|assignedItems|assignedTarget|assignedTeam|assignedVehicle|assignedVehicleRole|assignItem|assignTeam|assignToAirport|atan|atan2|atg|ATLToASL|attachedObject|attachedObjects|attachedTo|attachObject|attachTo|attackEnabled|backpack|backpackCargo|backpackContainer|backpackItems|backpackMagazines|backpackSpaceFor|behaviour|benchmark|binocular|blufor|boundingBox|boundingBoxReal|boundingCenter|briefingName|buildingExit|buildingPos|buldozer_EnableRoadDiag|buldozer_IsEnabledRoadDiag|buldozer_LoadNewRoads|buldozer_reloadOperMap|buttonAction|buttonSetAction|cadetMode|callExtension|camCommand|camCommit|camCommitPrepared|camCommitted|camConstuctionSetParams|camCreate|camDestroy|cameraEffect|cameraEffectEnableHUD|cameraInterest|cameraOn|cameraView|campaignConfigFile|camPreload|camPreloaded|camPrepareBank|camPrepareDir|camPrepareDive|camPrepareFocus|camPrepareFov|camPrepareFovRange|camPreparePos|camPrepareRelPos|camPrepareTarget|camSetBank|camSetDir|camSetDive|camSetFocus|camSetFov|camSetFovRange|camSetPos|camSetRelPos|camSetTarget|camTarget|camUseNVG|canAdd|canAddItemToBackpack|canAddItemToUniform|canAddItemToVest|cancelSimpleTaskDestination|canFire|canMove|canSlingLoad|canStand|canSuspend|canTriggerDynamicSimulation|canUnloadInCombat|canVehicleCargo|captive|captiveNum|cbChecked|cbSetChecked|ceil|channelEnabled|cheatsEnabled|checkAIFeature|checkVisibility|civilian|className|clear3DENAttribute|clear3DENInventory|clearAllItemsFromBackpack|clearBackpackCargo|clearBackpackCargoGlobal|clearForcesRTD|clearGroupIcons|clearItemCargo|clearItemCargoGlobal|clearItemPool|clearMagazineCargo|clearMagazineCargoGlobal|clearMagazinePool|clearOverlay|clearRadio|clearVehicleInit|clearWeaponCargo|clearWeaponCargoGlobal|clearWeaponPool|clientOwner|closeDialog|closeDisplay|closeOverlay|collapseObjectTree|collect3DENHistory|collectiveRTD|combatMode|commandArtilleryFire|commandChat|commander|commandFire|commandFollow|commandFSM|commandGetOut|commandingMenu|commandMove|commandRadio|commandStop|commandSuppressiveFire|commandTarget|commandWatch|comment|commitOverlay|compile|compileFinal|completedFSM|composeText|configClasses|configFile|configHierarchy|configName|configNull|configProperties|configSourceAddonList|configSourceMod|configSourceModList|confirmSensorTarget|connectTerminalToUAV|controlNull|controlsGroupCtrl|copyFromClipboard|copyToClipboard|copyWaypoints|cos|count|countEnemy|countFriendly|countSide|countType|countUnknown|create3DENComposition|create3DENEntity|createAgent|createCenter|createDialog|createDiaryLink|createDiaryRecord|createDiarySubject|createDisplay|createGearDialog|createGroup|createGuardedPoint|createLocation|createMarker|createMarkerLocal|createMenu|createMine|createMissionDisplay|createMPCampaignDisplay|createSimpleObject|createSimpleTask|createSite|createSoundSource|createTask|createTeam|createTrigger|createUnit|createVehicle|createVehicleCrew|createVehicleLocal|crew|ctAddHeader|ctAddRow|ctClear|ctCurSel|ctData|ctFindHeaderRows|ctFindRowHeader|ctHeaderControls|ctHeaderCount|ctRemoveHeaders|ctRemoveRows|ctrlActivate|ctrlAddEventHandler|ctrlAngle|ctrlAutoScrollDelay|ctrlAutoScrollRewind|ctrlAutoScrollSpeed|ctrlChecked|ctrlClassName|ctrlCommit|ctrlCommitted|ctrlCreate|ctrlDelete|ctrlEnable|ctrlEnabled|ctrlFade|ctrlHTMLLoaded|ctrlIDC|ctrlIDD|ctrlMapAnimAdd|ctrlMapAnimClear|ctrlMapAnimCommit|ctrlMapAnimDone|ctrlMapCursor|ctrlMapMouseOver|ctrlMapScale|ctrlMapScreenToWorld|ctrlMapWorldToScreen|ctrlModel|ctrlModelDirAndUp|ctrlModelScale|ctrlParent|ctrlParentControlsGroup|ctrlPosition|ctrlRemoveAllEventHandlers|ctrlRemoveEventHandler|ctrlScale|ctrlSetActiveColor|ctrlSetAngle|ctrlSetAutoScrollDelay|ctrlSetAutoScrollRewind|ctrlSetAutoScrollSpeed|ctrlSetBackgroundColor|ctrlSetChecked|ctrlSetDisabledColor|ctrlSetEventHandler|ctrlSetFade|ctrlSetFocus|ctrlSetFont|ctrlSetFontH1|ctrlSetFontH1B|ctrlSetFontH2|ctrlSetFontH2B|ctrlSetFontH3|ctrlSetFontH3B|ctrlSetFontH4|ctrlSetFontH4B|ctrlSetFontH5|ctrlSetFontH5B|ctrlSetFontH6|ctrlSetFontH6B|ctrlSetFontHeight|ctrlSetFontHeightH1|ctrlSetFontHeightH2|ctrlSetFontHeightH3|ctrlSetFontHeightH4|ctrlSetFontHeightH5|ctrlSetFontHeightH6|ctrlSetFontHeightSecondary|ctrlSetFontP|ctrlSetFontPB|ctrlSetFontSecondary|ctrlSetForegroundColor|ctrlSetModel|ctrlSetModelDirAndUp|ctrlSetModelScale|ctrlSetPixelPrecision|ctrlSetPosition|ctrlSetScale|ctrlSetStructuredText|ctrlSetText|ctrlSetTextColor|ctrlSetTextColorSecondary|ctrlSetTextSecondary|ctrlSetTooltip|ctrlSetTooltipColorBox|ctrlSetTooltipColorShade|ctrlSetTooltipColorText|ctrlShow|ctrlShown|ctrlText|ctrlTextHeight|ctrlTextSecondary|ctrlTextWidth|ctrlType|ctrlVisible|ctRowControls|ctRowCount|ctSetCurSel|ctSetData|ctSetHeaderTemplate|ctSetRowTemplate|ctSetValue|ctValue|curatorAddons|curatorCamera|curatorCameraArea|curatorCameraAreaCeiling|curatorCoef|curatorEditableObjects|curatorEditingArea|curatorEditingAreaType|curatorMouseOver|curatorPoints|curatorRegisteredObjects|curatorSelected|curatorWaypointCost|current3DENOperation|currentChannel|currentCommand|currentMagazine|currentMagazineDetail|currentMagazineDetailTurret|currentMagazineTurret|currentMuzzle|currentNamespace|currentTask|currentTasks|currentThrowable|currentVisionMode|currentWaypoint|currentWeapon|currentWeaponMode|currentWeaponTurret|currentZeroing|cursorObject|cursorTarget|customChat|customRadio|cutFadeOut|cutObj|cutRsc|cutText|damage|date|dateToNumber|daytime|deActivateKey|debriefingText|debugFSM|debugLog|deg|delete3DENEntities|deleteAt|deleteCenter|deleteCollection|deleteEditorObject|deleteGroup|deleteGroupWhenEmpty|deleteIdentity|deleteLocation|deleteMarker|deleteMarkerLocal|deleteRange|deleteResources|deleteSite|deleteStatus|deleteTeam|deleteVehicle|deleteVehicleCrew|deleteWaypoint|detach|detectedMines|diag_activeMissionFSMs|diag_activeScripts|diag_activeSQFScripts|diag_activeSQSScripts|diag_captureFrame|diag_captureFrameToFile|diag_captureSlowFrame|diag_codePerformance|diag_drawMode|diag_dynamicSimulationEnd|diag_enable|diag_enabled|diag_fps|diag_fpsMin|diag_frameNo|diag_lightNewLoad|diag_list|diag_log|diag_logSlowFrame|diag_mergeConfigFile|diag_recordTurretLimits|diag_setLightNew|diag_tickTime|diag_toggle|dialog|diarySubjectExists|didJIP|didJIPOwner|difficulty|difficultyEnabled|difficultyEnabledRTD|difficultyOption|direction|directSay|disableAI|disableCollisionWith|disableConversation|disableDebriefingStats|disableMapIndicators|disableNVGEquipment|disableRemoteSensors|disableSerialization|disableTIEquipment|disableUAVConnectability|disableUserInput|displayAddEventHandler|displayCtrl|displayNull|displayParent|displayRemoveAllEventHandlers|displayRemoveEventHandler|displaySetEventHandler|dissolveTeam|distance|distance2D|distanceSqr|distributionRegion|do3DENAction|doArtilleryFire|doFire|doFollow|doFSM|doGetOut|doMove|doorPhase|doStop|doSuppressiveFire|doTarget|doWatch|drawArrow|drawEllipse|drawIcon|drawIcon3D|drawLine|drawLine3D|drawLink|drawLocation|drawPolygon|drawRectangle|drawTriangle|driver|drop|dynamicSimulationDistance|dynamicSimulationDistanceCoef|dynamicSimulationEnabled|dynamicSimulationSystemEnabled|east|edit3DENMissionAttributes|editObject|editorSetEventHandler|effectiveCommander|emptyPositions|enableAI|enableAIFeature|enableAimPrecision|enableAttack|enableAudioFeature|enableAutoStartUpRTD|enableAutoTrimRTD|enableCamShake|enableCaustics|enableChannel|enableCollisionWith|enableCopilot|enableDebriefingStats|enableDiagLegend|enableDynamicSimulation|enableDynamicSimulationSystem|enableEndDialog|enableEngineArtillery|enableEnvironment|enableFatigue|enableGunLights|enableInfoPanelComponent|enableIRLasers|enableMimics|enablePersonTurret|enableRadio|enableReload|enableRopeAttach|enableSatNormalOnDetail|enableSaving|enableSentences|enableSimulation|enableSimulationGlobal|enableStamina|enableStressDamage|enableTeamSwitch|enableTraffic|enableUAVConnectability|enableUAVWaypoints|enableVehicleCargo|enableVehicleSensor|enableWeaponDisassembly|endl|endLoadingScreen|endMission|engineOn|enginesIsOnRTD|enginesPowerRTD|enginesRpmRTD|enginesTorqueRTD|entities|environmentEnabled|estimatedEndServerTime|estimatedTimeLeft|evalObjectArgument|everyBackpack|everyContainer|exec|execEditorScript|exp|expectedDestination|exportJIPMessages|eyeDirection|eyePos|face|faction|fadeMusic|fadeRadio|fadeSound|fadeSpeech|failMission|fillWeaponsFromPool|find|findCover|findDisplay|findEditorObject|findEmptyPosition|findEmptyPositionReady|findIf|findNearestEnemy|finishMissionInit|finite|fire|fireAtTarget|firstBackpack|flag|flagAnimationPhase|flagOwner|flagSide|flagTexture|fleeing|floor|flyInHeight|flyInHeightASL|fog|fogForecast|fogParams|forceAddUniform|forceAtPositionRTD|forcedMap|forceEnd|forceFlagTexture|forceFollowRoad|forceGeneratorRTD|forceMap|forceRespawn|forceSpeed|forceWalk|forceWeaponFire|forceWeatherChange|forgetTarget|format|formation|formationDirection|formationLeader|formationMembers|formationPosition|formationTask|formatText|formLeader|freeLook|fromEditor|fuel|fullCrew|gearIDCAmmoCount|gearSlotAmmoCount|gearSlotData|get3DENActionState|get3DENAttribute|get3DENCamera|get3DENConnections|get3DENEntity|get3DENEntityID|get3DENGrid|get3DENIconsVisible|get3DENLayerEntities|get3DENLinesVisible|get3DENMissionAttribute|get3DENMouseOver|get3DENSelected|getAimingCoef|getAllEnvSoundControllers|getAllHitPointsDamage|getAllOwnedMines|getAllSoundControllers|getAmmoCargo|getAnimAimPrecision|getAnimSpeedCoef|getArray|getArtilleryAmmo|getArtilleryComputerSettings|getArtilleryETA|getAssignedCuratorLogic|getAssignedCuratorUnit|getBackpackCargo|getBleedingRemaining|getBurningValue|getCameraViewDirection|getCargoIndex|getCenterOfMass|getClientState|getClientStateNumber|getCompatiblePylonMagazines|getConnectedUAV|getContainerMaxLoad|getCursorObjectParams|getCustomAimCoef|getDammage|getDescription|getDir|getDirVisual|getDLCAssetsUsage|getDLCAssetsUsageByName|getDLCs|getDLCUsageTime|getEditorCamera|getEditorMode|getEditorObjectScope|getElevationOffset|getEngineTargetRpmRTD|getEnvSoundController|getFatigue|getFieldManualStartPage|getForcedFlagTexture|getFriend|getFSMVariable|getFuelCargo|getGroupIcon|getGroupIconParams|getGroupIcons|getHideFrom|getHit|getHitIndex|getHitPointDamage|getItemCargo|getMagazineCargo|getMarkerColor|getMarkerPos|getMarkerSize|getMarkerType|getMass|getMissionConfig|getMissionConfigValue|getMissionDLCs|getMissionLayerEntities|getMissionLayers|getModelInfo|getMousePosition|getMusicPlayedTime|getNumber|getObjectArgument|getObjectChildren|getObjectDLC|getObjectMaterials|getObjectProxy|getObjectTextures|getObjectType|getObjectViewDistance|getOxygenRemaining|getPersonUsedDLCs|getPilotCameraDirection|getPilotCameraPosition|getPilotCameraRotation|getPilotCameraTarget|getPlateNumber|getPlayerChannel|getPlayerScores|getPlayerUID|getPlayerUIDOld|getPos|getPosASL|getPosASLVisual|getPosASLW|getPosATL|getPosATLVisual|getPosVisual|getPosWorld|getPylonMagazines|getRelDir|getRelPos|getRemoteSensorsDisabled|getRepairCargo|getResolution|getRotorBrakeRTD|getShadowDistance|getShotParents|getSlingLoad|getSoundController|getSoundControllerResult|getSpeed|getStamina|getStatValue|getSuppression|getTerrainGrid|getTerrainHeightASL|getText|getTotalDLCUsageTime|getTrimOffsetRTD|getUnitLoadout|getUnitTrait|getUserMFDText|getUserMFDValue|getVariable|getVehicleCargo|getWeaponCargo|getWeaponSway|getWingsOrientationRTD|getWingsPositionRTD|getWPPos|glanceAt|globalChat|globalRadio|goggles|group|groupChat|groupFromNetId|groupIconSelectable|groupIconsVisible|groupId|groupOwner|groupRadio|groupSelectedUnits|groupSelectUnit|grpNull|gunner|gusts|halt|handgunItems|handgunMagazine|handgunWeapon|handsHit|hasInterface|hasPilotCamera|hasWeapon|hcAllGroups|hcGroupParams|hcLeader|hcRemoveAllGroups|hcRemoveGroup|hcSelected|hcSelectGroup|hcSetGroup|hcShowBar|hcShownBar|headgear|hideBody|hideObject|hideObjectGlobal|hideSelection|hint|hintC|hintCadet|hintSilent|hmd|hostMission|htmlLoad|HUDMovementLevels|humidity|image|importAllGroups|importance|in|inArea|inAreaArray|incapacitatedState|independent|inflame|inflamed|infoPanel|infoPanelComponentEnabled|infoPanelComponents|infoPanels|inGameUISetEventHandler|inheritsFrom|initAmbientLife|inPolygon|inputAction|inRangeOfArtillery|insertEditorObject|intersect|is3DEN|is3DENMultiplayer|isAbleToBreathe|isAgent|isAimPrecisionEnabled|isArray|isAutoHoverOn|isAutonomous|isAutoStartUpEnabledRTD|isAutotest|isAutoTrimOnRTD|isBleeding|isBurning|isClass|isCollisionLightOn|isCopilotEnabled|isDamageAllowed|isDedicated|isDLCAvailable|isEngineOn|isEqualTo|isEqualType|isEqualTypeAll|isEqualTypeAny|isEqualTypeArray|isEqualTypeParams|isFilePatchingEnabled|isFlashlightOn|isFlatEmpty|isForcedWalk|isFormationLeader|isGroupDeletedWhenEmpty|isHidden|isInRemainsCollector|isInstructorFigureEnabled|isIRLaserOn|isKeyActive|isKindOf|isLaserOn|isLightOn|isLocalized|isManualFire|isMarkedForCollection|isMultiplayer|isMultiplayerSolo|isNil|isNull|isNumber|isObjectHidden|isObjectRTD|isOnRoad|isPipEnabled|isPlayer|isRealTime|isRemoteExecuted|isRemoteExecutedJIP|isServer|isShowing3DIcons|isSimpleObject|isSprintAllowed|isStaminaEnabled|isSteamMission|isStreamFriendlyUIEnabled|isStressDamageEnabled|isText|isTouchingGround|isTurnedOut|isTutHintsEnabled|isUAVConnectable|isUAVConnected|isUIContext|isUniformAllowed|isVehicleCargo|isVehicleRadarOn|isVehicleSensorEnabled|isWalking|isWeaponDeployed|isWeaponRested|itemCargo|items|itemsWithMagazines|join|joinAs|joinAsSilent|joinSilent|joinString|kbAddDatabase|kbAddDatabaseTargets|kbAddTopic|kbHasTopic|kbReact|kbRemoveTopic|kbTell|kbWasSaid|keyImage|keyName|knowsAbout|land|landAt|landResult|language|laserTarget|lbAdd|lbClear|lbColor|lbColorRight|lbCurSel|lbData|lbDelete|lbIsSelected|lbPicture|lbPictureRight|lbSelection|lbSetColor|lbSetColorRight|lbSetCurSel|lbSetData|lbSetPicture|lbSetPictureColor|lbSetPictureColorDisabled|lbSetPictureColorSelected|lbSetPictureRight|lbSetPictureRightColor|lbSetPictureRightColorDisabled|lbSetPictureRightColorSelected|lbSetSelectColor|lbSetSelectColorRight|lbSetSelected|lbSetText|lbSetTextRight|lbSetTooltip|lbSetValue|lbSize|lbSort|lbSortByValue|lbText|lbTextRight|lbValue|leader|leaderboardDeInit|leaderboardGetRows|leaderboardInit|leaderboardRequestRowsFriends|leaderboardRequestRowsGlobal|leaderboardRequestRowsGlobalAroundUser|leaderboardsRequestUploadScore|leaderboardsRequestUploadScoreKeepBest|leaderboardState|leaveVehicle|libraryCredits|libraryDisclaimers|lifeState|lightAttachObject|lightDetachObject|lightIsOn|lightnings|limitSpeed|linearConversion|lineBreak|lineIntersects|lineIntersectsObjs|lineIntersectsSurfaces|lineIntersectsWith|linkItem|list|listObjects|listRemoteTargets|listVehicleSensors|ln|lnbAddArray|lnbAddColumn|lnbAddRow|lnbClear|lnbColor|lnbColorRight|lnbCurSelRow|lnbData|lnbDeleteColumn|lnbDeleteRow|lnbGetColumnsPosition|lnbPicture|lnbPictureRight|lnbSetColor|lnbSetColorRight|lnbSetColumnsPos|lnbSetCurSelRow|lnbSetData|lnbSetPicture|lnbSetPictureColor|lnbSetPictureColorRight|lnbSetPictureColorSelected|lnbSetPictureColorSelectedRight|lnbSetPictureRight|lnbSetText|lnbSetTextRight|lnbSetValue|lnbSize|lnbSort|lnbSortByValue|lnbText|lnbTextRight|lnbValue|load|loadAbs|loadBackpack|loadFile|loadGame|loadIdentity|loadMagazine|loadOverlay|loadStatus|loadUniform|loadVest|local|localize|locationNull|locationPosition|lock|lockCameraTo|lockCargo|lockDriver|locked|lockedCargo|lockedDriver|lockedTurret|lockIdentity|lockTurret|lockWP|log|logEntities|logNetwork|logNetworkTerminate|lookAt|lookAtPos|magazineCargo|magazines|magazinesAllTurrets|magazinesAmmo|magazinesAmmoCargo|magazinesAmmoFull|magazinesDetail|magazinesDetailBackpack|magazinesDetailUniform|magazinesDetailVest|magazinesTurret|magazineTurretAmmo|mapAnimAdd|mapAnimClear|mapAnimCommit|mapAnimDone|mapCenterOnCamera|mapGridPosition|markAsFinishedOnSteam|markerAlpha|markerBrush|markerColor|markerDir|markerPos|markerShape|markerSize|markerText|markerType|max|members|menuAction|menuAdd|menuChecked|menuClear|menuCollapse|menuData|menuDelete|menuEnable|menuEnabled|menuExpand|menuHover|menuPicture|menuSetAction|menuSetCheck|menuSetData|menuSetPicture|menuSetValue|menuShortcut|menuShortcutText|menuSize|menuSort|menuText|menuURL|menuValue|min|mineActive|mineDetectedBy|missionConfigFile|missionDifficulty|missionName|missionNamespace|missionStart|missionVersion|modelToWorld|modelToWorldVisual|modelToWorldVisualWorld|modelToWorldWorld|modParams|moonIntensity|moonPhase|morale|move|move3DENCamera|moveInAny|moveInCargo|moveInCommander|moveInDriver|moveInGunner|moveInTurret|moveObjectToEnd|moveOut|moveTime|moveTo|moveToCompleted|moveToFailed|musicVolume|name|nameSound|nearEntities|nearestBuilding|nearestLocation|nearestLocations|nearestLocationWithDubbing|nearestObject|nearestObjects|nearestTerrainObjects|nearObjects|nearObjectsReady|nearRoads|nearSupplies|nearTargets|needReload|netId|netObjNull|newOverlay|nextMenuItemIndex|nextWeatherChange|nMenuItems|numberOfEnginesRTD|numberToDate|objectCurators|objectFromNetId|objectParent|objNull|objStatus|onBriefingGear|onBriefingGroup|onBriefingNotes|onBriefingPlan|onBriefingTeamSwitch|onCommandModeChanged|onDoubleClick|onEachFrame|onGroupIconClick|onGroupIconOverEnter|onGroupIconOverLeave|onHCGroupSelectionChanged|onMapSingleClick|onPlayerConnected|onPlayerDisconnected|onPreloadFinished|onPreloadStarted|onShowNewObject|onTeamSwitch|openCuratorInterface|openDLCPage|openDSInterface|openMap|openSteamApp|openYoutubeVideo|opfor|orderGetIn|overcast|overcastForecast|owner|param|params|parseNumber|parseSimpleArray|parseText|parsingNamespace|particlesQuality|pi|pickWeaponPool|pitch|pixelGrid|pixelGridBase|pixelGridNoUIScale|pixelH|pixelW|playableSlotsNumber|playableUnits|playAction|playActionNow|player|playerRespawnTime|playerSide|playersNumber|playGesture|playMission|playMove|playMoveNow|playMusic|playScriptedMission|playSound|playSound3D|position|positionCameraToWorld|posScreenToWorld|posWorldToScreen|ppEffectAdjust|ppEffectCommit|ppEffectCommitted|ppEffectCreate|ppEffectDestroy|ppEffectEnable|ppEffectEnabled|ppEffectForceInNVG|precision|preloadCamera|preloadObject|preloadSound|preloadTitleObj|preloadTitleRsc|primaryWeapon|primaryWeaponItems|primaryWeaponMagazine|priority|processDiaryLink|processInitCommands|productVersion|profileName|profileNamespace|profileNameSteam|progressLoadingScreen|progressPosition|progressSetPosition|publicVariable|publicVariableClient|publicVariableServer|pushBack|pushBackUnique|putWeaponPool|queryItemsPool|queryMagazinePool|queryWeaponPool|rad|radioChannelAdd|radioChannelCreate|radioChannelRemove|radioChannelSetCallSign|radioChannelSetLabel|radioVolume|rain|rainbow|random|rank|rankId|rating|rectangular|registeredTasks|registerTask|reload|reloadEnabled|remoteControl|remoteExec|remoteExecCall|remoteExecutedOwner|remove3DENConnection|remove3DENEventHandler|remove3DENLayer|removeAction|removeAll3DENEventHandlers|removeAllActions|removeAllAssignedItems|removeAllContainers|removeAllCuratorAddons|removeAllCuratorCameraAreas|removeAllCuratorEditingAreas|removeAllEventHandlers|removeAllHandgunItems|removeAllItems|removeAllItemsWithMagazines|removeAllMissionEventHandlers|removeAllMPEventHandlers|removeAllMusicEventHandlers|removeAllOwnedMines|removeAllPrimaryWeaponItems|removeAllWeapons|removeBackpack|removeBackpackGlobal|removeCuratorAddons|removeCuratorCameraArea|removeCuratorEditableObjects|removeCuratorEditingArea|removeDrawIcon|removeDrawLinks|removeEventHandler|removeFromRemainsCollector|removeGoggles|removeGroupIcon|removeHandgunItem|removeHeadgear|removeItem|removeItemFromBackpack|removeItemFromUniform|removeItemFromVest|removeItems|removeMagazine|removeMagazineGlobal|removeMagazines|removeMagazinesTurret|removeMagazineTurret|removeMenuItem|removeMissionEventHandler|removeMPEventHandler|removeMusicEventHandler|removeOwnedMine|removePrimaryWeaponItem|removeSecondaryWeaponItem|removeSimpleTask|removeSwitchableUnit|removeTeamMember|removeUniform|removeVest|removeWeapon|removeWeaponAttachmentCargo|removeWeaponCargo|removeWeaponGlobal|removeWeaponTurret|reportRemoteTarget|requiredVersion|resetCamShake|resetSubgroupDirection|resistance|resize|resources|respawnVehicle|restartEditorCamera|reveal|revealMine|reverse|reversedMouseY|roadAt|roadsConnectedTo|roleDescription|ropeAttachedObjects|ropeAttachedTo|ropeAttachEnabled|ropeAttachTo|ropeCreate|ropeCut|ropeDestroy|ropeDetach|ropeEndPosition|ropeLength|ropes|ropeUnwind|ropeUnwound|rotorsForcesRTD|rotorsRpmRTD|round|runInitScript|safeZoneH|safeZoneW|safeZoneWAbs|safeZoneX|safeZoneXAbs|safeZoneY|save3DENInventory|saveGame|saveIdentity|saveJoysticks|saveOverlay|saveProfileNamespace|saveStatus|saveVar|savingEnabled|say|say2D|say3D|score|scoreSide|screenshot|screenToWorld|scriptDone|scriptName|scriptNull|scudState|secondaryWeapon|secondaryWeaponItems|secondaryWeaponMagazine|select|selectBestPlaces|selectDiarySubject|selectedEditorObjects|selectEditorObject|selectionNames|selectionPosition|selectLeader|selectMax|selectMin|selectNoPlayer|selectPlayer|selectRandom|selectRandomWeighted|selectWeapon|selectWeaponTurret|sendAUMessage|sendSimpleCommand|sendTask|sendTaskResult|sendUDPMessage|serverCommand|serverCommandAvailable|serverCommandExecutable|serverName|serverTime|set|set3DENAttribute|set3DENAttributes|set3DENGrid|set3DENIconsVisible|set3DENLayer|set3DENLinesVisible|set3DENLogicType|set3DENMissionAttribute|set3DENMissionAttributes|set3DENModelsVisible|set3DENObjectType|set3DENSelected|setAccTime|setActualCollectiveRTD|setAirplaneThrottle|setAirportSide|setAmmo|setAmmoCargo|setAmmoOnPylon|setAnimSpeedCoef|setAperture|setApertureNew|setArmoryPoints|setAttributes|setAutonomous|setBehaviour|setBleedingRemaining|setBrakesRTD|setCameraInterest|setCamShakeDefParams|setCamShakeParams|setCamUseTI|setCaptive|setCenterOfMass|setCollisionLight|setCombatMode|setCompassOscillation|setConvoySeparation|setCuratorCameraAreaCeiling|setCuratorCoef|setCuratorEditingAreaType|setCuratorWaypointCost|setCurrentChannel|setCurrentTask|setCurrentWaypoint|setCustomAimCoef|setCustomWeightRTD|setDamage|setDammage|setDate|setDebriefingText|setDefaultCamera|setDestination|setDetailMapBlendPars|setDir|setDirection|setDrawIcon|setDriveOnPath|setDropInterval|setDynamicSimulationDistance|setDynamicSimulationDistanceCoef|setEditorMode|setEditorObjectScope|setEffectCondition|setEngineRpmRTD|setFace|setFaceAnimation|setFatigue|setFeatureType|setFlagAnimationPhase|setFlagOwner|setFlagSide|setFlagTexture|setFog|setForceGeneratorRTD|setFormation|setFormationTask|setFormDir|setFriend|setFromEditor|setFSMVariable|setFuel|setFuelCargo|setGroupIcon|setGroupIconParams|setGroupIconsSelectable|setGroupIconsVisible|setGroupId|setGroupIdGlobal|setGroupOwner|setGusts|setHideBehind|setHit|setHitIndex|setHitPointDamage|setHorizonParallaxCoef|setHUDMovementLevels|setIdentity|setImportance|setInfoPanel|setLeader|setLightAmbient|setLightAttenuation|setLightBrightness|setLightColor|setLightDayLight|setLightFlareMaxDistance|setLightFlareSize|setLightIntensity|setLightnings|setLightUseFlare|setLocalWindParams|setMagazineTurretAmmo|setMarkerAlpha|setMarkerAlphaLocal|setMarkerBrush|setMarkerBrushLocal|setMarkerColor|setMarkerColorLocal|setMarkerDir|setMarkerDirLocal|setMarkerPos|setMarkerPosLocal|setMarkerShape|setMarkerShapeLocal|setMarkerSize|setMarkerSizeLocal|setMarkerText|setMarkerTextLocal|setMarkerType|setMarkerTypeLocal|setMass|setMimic|setMousePosition|setMusicEffect|setMusicEventHandler|setName|setNameSound|setObjectArguments|setObjectMaterial|setObjectMaterialGlobal|setObjectProxy|setObjectTexture|setObjectTextureGlobal|setObjectViewDistance|setOvercast|setOwner|setOxygenRemaining|setParticleCircle|setParticleClass|setParticleFire|setParticleParams|setParticleRandom|setPilotCameraDirection|setPilotCameraRotation|setPilotCameraTarget|setPilotLight|setPiPEffect|setPitch|setPlateNumber|setPlayable|setPlayerRespawnTime|setPos|setPosASL|setPosASL2|setPosASLW|setPosATL|setPosition|setPosWorld|setPylonLoadOut|setPylonsPriority|setRadioMsg|setRain|setRainbow|setRandomLip|setRank|setRectangular|setRepairCargo|setRotorBrakeRTD|setShadowDistance|setShotParents|setSide|setSimpleTaskAlwaysVisible|setSimpleTaskCustomData|setSimpleTaskDescription|setSimpleTaskDestination|setSimpleTaskTarget|setSimpleTaskType|setSimulWeatherLayers|setSize|setSkill|setSlingLoad|setSoundEffect|setSpeaker|setSpeech|setSpeedMode|setStamina|setStaminaScheme|setStatValue|setSuppression|setSystemOfUnits|setTargetAge|setTaskMarkerOffset|setTaskResult|setTaskState|setTerrainGrid|setText|setTimeMultiplier|setTitleEffect|setToneMapping|setToneMappingParams|setTrafficDensity|setTrafficDistance|setTrafficGap|setTrafficSpeed|setTriggerActivation|setTriggerArea|setTriggerStatements|setTriggerText|setTriggerTimeout|setTriggerType|setType|setUnconscious|setUnitAbility|setUnitLoadout|setUnitPos|setUnitPosWeak|setUnitRank|setUnitRecoilCoefficient|setUnitTrait|setUnloadInCombat|setUserActionText|setUserMFDText|setUserMFDValue|setVariable|setVectorDir|setVectorDirAndUp|setVectorUp|setVehicleAmmo|setVehicleAmmoDef|setVehicleArmor|setVehicleCargo|setVehicleId|setVehicleInit|setVehicleLock|setVehiclePosition|setVehicleRadar|setVehicleReceiveRemoteTargets|setVehicleReportOwnPosition|setVehicleReportRemoteTargets|setVehicleTIPars|setVehicleVarName|setVelocity|setVelocityModelSpace|setVelocityTransformation|setViewDistance|setVisibleIfTreeCollapsed|setWantedRpmRTD|setWaves|setWaypointBehaviour|setWaypointCombatMode|setWaypointCompletionRadius|setWaypointDescription|setWaypointForceBehaviour|setWaypointFormation|setWaypointHousePosition|setWaypointLoiterRadius|setWaypointLoiterType|setWaypointName|setWaypointPosition|setWaypointScript|setWaypointSpeed|setWaypointStatements|setWaypointTimeout|setWaypointType|setWaypointVisible|setWeaponReloadingTime|setWind|setWindDir|setWindForce|setWindStr|setWingForceScaleRTD|setWPPos|show3DIcons|showChat|showCinemaBorder|showCommandingMenu|showCompass|showCuratorCompass|showGPS|showHUD|showLegend|showMap|shownArtilleryComputer|shownChat|shownCompass|shownCuratorCompass|showNewEditorObject|shownGPS|shownHUD|shownMap|shownPad|shownRadio|shownScoretable|shownUAVFeed|shownWarrant|shownWatch|showPad|showRadio|showScoretable|showSubtitles|showUAVFeed|showWarrant|showWatch|showWaypoint|showWaypoints|side|sideAmbientLife|sideChat|sideEmpty|sideEnemy|sideFriendly|sideLogic|sideRadio|sideUnknown|simpleTasks|simulationEnabled|simulCloudDensity|simulCloudOcclusion|simulInClouds|simulWeatherSync|sin|size|sizeOf|skill|skillFinal|skipTime|sleep|sliderPosition|sliderRange|sliderSetPosition|sliderSetRange|sliderSetSpeed|sliderSpeed|slingLoadAssistantShown|soldierMagazines|someAmmo|sort|soundVolume|speaker|speed|speedMode|splitString|sqrt|squadParams|stance|startLoadingScreen|stop|stopEngineRTD|stopped|str|sunOrMoon|supportInfo|suppressFor|surfaceIsWater|surfaceNormal|surfaceType|swimInDepth|switchableUnits|switchAction|switchCamera|switchGesture|switchLight|switchMove|synchronizedObjects|synchronizedTriggers|synchronizedWaypoints|synchronizeObjectsAdd|synchronizeObjectsRemove|synchronizeTrigger|synchronizeWaypoint|systemChat|systemOfUnits|tan|targetKnowledge|targets|targetsAggregate|targetsQuery|taskAlwaysVisible|taskChildren|taskCompleted|taskCustomData|taskDescription|taskDestination|taskHint|taskMarkerOffset|taskNull|taskParent|taskResult|taskState|taskType|teamMember|teamMemberNull|teamName|teams|teamSwitch|teamSwitchEnabled|teamType|terminate|terrainIntersect|terrainIntersectASL|terrainIntersectAtASL|text|textLog|textLogFormat|tg|time|timeMultiplier|titleCut|titleFadeOut|titleObj|titleRsc|titleText|toArray|toFixed|toLower|toString|toUpper|triggerActivated|triggerActivation|triggerArea|triggerAttachedVehicle|triggerAttachObject|triggerAttachVehicle|triggerDynamicSimulation|triggerStatements|triggerText|triggerTimeout|triggerTimeoutCurrent|triggerType|turretLocal|turretOwner|turretUnit|tvAdd|tvClear|tvCollapse|tvCollapseAll|tvCount|tvCurSel|tvData|tvDelete|tvExpand|tvExpandAll|tvPicture|tvPictureRight|tvSetColor|tvSetCurSel|tvSetData|tvSetPicture|tvSetPictureColor|tvSetPictureColorDisabled|tvSetPictureColorSelected|tvSetPictureRight|tvSetPictureRightColor|tvSetPictureRightColorDisabled|tvSetPictureRightColorSelected|tvSetSelectColor|tvSetText|tvSetTooltip|tvSetValue|tvSort|tvSortByValue|tvText|tvTooltip|tvValue|type|typeName|typeOf|UAVControl|uiNamespace|uiSleep|unassignCurator|unassignItem|unassignTeam|unassignVehicle|underwater|uniform|uniformContainer|uniformItems|uniformMagazines|unitAddons|unitAimPosition|unitAimPositionVisual|unitBackpack|unitIsUAV|unitPos|unitReady|unitRecoilCoefficient|units|unitsBelowHeight|unlinkItem|unlockAchievement|unregisterTask|updateDrawIcon|updateMenuItem|updateObjectTree|useAIOperMapObstructionTest|useAISteeringComponent|useAudioTimeForMoves|userInputDisabled|vectorAdd|vectorCos|vectorCrossProduct|vectorDiff|vectorDir|vectorDirVisual|vectorDistance|vectorDistanceSqr|vectorDotProduct|vectorFromTo|vectorMagnitude|vectorMagnitudeSqr|vectorModelToWorld|vectorModelToWorldVisual|vectorMultiply|vectorNormalized|vectorUp|vectorUpVisual|vectorWorldToModel|vectorWorldToModelVisual|vehicle|vehicleCargoEnabled|vehicleChat|vehicleRadio|vehicleReceiveRemoteTargets|vehicleReportOwnPosition|vehicleReportRemoteTargets|vehicles|vehicleVarName|velocity|velocityModelSpace|verifySignature|vest|vestContainer|vestItems|vestMagazines|viewDistance|visibleCompass|visibleGPS|visibleMap|visiblePosition|visiblePositionASL|visibleScoretable|visibleWatch|waitUntil|waves|waypointAttachedObject|waypointAttachedVehicle|waypointAttachObject|waypointAttachVehicle|waypointBehaviour|waypointCombatMode|waypointCompletionRadius|waypointDescription|waypointForceBehaviour|waypointFormation|waypointHousePosition|waypointLoiterRadius|waypointLoiterType|waypointName|waypointPosition|waypoints|waypointScript|waypointsEnabledUAV|waypointShow|waypointSpeed|waypointStatements|waypointTimeout|waypointTimeoutCurrent|waypointType|waypointVisible|weaponAccessories|weaponAccessoriesCargo|weaponCargo|weaponDirection|weaponInertia|weaponLowered|weapons|weaponsItems|weaponsItemsCargo|weaponState|weaponsTurret|weightRTD|west|WFSideText|wind|windDir|windRTD|windStr|wingsForcesRTD|worldName|worldSize|worldToModel|worldToModelVisual|worldToScreen)\\b/i,\n number:\n /(?:\\$|\\b0x)[\\da-f]+\\b|(?:\\B\\.\\d+|\\b\\d+(?:\\.\\d+)?)(?:e[+-]?\\d+)?\\b/i,\n operator: /##|>>|&&|\\|\\||[!=<>]=?|[-+*/%#^]|\\b(?:and|mod|not|or)\\b/i,\n 'magic-variable': {\n pattern:\n /\\b(?:this|thisList|thisTrigger|_exception|_fnc_scriptName|_fnc_scriptNameParent|_forEachIndex|_this|_thisEventHandler|_thisFSM|_thisScript|_x)\\b/i,\n alias: 'keyword'\n },\n constant: /\\bDIK(?:_[a-z\\d]+)+\\b/i\n })\n Prism.languages.insertBefore('sqf', 'string', {\n macro: {\n pattern: /(^[ \\t]*)#[a-z](?:[^\\r\\n\\\\]|\\\\(?:\\r\\n|[\\s\\S]))*/im,\n lookbehind: true,\n greedy: true,\n alias: 'property',\n inside: {\n directive: {\n pattern: /#[a-z]+\\b/i,\n alias: 'keyword'\n },\n comment: Prism.languages.sqf.comment\n }\n }\n })\n delete Prism.languages.sqf['class-name']\n}\n","'use strict'\n\nmodule.exports = sql\nsql.displayName = 'sql'\nsql.aliases = []\nfunction sql(Prism) {\n Prism.languages.sql = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|(?:--|\\/\\/|#).*)/,\n lookbehind: true\n },\n variable: [\n {\n pattern: /@([\"'`])(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])+\\1/,\n greedy: true\n },\n /@[\\w.$]+/\n ],\n string: {\n pattern: /(^|[^@\\\\])(\"|')(?:\\\\[\\s\\S]|(?!\\2)[^\\\\]|\\2\\2)*\\2/,\n greedy: true,\n lookbehind: true\n },\n identifier: {\n pattern: /(^|[^@\\\\])`(?:\\\\[\\s\\S]|[^`\\\\]|``)*`/,\n greedy: true,\n lookbehind: true,\n inside: {\n punctuation: /^`|`$/\n }\n },\n function:\n /\\b(?:AVG|COUNT|FIRST|FORMAT|LAST|LCASE|LEN|MAX|MID|MIN|MOD|NOW|ROUND|SUM|UCASE)(?=\\s*\\()/i,\n // Should we highlight user defined functions too?\n keyword:\n /\\b(?:ACTION|ADD|AFTER|ALGORITHM|ALL|ALTER|ANALYZE|ANY|APPLY|AS|ASC|AUTHORIZATION|AUTO_INCREMENT|BACKUP|BDB|BEGIN|BERKELEYDB|BIGINT|BINARY|BIT|BLOB|BOOL|BOOLEAN|BREAK|BROWSE|BTREE|BULK|BY|CALL|CASCADED?|CASE|CHAIN|CHAR(?:ACTER|SET)?|CHECK(?:POINT)?|CLOSE|CLUSTERED|COALESCE|COLLATE|COLUMNS?|COMMENT|COMMIT(?:TED)?|COMPUTE|CONNECT|CONSISTENT|CONSTRAINT|CONTAINS(?:TABLE)?|CONTINUE|CONVERT|CREATE|CROSS|CURRENT(?:_DATE|_TIME|_TIMESTAMP|_USER)?|CURSOR|CYCLE|DATA(?:BASES?)?|DATE(?:TIME)?|DAY|DBCC|DEALLOCATE|DEC|DECIMAL|DECLARE|DEFAULT|DEFINER|DELAYED|DELETE|DELIMITERS?|DENY|DESC|DESCRIBE|DETERMINISTIC|DISABLE|DISCARD|DISK|DISTINCT|DISTINCTROW|DISTRIBUTED|DO|DOUBLE|DROP|DUMMY|DUMP(?:FILE)?|DUPLICATE|ELSE(?:IF)?|ENABLE|ENCLOSED|END|ENGINE|ENUM|ERRLVL|ERRORS|ESCAPED?|EXCEPT|EXEC(?:UTE)?|EXISTS|EXIT|EXPLAIN|EXTENDED|FETCH|FIELDS|FILE|FILLFACTOR|FIRST|FIXED|FLOAT|FOLLOWING|FOR(?: EACH ROW)?|FORCE|FOREIGN|FREETEXT(?:TABLE)?|FROM|FULL|FUNCTION|GEOMETRY(?:COLLECTION)?|GLOBAL|GOTO|GRANT|GROUP|HANDLER|HASH|HAVING|HOLDLOCK|HOUR|IDENTITY(?:COL|_INSERT)?|IF|IGNORE|IMPORT|INDEX|INFILE|INNER|INNODB|INOUT|INSERT|INT|INTEGER|INTERSECT|INTERVAL|INTO|INVOKER|ISOLATION|ITERATE|JOIN|KEYS?|KILL|LANGUAGE|LAST|LEAVE|LEFT|LEVEL|LIMIT|LINENO|LINES|LINESTRING|LOAD|LOCAL|LOCK|LONG(?:BLOB|TEXT)|LOOP|MATCH(?:ED)?|MEDIUM(?:BLOB|INT|TEXT)|MERGE|MIDDLEINT|MINUTE|MODE|MODIFIES|MODIFY|MONTH|MULTI(?:LINESTRING|POINT|POLYGON)|NATIONAL|NATURAL|NCHAR|NEXT|NO|NONCLUSTERED|NULLIF|NUMERIC|OFF?|OFFSETS?|ON|OPEN(?:DATASOURCE|QUERY|ROWSET)?|OPTIMIZE|OPTION(?:ALLY)?|ORDER|OUT(?:ER|FILE)?|OVER|PARTIAL|PARTITION|PERCENT|PIVOT|PLAN|POINT|POLYGON|PRECEDING|PRECISION|PREPARE|PREV|PRIMARY|PRINT|PRIVILEGES|PROC(?:EDURE)?|PUBLIC|PURGE|QUICK|RAISERROR|READS?|REAL|RECONFIGURE|REFERENCES|RELEASE|RENAME|REPEAT(?:ABLE)?|REPLACE|REPLICATION|REQUIRE|RESIGNAL|RESTORE|RESTRICT|RETURN(?:ING|S)?|REVOKE|RIGHT|ROLLBACK|ROUTINE|ROW(?:COUNT|GUIDCOL|S)?|RTREE|RULE|SAVE(?:POINT)?|SCHEMA|SECOND|SELECT|SERIAL(?:IZABLE)?|SESSION(?:_USER)?|SET(?:USER)?|SHARE|SHOW|SHUTDOWN|SIMPLE|SMALLINT|SNAPSHOT|SOME|SONAME|SQL|START(?:ING)?|STATISTICS|STATUS|STRIPED|SYSTEM_USER|TABLES?|TABLESPACE|TEMP(?:ORARY|TABLE)?|TERMINATED|TEXT(?:SIZE)?|THEN|TIME(?:STAMP)?|TINY(?:BLOB|INT|TEXT)|TOP?|TRAN(?:SACTIONS?)?|TRIGGER|TRUNCATE|TSEQUAL|TYPES?|UNBOUNDED|UNCOMMITTED|UNDEFINED|UNION|UNIQUE|UNLOCK|UNPIVOT|UNSIGNED|UPDATE(?:TEXT)?|USAGE|USE|USER|USING|VALUES?|VAR(?:BINARY|CHAR|CHARACTER|YING)|VIEW|WAITFOR|WARNINGS|WHEN|WHERE|WHILE|WITH(?: ROLLUP|IN)?|WORK|WRITE(?:TEXT)?|YEAR)\\b/i,\n boolean: /\\b(?:FALSE|NULL|TRUE)\\b/i,\n number: /\\b0x[\\da-f]+\\b|\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+\\b/i,\n operator:\n /[-+*\\/=%^~]|&&?|\\|\\|?|!=?|<(?:=>?|<|>)?|>[>=]?|\\b(?:AND|BETWEEN|DIV|ILIKE|IN|IS|LIKE|NOT|OR|REGEXP|RLIKE|SOUNDS LIKE|XOR)\\b/i,\n punctuation: /[;[\\]()`,.]/\n }\n}\n","'use strict'\n\nmodule.exports = squirrel\nsquirrel.displayName = 'squirrel'\nsquirrel.aliases = []\nfunction squirrel(Prism) {\n Prism.languages.squirrel = Prism.languages.extend('clike', {\n comment: [\n Prism.languages.clike['comment'][0],\n {\n pattern: /(^|[^\\\\:])(?:\\/\\/|#).*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /(^|[^\\\\\"'@])(?:@\"(?:[^\"]|\"\")*\"(?!\")|\"(?:[^\\\\\\r\\n\"]|\\\\.)*\")/,\n lookbehind: true,\n greedy: true\n },\n 'class-name': {\n pattern: /(\\b(?:class|enum|extends|instanceof)\\s+)\\w+(?:\\.\\w+)*/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n keyword:\n /\\b(?:__FILE__|__LINE__|base|break|case|catch|class|clone|const|constructor|continue|default|delete|else|enum|extends|for|foreach|function|if|in|instanceof|local|null|resume|return|static|switch|this|throw|try|typeof|while|yield)\\b/,\n number: /\\b(?:0x[0-9a-fA-F]+|\\d+(?:\\.(?:\\d+|[eE][+-]?\\d+))?)\\b/,\n operator: /\\+\\+|--|<=>|<[-<]|>>>?|&&?|\\|\\|?|[-+*/%!=<>]=?|[~^]|::?/,\n punctuation: /[(){}\\[\\],;.]/\n })\n Prism.languages.insertBefore('squirrel', 'string', {\n char: {\n pattern: /(^|[^\\\\\"'])'(?:[^\\\\']|\\\\(?:[xuU][0-9a-fA-F]{0,8}|[\\s\\S]))'/,\n lookbehind: true,\n greedy: true\n }\n })\n Prism.languages.insertBefore('squirrel', 'operator', {\n 'attribute-punctuation': {\n pattern: /<\\/|\\/>/,\n alias: 'important'\n },\n lambda: {\n pattern: /@(?=\\()/,\n alias: 'operator'\n }\n })\n}\n","'use strict'\n\nmodule.exports = stan\nstan.displayName = 'stan'\nstan.aliases = []\nfunction stan(Prism) {\n ;(function (Prism) {\n // https://mc-stan.org/docs/2_28/reference-manual/bnf-grammars.html\n var higherOrderFunctions =\n /\\b(?:algebra_solver|algebra_solver_newton|integrate_1d|integrate_ode|integrate_ode_bdf|integrate_ode_rk45|map_rect|ode_(?:adams|bdf|ckrk|rk45)(?:_tol)?|ode_adjoint_tol_ctl|reduce_sum|reduce_sum_static)\\b/\n Prism.languages.stan = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\/|#(?!include).*/,\n string: {\n // String literals can contain spaces and any printable ASCII characters except for \" and \\\n // https://mc-stan.org/docs/2_24/reference-manual/print-statements-section.html#string-literals\n pattern: /\"[\\x20\\x21\\x23-\\x5B\\x5D-\\x7E]*\"/,\n greedy: true\n },\n directive: {\n pattern: /^([ \\t]*)#include\\b.*/m,\n lookbehind: true,\n alias: 'property'\n },\n 'function-arg': {\n pattern: RegExp(\n '(' +\n higherOrderFunctions.source +\n /\\s*\\(\\s*/.source +\n ')' +\n /[a-zA-Z]\\w*/.source\n ),\n lookbehind: true,\n alias: 'function'\n },\n constraint: {\n pattern: /(\\b(?:int|matrix|real|row_vector|vector)\\s*)<[^<>]*>/,\n lookbehind: true,\n inside: {\n expression: {\n pattern: /(=\\s*)\\S(?:\\S|\\s+(?!\\s))*?(?=\\s*(?:>$|,\\s*\\w+\\s*=))/,\n lookbehind: true,\n inside: null // see below\n },\n property: /\\b[a-z]\\w*(?=\\s*=)/i,\n operator: /=/,\n punctuation: /^<|>$|,/\n }\n },\n keyword: [\n {\n pattern:\n /\\bdata(?=\\s*\\{)|\\b(?:functions|generated|model|parameters|quantities|transformed)\\b/,\n alias: 'program-block'\n },\n /\\b(?:array|break|cholesky_factor_corr|cholesky_factor_cov|complex|continue|corr_matrix|cov_matrix|data|else|for|if|in|increment_log_prob|int|matrix|ordered|positive_ordered|print|real|reject|return|row_vector|simplex|target|unit_vector|vector|void|while)\\b/, // these are functions that are known to take another function as their first argument.\n higherOrderFunctions\n ],\n function: /\\b[a-z]\\w*(?=\\s*\\()/i,\n number:\n /(?:\\b\\d+(?:_\\d+)*(?:\\.(?:\\d+(?:_\\d+)*)?)?|\\B\\.\\d+(?:_\\d+)*)(?:E[+-]?\\d+(?:_\\d+)*)?i?(?!\\w)/i,\n boolean: /\\b(?:false|true)\\b/,\n operator: /<-|\\.[*/]=?|\\|\\|?|&&|[!=<>+\\-*/]=?|['^%~?:]/,\n punctuation: /[()\\[\\]{},;]/\n }\n Prism.languages.stan.constraint.inside.expression.inside =\n Prism.languages.stan\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = stylus\nstylus.displayName = 'stylus'\nstylus.aliases = []\nfunction stylus(Prism) {\n ;(function (Prism) {\n var unit = {\n pattern: /(\\b\\d+)(?:%|[a-z]+)/,\n lookbehind: true\n } // 123 -123 .123 -.123 12.3 -12.3\n var number = {\n pattern: /(^|[^\\w.-])-?(?:\\d+(?:\\.\\d+)?|\\.\\d+)/,\n lookbehind: true\n }\n var inside = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*)/,\n lookbehind: true\n },\n url: {\n pattern: /\\burl\\(([\"']?).*?\\1\\)/i,\n greedy: true\n },\n string: {\n pattern: /(\"|')(?:(?!\\1)[^\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\\1/,\n greedy: true\n },\n interpolation: null,\n // See below\n func: null,\n // See below\n important: /\\B!(?:important|optional)\\b/i,\n keyword: {\n pattern: /(^|\\s+)(?:(?:else|for|if|return|unless)(?=\\s|$)|@[\\w-]+)/,\n lookbehind: true\n },\n hexcode: /#[\\da-f]{3,6}/i,\n color: [\n /\\b(?:AliceBlue|AntiqueWhite|Aqua|Aquamarine|Azure|Beige|Bisque|Black|BlanchedAlmond|Blue|BlueViolet|Brown|BurlyWood|CadetBlue|Chartreuse|Chocolate|Coral|CornflowerBlue|Cornsilk|Crimson|Cyan|DarkBlue|DarkCyan|DarkGoldenRod|DarkGr[ae]y|DarkGreen|DarkKhaki|DarkMagenta|DarkOliveGreen|DarkOrange|DarkOrchid|DarkRed|DarkSalmon|DarkSeaGreen|DarkSlateBlue|DarkSlateGr[ae]y|DarkTurquoise|DarkViolet|DeepPink|DeepSkyBlue|DimGr[ae]y|DodgerBlue|FireBrick|FloralWhite|ForestGreen|Fuchsia|Gainsboro|GhostWhite|Gold|GoldenRod|Gr[ae]y|Green|GreenYellow|HoneyDew|HotPink|IndianRed|Indigo|Ivory|Khaki|Lavender|LavenderBlush|LawnGreen|LemonChiffon|LightBlue|LightCoral|LightCyan|LightGoldenRodYellow|LightGr[ae]y|LightGreen|LightPink|LightSalmon|LightSeaGreen|LightSkyBlue|LightSlateGr[ae]y|LightSteelBlue|LightYellow|Lime|LimeGreen|Linen|Magenta|Maroon|MediumAquaMarine|MediumBlue|MediumOrchid|MediumPurple|MediumSeaGreen|MediumSlateBlue|MediumSpringGreen|MediumTurquoise|MediumVioletRed|MidnightBlue|MintCream|MistyRose|Moccasin|NavajoWhite|Navy|OldLace|Olive|OliveDrab|Orange|OrangeRed|Orchid|PaleGoldenRod|PaleGreen|PaleTurquoise|PaleVioletRed|PapayaWhip|PeachPuff|Peru|Pink|Plum|PowderBlue|Purple|Red|RosyBrown|RoyalBlue|SaddleBrown|Salmon|SandyBrown|SeaGreen|SeaShell|Sienna|Silver|SkyBlue|SlateBlue|SlateGr[ae]y|Snow|SpringGreen|SteelBlue|Tan|Teal|Thistle|Tomato|Transparent|Turquoise|Violet|Wheat|White|WhiteSmoke|Yellow|YellowGreen)\\b/i,\n {\n pattern:\n /\\b(?:hsl|rgb)\\(\\s*\\d{1,3}\\s*,\\s*\\d{1,3}%?\\s*,\\s*\\d{1,3}%?\\s*\\)\\B|\\b(?:hsl|rgb)a\\(\\s*\\d{1,3}\\s*,\\s*\\d{1,3}%?\\s*,\\s*\\d{1,3}%?\\s*,\\s*(?:0|0?\\.\\d+|1)\\s*\\)\\B/i,\n inside: {\n unit: unit,\n number: number,\n function: /[\\w-]+(?=\\()/,\n punctuation: /[(),]/\n }\n }\n ],\n entity: /\\\\[\\da-f]{1,8}/i,\n unit: unit,\n boolean: /\\b(?:false|true)\\b/,\n operator: [\n // We want non-word chars around \"-\" because it is\n // accepted in property names.\n /~|[+!\\/%<>?=]=?|[-:]=|\\*[*=]?|\\.{2,3}|&&|\\|\\||\\B-\\B|\\b(?:and|in|is(?: a| defined| not|nt)?|not|or)\\b/\n ],\n number: number,\n punctuation: /[{}()\\[\\];:,]/\n }\n inside['interpolation'] = {\n pattern: /\\{[^\\r\\n}:]+\\}/,\n alias: 'variable',\n inside: {\n delimiter: {\n pattern: /^\\{|\\}$/,\n alias: 'punctuation'\n },\n rest: inside\n }\n }\n inside['func'] = {\n pattern: /[\\w-]+\\([^)]*\\).*/,\n inside: {\n function: /^[^(]+/,\n rest: inside\n }\n }\n Prism.languages.stylus = {\n 'atrule-declaration': {\n pattern: /(^[ \\t]*)@.+/m,\n lookbehind: true,\n inside: {\n atrule: /^@[\\w-]+/,\n rest: inside\n }\n },\n 'variable-declaration': {\n pattern: /(^[ \\t]*)[\\w$-]+\\s*.?=[ \\t]*(?:\\{[^{}]*\\}|\\S.*|$)/m,\n lookbehind: true,\n inside: {\n variable: /^\\S+/,\n rest: inside\n }\n },\n statement: {\n pattern: /(^[ \\t]*)(?:else|for|if|return|unless)[ \\t].+/m,\n lookbehind: true,\n inside: {\n keyword: /^\\S+/,\n rest: inside\n }\n },\n // A property/value pair cannot end with a comma or a brace\n // It cannot have indented content unless it ended with a semicolon\n 'property-declaration': {\n pattern:\n /((?:^|\\{)([ \\t]*))(?:[\\w-]|\\{[^}\\r\\n]+\\})+(?:\\s*:\\s*|[ \\t]+)(?!\\s)[^{\\r\\n]*(?:;|[^{\\r\\n,]$(?!(?:\\r?\\n|\\r)(?:\\{|\\2[ \\t])))/m,\n lookbehind: true,\n inside: {\n property: {\n pattern: /^[^\\s:]+/,\n inside: {\n interpolation: inside.interpolation\n }\n },\n rest: inside\n }\n },\n // A selector can contain parentheses only as part of a pseudo-element\n // It can span multiple lines.\n // It must end with a comma or an accolade or have indented content.\n selector: {\n pattern:\n /(^[ \\t]*)(?:(?=\\S)(?:[^{}\\r\\n:()]|::?[\\w-]+(?:\\([^)\\r\\n]*\\)|(?![\\w-]))|\\{[^}\\r\\n]+\\})+)(?:(?:\\r?\\n|\\r)(?:\\1(?:(?=\\S)(?:[^{}\\r\\n:()]|::?[\\w-]+(?:\\([^)\\r\\n]*\\)|(?![\\w-]))|\\{[^}\\r\\n]+\\})+)))*(?:,$|\\{|(?=(?:\\r?\\n|\\r)(?:\\{|\\1[ \\t])))/m,\n lookbehind: true,\n inside: {\n interpolation: inside.interpolation,\n comment: inside.comment,\n punctuation: /[{},]/\n }\n },\n func: inside.func,\n string: inside.string,\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*)/,\n lookbehind: true,\n greedy: true\n },\n interpolation: inside.interpolation,\n punctuation: /[{}()\\[\\];:.]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = swift\nswift.displayName = 'swift'\nswift.aliases = []\nfunction swift(Prism) {\n Prism.languages.swift = {\n comment: {\n // Nested comments are supported up to 2 levels\n pattern:\n /(^|[^\\\\:])(?:\\/\\/.*|\\/\\*(?:[^/*]|\\/(?!\\*)|\\*(?!\\/)|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/)*\\*\\/)/,\n lookbehind: true,\n greedy: true\n },\n 'string-literal': [\n // https://docs.swift.org/swift-book/LanguageGuide/StringsAndCharacters.html\n {\n pattern: RegExp(\n /(^|[^\"#])/.source +\n '(?:' + // single-line string\n /\"(?:\\\\(?:\\((?:[^()]|\\([^()]*\\))*\\)|\\r\\n|[^(])|[^\\\\\\r\\n\"])*\"/\n .source +\n '|' + // multi-line string\n /\"\"\"(?:\\\\(?:\\((?:[^()]|\\([^()]*\\))*\\)|[^(])|[^\\\\\"]|\"(?!\"\"))*\"\"\"/\n .source +\n ')' +\n /(?![\"#])/.source\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /(\\\\\\()(?:[^()]|\\([^()]*\\))*(?=\\))/,\n lookbehind: true,\n inside: null // see below\n },\n 'interpolation-punctuation': {\n pattern: /^\\)|\\\\\\($/,\n alias: 'punctuation'\n },\n punctuation: /\\\\(?=[\\r\\n])/,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern: RegExp(\n /(^|[^\"#])(#+)/.source +\n '(?:' + // single-line string\n /\"(?:\\\\(?:#+\\((?:[^()]|\\([^()]*\\))*\\)|\\r\\n|[^#])|[^\\\\\\r\\n])*?\"/\n .source +\n '|' + // multi-line string\n /\"\"\"(?:\\\\(?:#+\\((?:[^()]|\\([^()]*\\))*\\)|[^#])|[^\\\\])*?\"\"\"/.source +\n ')' +\n '\\\\2'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /(\\\\#+\\()(?:[^()]|\\([^()]*\\))*(?=\\))/,\n lookbehind: true,\n inside: null // see below\n },\n 'interpolation-punctuation': {\n pattern: /^\\)|\\\\#+\\($/,\n alias: 'punctuation'\n },\n string: /[\\s\\S]+/\n }\n }\n ],\n directive: {\n // directives with conditions\n pattern: RegExp(\n /#/.source +\n '(?:' +\n (/(?:elseif|if)\\b/.source +\n '(?:[ \\t]*' + // This regex is a little complex. It's equivalent to this:\n // (?:![ \\t]*)?(?:\\b\\w+\\b(?:[ \\t]*<round>)?|<round>)(?:[ \\t]*(?:&&|\\|\\|))?\n // where <round> is a general parentheses expression.\n /(?:![ \\t]*)?(?:\\b\\w+\\b(?:[ \\t]*\\((?:[^()]|\\([^()]*\\))*\\))?|\\((?:[^()]|\\([^()]*\\))*\\))(?:[ \\t]*(?:&&|\\|\\|))?/\n .source +\n ')+') +\n '|' +\n /(?:else|endif)\\b/.source +\n ')'\n ),\n alias: 'property',\n inside: {\n 'directive-name': /^#\\w+/,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b\\d+(?:\\.\\d+)*\\b/,\n operator: /!|&&|\\|\\||[<>]=?/,\n punctuation: /[(),]/\n }\n },\n literal: {\n pattern:\n /#(?:colorLiteral|column|dsohandle|file(?:ID|Literal|Path)?|function|imageLiteral|line)\\b/,\n alias: 'constant'\n },\n 'other-directive': {\n pattern: /#\\w+\\b/,\n alias: 'property'\n },\n attribute: {\n pattern: /@\\w+/,\n alias: 'atrule'\n },\n 'function-definition': {\n pattern: /(\\bfunc\\s+)\\w+/,\n lookbehind: true,\n alias: 'function'\n },\n label: {\n // https://docs.swift.org/swift-book/LanguageGuide/ControlFlow.html#ID141\n pattern:\n /\\b(break|continue)\\s+\\w+|\\b[a-zA-Z_]\\w*(?=\\s*:\\s*(?:for|repeat|while)\\b)/,\n lookbehind: true,\n alias: 'important'\n },\n keyword:\n /\\b(?:Any|Protocol|Self|Type|actor|as|assignment|associatedtype|associativity|async|await|break|case|catch|class|continue|convenience|default|defer|deinit|didSet|do|dynamic|else|enum|extension|fallthrough|fileprivate|final|for|func|get|guard|higherThan|if|import|in|indirect|infix|init|inout|internal|is|isolated|lazy|left|let|lowerThan|mutating|none|nonisolated|nonmutating|open|operator|optional|override|postfix|precedencegroup|prefix|private|protocol|public|repeat|required|rethrows|return|right|safe|self|set|some|static|struct|subscript|super|switch|throw|throws|try|typealias|unowned|unsafe|var|weak|where|while|willSet)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n nil: {\n pattern: /\\bnil\\b/,\n alias: 'constant'\n },\n 'short-argument': /\\$\\d+\\b/,\n omit: {\n pattern: /\\b_\\b/,\n alias: 'keyword'\n },\n number:\n /\\b(?:[\\d_]+(?:\\.[\\de_]+)?|0x[a-f0-9_]+(?:\\.[a-f0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\b/i,\n // A class name must start with an upper-case letter and be either 1 letter long or contain a lower-case letter.\n 'class-name': /\\b[A-Z](?:[A-Z_\\d]*[a-z]\\w*)?\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n constant: /\\b(?:[A-Z_]{2,}|k[A-Z][A-Za-z_]+)\\b/,\n // Operators are generic in Swift. Developers can even create new operators (e.g. +++).\n // https://docs.swift.org/swift-book/ReferenceManual/zzSummaryOfTheGrammar.html#ID481\n // This regex only supports ASCII operators.\n operator: /[-+*/%=!<>&|^~?]+|\\.[.\\-+*/%=!<>&|^~?]+/,\n punctuation: /[{}[\\]();,.:\\\\]/\n }\n Prism.languages.swift['string-literal'].forEach(function (rule) {\n rule.inside['interpolation'].inside = Prism.languages.swift\n })\n}\n","'use strict'\n\nmodule.exports = systemd\nsystemd.displayName = 'systemd'\nsystemd.aliases = []\nfunction systemd(Prism) {\n // https://www.freedesktop.org/software/systemd/man/systemd.syntax.html\n ;(function (Prism) {\n var comment = {\n pattern: /^[;#].*/m,\n greedy: true\n }\n var quotesSource = /\"(?:[^\\r\\n\"\\\\]|\\\\(?:[^\\r]|\\r\\n?))*\"(?!\\S)/.source\n Prism.languages.systemd = {\n comment: comment,\n section: {\n pattern: /^\\[[^\\n\\r\\[\\]]*\\](?=[ \\t]*$)/m,\n greedy: true,\n inside: {\n punctuation: /^\\[|\\]$/,\n 'section-name': {\n pattern: /[\\s\\S]+/,\n alias: 'selector'\n }\n }\n },\n key: {\n pattern: /^[^\\s=]+(?=[ \\t]*=)/m,\n greedy: true,\n alias: 'attr-name'\n },\n value: {\n // This pattern is quite complex because of two properties:\n // 1) Quotes (strings) must be preceded by a space. Since we can't use lookbehinds, we have to \"resolve\"\n // the lookbehind. You will see this in the main loop where spaces are handled separately.\n // 2) Line continuations.\n // After line continuations, empty lines and comments are ignored so we have to consume them.\n pattern: RegExp(\n /(=[ \\t]*(?!\\s))/.source + // the value either starts with quotes or not\n '(?:' +\n quotesSource +\n '|(?=[^\"\\r\\n]))' + // main loop\n '(?:' +\n (/[^\\s\\\\]/.source + // handle spaces separately because of quotes\n '|' +\n '[ \\t]+(?:(?![ \\t\"])|' +\n quotesSource +\n ')' + // line continuation\n '|' +\n /\\\\[\\r\\n]+(?:[#;].*[\\r\\n]+)*(?![#;])/.source) +\n ')*'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'attr-value',\n inside: {\n comment: comment,\n quoted: {\n pattern: RegExp(/(^|\\s)/.source + quotesSource),\n lookbehind: true,\n greedy: true\n },\n punctuation: /\\\\$/m,\n boolean: {\n pattern: /^(?:false|no|off|on|true|yes)$/,\n greedy: true\n }\n }\n },\n punctuation: /=/\n }\n })(Prism)\n}\n","'use strict'\nvar refractorT4Templating = require('./t4-templating.js')\nvar refractorCsharp = require('./csharp.js')\nmodule.exports = t4Cs\nt4Cs.displayName = 't4Cs'\nt4Cs.aliases = []\nfunction t4Cs(Prism) {\n Prism.register(refractorT4Templating)\n Prism.register(refractorCsharp)\n Prism.languages.t4 = Prism.languages['t4-cs'] =\n Prism.languages['t4-templating'].createT4('csharp')\n}\n","'use strict'\n\nmodule.exports = t4Templating\nt4Templating.displayName = 't4Templating'\nt4Templating.aliases = []\nfunction t4Templating(Prism) {\n ;(function (Prism) {\n function createBlock(prefix, inside, contentAlias) {\n return {\n pattern: RegExp('<#' + prefix + '[\\\\s\\\\S]*?#>'),\n alias: 'block',\n inside: {\n delimiter: {\n pattern: RegExp('^<#' + prefix + '|#>$'),\n alias: 'important'\n },\n content: {\n pattern: /[\\s\\S]+/,\n inside: inside,\n alias: contentAlias\n }\n }\n }\n }\n function createT4(insideLang) {\n var grammar = Prism.languages[insideLang]\n var className = 'language-' + insideLang\n return {\n block: {\n pattern: /<#[\\s\\S]+?#>/,\n inside: {\n directive: createBlock('@', {\n 'attr-value': {\n pattern: /=(?:(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+)/,\n inside: {\n punctuation: /^=|^[\"']|[\"']$/\n }\n },\n keyword: /\\b\\w+(?=\\s)/,\n 'attr-name': /\\b\\w+/\n }),\n expression: createBlock('=', grammar, className),\n 'class-feature': createBlock('\\\\+', grammar, className),\n standard: createBlock('', grammar, className)\n }\n }\n }\n }\n Prism.languages['t4-templating'] = Object.defineProperty({}, 'createT4', {\n value: createT4\n })\n })(Prism)\n}\n","'use strict'\nvar refractorT4Templating = require('./t4-templating.js')\nvar refractorVbnet = require('./vbnet.js')\nmodule.exports = t4Vb\nt4Vb.displayName = 't4Vb'\nt4Vb.aliases = []\nfunction t4Vb(Prism) {\n Prism.register(refractorT4Templating)\n Prism.register(refractorVbnet)\n Prism.languages['t4-vb'] = Prism.languages['t4-templating'].createT4('vbnet')\n}\n","'use strict'\nvar refractorYaml = require('./yaml.js')\nmodule.exports = tap\ntap.displayName = 'tap'\ntap.aliases = []\nfunction tap(Prism) {\n Prism.register(refractorYaml)\n // https://en.wikipedia.org/wiki/Test_Anything_Protocol\n Prism.languages.tap = {\n fail: /not ok[^#{\\n\\r]*/,\n pass: /ok[^#{\\n\\r]*/,\n pragma: /pragma [+-][a-z]+/,\n bailout: /bail out!.*/i,\n version: /TAP version \\d+/i,\n plan: /\\b\\d+\\.\\.\\d+(?: +#.*)?/,\n subtest: {\n pattern: /# Subtest(?:: .*)?/,\n greedy: true\n },\n punctuation: /[{}]/,\n directive: /#.*/,\n yamlish: {\n pattern: /(^[ \\t]*)---[\\s\\S]*?[\\r\\n][ \\t]*\\.\\.\\.$/m,\n lookbehind: true,\n inside: Prism.languages.yaml,\n alias: 'language-yaml'\n }\n }\n}\n","'use strict'\n\nmodule.exports = tcl\ntcl.displayName = 'tcl'\ntcl.aliases = []\nfunction tcl(Prism) {\n Prism.languages.tcl = {\n comment: {\n pattern: /(^|[^\\\\])#.*/,\n lookbehind: true\n },\n string: {\n pattern: /\"(?:[^\"\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\"/,\n greedy: true\n },\n variable: [\n {\n pattern: /(\\$)(?:::)?(?:[a-zA-Z0-9]+::)*\\w+/,\n lookbehind: true\n },\n {\n pattern: /(\\$)\\{[^}]+\\}/,\n lookbehind: true\n },\n {\n pattern: /(^[\\t ]*set[ \\t]+)(?:::)?(?:[a-zA-Z0-9]+::)*\\w+/m,\n lookbehind: true\n }\n ],\n function: {\n pattern: /(^[\\t ]*proc[ \\t]+)\\S+/m,\n lookbehind: true\n },\n builtin: [\n {\n pattern:\n /(^[\\t ]*)(?:break|class|continue|error|eval|exit|for|foreach|if|proc|return|switch|while)\\b/m,\n lookbehind: true\n },\n /\\b(?:else|elseif)\\b/\n ],\n scope: {\n pattern: /(^[\\t ]*)(?:global|upvar|variable)\\b/m,\n lookbehind: true,\n alias: 'constant'\n },\n keyword: {\n pattern:\n /(^[\\t ]*|\\[)(?:Safe_Base|Tcl|after|append|apply|array|auto_(?:execok|import|load|mkindex|qualify|reset)|automkindex_old|bgerror|binary|catch|cd|chan|clock|close|concat|dde|dict|encoding|eof|exec|expr|fblocked|fconfigure|fcopy|file(?:event|name)?|flush|gets|glob|history|http|incr|info|interp|join|lappend|lassign|lindex|linsert|list|llength|load|lrange|lrepeat|lreplace|lreverse|lsearch|lset|lsort|math(?:func|op)|memory|msgcat|namespace|open|package|parray|pid|pkg_mkIndex|platform|puts|pwd|re_syntax|read|refchan|regexp|registry|regsub|rename|scan|seek|set|socket|source|split|string|subst|tcl(?:_endOfWord|_findLibrary|startOf(?:Next|Previous)Word|test|vars|wordBreak(?:After|Before))|tell|time|tm|trace|unknown|unload|unset|update|uplevel|vwait)\\b/m,\n lookbehind: true\n },\n operator:\n /!=?|\\*\\*?|==|&&?|\\|\\|?|<[=<]?|>[=>]?|[-+~\\/%?^]|\\b(?:eq|in|ne|ni)\\b/,\n punctuation: /[{}()\\[\\]]/\n }\n}\n","'use strict'\n\nmodule.exports = textile\ntextile.displayName = 'textile'\ntextile.aliases = []\nfunction textile(Prism) {\n ;(function (Prism) {\n // We don't allow for pipes inside parentheses\n // to not break table pattern |(. foo |). bar |\n var modifierRegex = /\\([^|()\\n]+\\)|\\[[^\\]\\n]+\\]|\\{[^}\\n]+\\}/.source // Opening and closing parentheses which are not a modifier\n // This pattern is necessary to prevent exponential backtracking\n var parenthesesRegex = /\\)|\\((?![^|()\\n]+\\))/.source\n /**\n * @param {string} source\n * @param {string} [flags]\n */\n function withModifier(source, flags) {\n return RegExp(\n source\n .replace(/<MOD>/g, function () {\n return '(?:' + modifierRegex + ')'\n })\n .replace(/<PAR>/g, function () {\n return '(?:' + parenthesesRegex + ')'\n }),\n flags || ''\n )\n }\n var modifierTokens = {\n css: {\n pattern: /\\{[^{}]+\\}/,\n inside: {\n rest: Prism.languages.css\n }\n },\n 'class-id': {\n pattern: /(\\()[^()]+(?=\\))/,\n lookbehind: true,\n alias: 'attr-value'\n },\n lang: {\n pattern: /(\\[)[^\\[\\]]+(?=\\])/,\n lookbehind: true,\n alias: 'attr-value'\n },\n // Anything else is punctuation (the first pattern is for row/col spans inside tables)\n punctuation: /[\\\\\\/]\\d+|\\S/\n }\n var textile = (Prism.languages.textile = Prism.languages.extend('markup', {\n phrase: {\n pattern: /(^|\\r|\\n)\\S[\\s\\S]*?(?=$|\\r?\\n\\r?\\n|\\r\\r)/,\n lookbehind: true,\n inside: {\n // h1. Header 1\n 'block-tag': {\n pattern: withModifier(/^[a-z]\\w*(?:<MOD>|<PAR>|[<>=])*\\./.source),\n inside: {\n modifier: {\n pattern: withModifier(\n /(^[a-z]\\w*)(?:<MOD>|<PAR>|[<>=])+(?=\\.)/.source\n ),\n lookbehind: true,\n inside: modifierTokens\n },\n tag: /^[a-z]\\w*/,\n punctuation: /\\.$/\n }\n },\n // # List item\n // * List item\n list: {\n pattern: withModifier(/^[*#]+<MOD>*\\s+\\S.*/.source, 'm'),\n inside: {\n modifier: {\n pattern: withModifier(/(^[*#]+)<MOD>+/.source),\n lookbehind: true,\n inside: modifierTokens\n },\n punctuation: /^[*#]+/\n }\n },\n // | cell | cell | cell |\n table: {\n // Modifiers can be applied to the row: {color:red}.|1|2|3|\n // or the cell: |{color:red}.1|2|3|\n pattern: withModifier(\n /^(?:(?:<MOD>|<PAR>|[<>=^~])+\\.\\s*)?(?:\\|(?:(?:<MOD>|<PAR>|[<>=^~_]|[\\\\/]\\d+)+\\.|(?!(?:<MOD>|<PAR>|[<>=^~_]|[\\\\/]\\d+)+\\.))[^|]*)+\\|/\n .source,\n 'm'\n ),\n inside: {\n modifier: {\n // Modifiers for rows after the first one are\n // preceded by a pipe and a line feed\n pattern: withModifier(\n /(^|\\|(?:\\r?\\n|\\r)?)(?:<MOD>|<PAR>|[<>=^~_]|[\\\\/]\\d+)+(?=\\.)/\n .source\n ),\n lookbehind: true,\n inside: modifierTokens\n },\n punctuation: /\\||^\\./\n }\n },\n inline: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(\n /(^|[^a-zA-Z\\d])(\\*\\*|__|\\?\\?|[*_%@+\\-^~])<MOD>*.+?\\2(?![a-zA-Z\\d])/\n .source\n ),\n lookbehind: true,\n inside: {\n // Note: superscripts and subscripts are not handled specifically\n // *bold*, **bold**\n bold: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^(\\*\\*?)<MOD>*).+?(?=\\2)/.source),\n lookbehind: true\n },\n // _italic_, __italic__\n italic: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^(__?)<MOD>*).+?(?=\\2)/.source),\n lookbehind: true\n },\n // ??cite??\n cite: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^\\?\\?<MOD>*).+?(?=\\?\\?)/.source),\n lookbehind: true,\n alias: 'string'\n },\n // @code@\n code: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^@<MOD>*).+?(?=@)/.source),\n lookbehind: true,\n alias: 'keyword'\n },\n // +inserted+\n inserted: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^\\+<MOD>*).+?(?=\\+)/.source),\n lookbehind: true\n },\n // -deleted-\n deleted: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^-<MOD>*).+?(?=-)/.source),\n lookbehind: true\n },\n // %span%\n span: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^%<MOD>*).+?(?=%)/.source),\n lookbehind: true\n },\n modifier: {\n pattern: withModifier(\n /(^\\*\\*|__|\\?\\?|[*_%@+\\-^~])<MOD>+/.source\n ),\n lookbehind: true,\n inside: modifierTokens\n },\n punctuation: /[*_%?@+\\-^~]+/\n }\n },\n // [alias]http://example.com\n 'link-ref': {\n pattern: /^\\[[^\\]]+\\]\\S+$/m,\n inside: {\n string: {\n pattern: /(^\\[)[^\\]]+(?=\\])/,\n lookbehind: true\n },\n url: {\n pattern: /(^\\])\\S+$/,\n lookbehind: true\n },\n punctuation: /[\\[\\]]/\n }\n },\n // \"text\":http://example.com\n // \"text\":link-ref\n link: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(\n /\"<MOD>*[^\"]+\":.+?(?=[^\\w/]?(?:\\s|$))/.source\n ),\n inside: {\n text: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^\"<MOD>*)[^\"]+(?=\")/.source),\n lookbehind: true\n },\n modifier: {\n pattern: withModifier(/(^\")<MOD>+/.source),\n lookbehind: true,\n inside: modifierTokens\n },\n url: {\n pattern: /(:).+/,\n lookbehind: true\n },\n punctuation: /[\":]/\n }\n },\n // !image.jpg!\n // !image.jpg(Title)!:http://example.com\n image: {\n pattern: withModifier(\n /!(?:<MOD>|<PAR>|[<>=])*(?![<>=])[^!\\s()]+(?:\\([^)]+\\))?!(?::.+?(?=[^\\w/]?(?:\\s|$)))?/\n .source\n ),\n inside: {\n source: {\n pattern: withModifier(\n /(^!(?:<MOD>|<PAR>|[<>=])*)(?![<>=])[^!\\s()]+(?:\\([^)]+\\))?(?=!)/\n .source\n ),\n lookbehind: true,\n alias: 'url'\n },\n modifier: {\n pattern: withModifier(/(^!)(?:<MOD>|<PAR>|[<>=])+/.source),\n lookbehind: true,\n inside: modifierTokens\n },\n url: {\n pattern: /(:).+/,\n lookbehind: true\n },\n punctuation: /[!:]/\n }\n },\n // Footnote[1]\n footnote: {\n pattern: /\\b\\[\\d+\\]/,\n alias: 'comment',\n inside: {\n punctuation: /\\[|\\]/\n }\n },\n // CSS(Cascading Style Sheet)\n acronym: {\n pattern: /\\b[A-Z\\d]+\\([^)]+\\)/,\n inside: {\n comment: {\n pattern: /(\\()[^()]+(?=\\))/,\n lookbehind: true\n },\n punctuation: /[()]/\n }\n },\n // Prism(C)\n mark: {\n pattern: /\\b\\((?:C|R|TM)\\)/,\n alias: 'comment',\n inside: {\n punctuation: /[()]/\n }\n }\n }\n }\n }))\n var phraseInside = textile['phrase'].inside\n var nestedPatterns = {\n inline: phraseInside['inline'],\n link: phraseInside['link'],\n image: phraseInside['image'],\n footnote: phraseInside['footnote'],\n acronym: phraseInside['acronym'],\n mark: phraseInside['mark']\n } // Only allow alpha-numeric HTML tags, not XML tags\n textile.tag.pattern =\n /<\\/?(?!\\d)[a-z0-9]+(?:\\s+[^\\s>\\/=]+(?:=(?:(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+))?)*\\s*\\/?>/i // Allow some nesting\n var phraseInlineInside = phraseInside['inline'].inside\n phraseInlineInside['bold'].inside = nestedPatterns\n phraseInlineInside['italic'].inside = nestedPatterns\n phraseInlineInside['inserted'].inside = nestedPatterns\n phraseInlineInside['deleted'].inside = nestedPatterns\n phraseInlineInside['span'].inside = nestedPatterns // Allow some styles inside table cells\n var phraseTableInside = phraseInside['table'].inside\n phraseTableInside['inline'] = nestedPatterns['inline']\n phraseTableInside['link'] = nestedPatterns['link']\n phraseTableInside['image'] = nestedPatterns['image']\n phraseTableInside['footnote'] = nestedPatterns['footnote']\n phraseTableInside['acronym'] = nestedPatterns['acronym']\n phraseTableInside['mark'] = nestedPatterns['mark']\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = toml\ntoml.displayName = 'toml'\ntoml.aliases = []\nfunction toml(Prism) {\n ;(function (Prism) {\n var key = /(?:[\\w-]+|'[^'\\n\\r]*'|\"(?:\\\\.|[^\\\\\"\\r\\n])*\")/.source\n /**\n * @param {string} pattern\n */\n function insertKey(pattern) {\n return pattern.replace(/__/g, function () {\n return key\n })\n }\n Prism.languages.toml = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n table: {\n pattern: RegExp(\n insertKey(\n /(^[\\t ]*\\[\\s*(?:\\[\\s*)?)__(?:\\s*\\.\\s*__)*(?=\\s*\\])/.source\n ),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'class-name'\n },\n key: {\n pattern: RegExp(\n insertKey(/(^[\\t ]*|[{,]\\s*)__(?:\\s*\\.\\s*__)*(?=\\s*=)/.source),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'property'\n },\n string: {\n pattern:\n /\"\"\"(?:\\\\[\\s\\S]|[^\\\\])*?\"\"\"|'''[\\s\\S]*?'''|'[^'\\n\\r]*'|\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/,\n greedy: true\n },\n date: [\n {\n // Offset Date-Time, Local Date-Time, Local Date\n pattern:\n /\\b\\d{4}-\\d{2}-\\d{2}(?:[T\\s]\\d{2}:\\d{2}:\\d{2}(?:\\.\\d+)?(?:Z|[+-]\\d{2}:\\d{2})?)?\\b/i,\n alias: 'number'\n },\n {\n // Local Time\n pattern: /\\b\\d{2}:\\d{2}:\\d{2}(?:\\.\\d+)?\\b/,\n alias: 'number'\n }\n ],\n number:\n /(?:\\b0(?:x[\\da-zA-Z]+(?:_[\\da-zA-Z]+)*|o[0-7]+(?:_[0-7]+)*|b[10]+(?:_[10]+)*))\\b|[-+]?\\b\\d+(?:_\\d+)*(?:\\.\\d+(?:_\\d+)*)?(?:[eE][+-]?\\d+(?:_\\d+)*)?\\b|[-+]?\\b(?:inf|nan)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n punctuation: /[.,=[\\]{}]/\n }\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = tremor\ntremor.displayName = 'tremor'\ntremor.aliases = []\nfunction tremor(Prism) {\n ;(function (Prism) {\n Prism.languages.tremor = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|(?:--|\\/\\/|#).*)/,\n lookbehind: true\n },\n 'interpolated-string': null,\n // see below\n extractor: {\n pattern: /\\b[a-z_]\\w*\\|(?:[^\\r\\n\\\\|]|\\\\(?:\\r\\n|[\\s\\S]))*\\|/i,\n greedy: true,\n inside: {\n regex: {\n pattern: /(^re)\\|[\\s\\S]+/,\n lookbehind: true\n },\n function: /^\\w+/,\n value: /\\|[\\s\\S]+/\n }\n },\n identifier: {\n pattern: /`[^`]*`/,\n greedy: true\n },\n function: /\\b[a-z_]\\w*(?=\\s*(?:::\\s*<|\\())\\b/,\n keyword:\n /\\b(?:args|as|by|case|config|connect|connector|const|copy|create|default|define|deploy|drop|each|emit|end|erase|event|flow|fn|for|from|group|having|insert|into|intrinsic|let|links|match|merge|mod|move|of|operator|patch|pipeline|recur|script|select|set|sliding|state|stream|to|tumbling|update|use|when|where|window|with)\\b/,\n boolean: /\\b(?:false|null|true)\\b/i,\n number:\n /\\b(?:0b[01_]*|0x[0-9a-fA-F_]*|\\d[\\d_]*(?:\\.\\d[\\d_]*)?(?:[Ee][+-]?[\\d_]+)?)\\b/,\n 'pattern-punctuation': {\n pattern: /%(?=[({[])/,\n alias: 'punctuation'\n },\n operator:\n /[-+*\\/%~!^]=?|=[=>]?|&[&=]?|\\|[|=]?|<<?=?|>>?>?=?|(?:absent|and|not|or|present|xor)\\b/,\n punctuation: /::|[;\\[\\]()\\{\\},.:]/\n }\n var interpolationPattern =\n /#\\{(?:[^\"{}]|\\{[^{}]*\\}|\"(?:[^\"\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\")*\\}/.source\n Prism.languages.tremor['interpolated-string'] = {\n pattern: RegExp(\n /(^|[^\\\\])/.source +\n '(?:' +\n '\"\"\"(?:' +\n /[^\"\\\\#]|\\\\[\\s\\S]|\"(?!\"\")|#(?!\\{)/.source +\n '|' +\n interpolationPattern +\n ')*\"\"\"' +\n '|' +\n '\"(?:' +\n /[^\"\\\\\\r\\n#]|\\\\(?:\\r\\n|[\\s\\S])|#(?!\\{)/.source +\n '|' +\n interpolationPattern +\n ')*\"' +\n ')'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern: RegExp(interpolationPattern),\n inside: {\n punctuation: /^#\\{|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.tremor\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n Prism.languages.troy = Prism.languages['tremor']\n Prism.languages.trickle = Prism.languages['tremor']\n })(Prism)\n}\n","'use strict'\nvar refractorJsx = require('./jsx.js')\nvar refractorTypescript = require('./typescript.js')\nmodule.exports = tsx\ntsx.displayName = 'tsx'\ntsx.aliases = []\nfunction tsx(Prism) {\n Prism.register(refractorJsx)\n Prism.register(refractorTypescript)\n ;(function (Prism) {\n var typescript = Prism.util.clone(Prism.languages.typescript)\n Prism.languages.tsx = Prism.languages.extend('jsx', typescript) // doesn't work with TS because TS is too complex\n delete Prism.languages.tsx['parameter']\n delete Prism.languages.tsx['literal-property'] // This will prevent collisions between TSX tags and TS generic types.\n // Idea by https://github.com/karlhorky\n // Discussion: https://github.com/PrismJS/prism/issues/2594#issuecomment-710666928\n var tag = Prism.languages.tsx.tag\n tag.pattern = RegExp(\n /(^|[^\\w$]|(?=<\\/))/.source + '(?:' + tag.pattern.source + ')',\n tag.pattern.flags\n )\n tag.lookbehind = true\n })(Prism)\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = tt2\ntt2.displayName = 'tt2'\ntt2.aliases = []\nfunction tt2(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.tt2 = Prism.languages.extend('clike', {\n comment: /#.*|\\[%#[\\s\\S]*?%\\]/,\n keyword:\n /\\b(?:BLOCK|CALL|CASE|CATCH|CLEAR|DEBUG|DEFAULT|ELSE|ELSIF|END|FILTER|FINAL|FOREACH|GET|IF|IN|INCLUDE|INSERT|LAST|MACRO|META|NEXT|PERL|PROCESS|RAWPERL|RETURN|SET|STOP|SWITCH|TAGS|THROW|TRY|UNLESS|USE|WHILE|WRAPPER)\\b/,\n punctuation: /[[\\]{},()]/\n })\n Prism.languages.insertBefore('tt2', 'number', {\n operator: /=[>=]?|!=?|<=?|>=?|&&|\\|\\|?|\\b(?:and|not|or)\\b/,\n variable: {\n pattern: /\\b[a-z]\\w*(?:\\s*\\.\\s*(?:\\d+|\\$?[a-z]\\w*))*\\b/i\n }\n })\n Prism.languages.insertBefore('tt2', 'keyword', {\n delimiter: {\n pattern: /^(?:\\[%|%%)-?|-?%\\]$/,\n alias: 'punctuation'\n }\n })\n Prism.languages.insertBefore('tt2', 'string', {\n 'single-quoted-string': {\n pattern: /'[^\\\\']*(?:\\\\[\\s\\S][^\\\\']*)*'/,\n greedy: true,\n alias: 'string'\n },\n 'double-quoted-string': {\n pattern: /\"[^\\\\\"]*(?:\\\\[\\s\\S][^\\\\\"]*)*\"/,\n greedy: true,\n alias: 'string',\n inside: {\n variable: {\n pattern: /\\$(?:[a-z]\\w*(?:\\.(?:\\d+|\\$?[a-z]\\w*))*)/i\n }\n }\n }\n }) // The different types of TT2 strings \"replace\" the C-like standard string\n delete Prism.languages.tt2.string\n Prism.hooks.add('before-tokenize', function (env) {\n var tt2Pattern = /\\[%[\\s\\S]+?%\\]/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'tt2',\n tt2Pattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'tt2')\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = turtle\nturtle.displayName = 'turtle'\nturtle.aliases = []\nfunction turtle(Prism) {\n Prism.languages.turtle = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n 'multiline-string': {\n pattern:\n /\"\"\"(?:(?:\"\"?)?(?:[^\"\\\\]|\\\\.))*\"\"\"|'''(?:(?:''?)?(?:[^'\\\\]|\\\\.))*'''/,\n greedy: true,\n alias: 'string',\n inside: {\n comment: /#.*/\n }\n },\n string: {\n pattern: /\"(?:[^\\\\\"\\r\\n]|\\\\.)*\"|'(?:[^\\\\'\\r\\n]|\\\\.)*'/,\n greedy: true\n },\n url: {\n pattern:\n /<(?:[^\\x00-\\x20<>\"{}|^`\\\\]|\\\\(?:u[\\da-fA-F]{4}|U[\\da-fA-F]{8}))*>/,\n greedy: true,\n inside: {\n punctuation: /[<>]/\n }\n },\n function: {\n pattern:\n /(?:(?![-.\\d\\xB7])[-.\\w\\xB7\\xC0-\\uFFFD]+)?:(?:(?![-.])(?:[-.:\\w\\xC0-\\uFFFD]|%[\\da-f]{2}|\\\\.)+)?/i,\n inside: {\n 'local-name': {\n pattern: /([^:]*:)[\\s\\S]+/,\n lookbehind: true\n },\n prefix: {\n pattern: /[\\s\\S]+/,\n inside: {\n punctuation: /:/\n }\n }\n }\n },\n number: /[+-]?\\b\\d+(?:\\.\\d*)?(?:e[+-]?\\d+)?/i,\n punctuation: /[{}.,;()[\\]]|\\^\\^/,\n boolean: /\\b(?:false|true)\\b/,\n keyword: [/(?:\\ba|@prefix|@base)\\b|=/, /\\b(?:base|graph|prefix)\\b/i],\n tag: {\n pattern: /@[a-z]+(?:-[a-z\\d]+)*/i,\n inside: {\n punctuation: /@/\n }\n }\n }\n Prism.languages.trig = Prism.languages['turtle']\n}\n","'use strict'\nvar refractorMarkupTemplating = require('./markup-templating.js')\nmodule.exports = twig\ntwig.displayName = 'twig'\ntwig.aliases = []\nfunction twig(Prism) {\n Prism.register(refractorMarkupTemplating)\n Prism.languages.twig = {\n comment: /^\\{#[\\s\\S]*?#\\}$/,\n 'tag-name': {\n pattern: /(^\\{%-?\\s*)\\w+/,\n lookbehind: true,\n alias: 'keyword'\n },\n delimiter: {\n pattern: /^\\{[{%]-?|-?[%}]\\}$/,\n alias: 'punctuation'\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n inside: {\n punctuation: /^['\"]|['\"]$/\n }\n },\n keyword: /\\b(?:even|if|odd)\\b/,\n boolean: /\\b(?:false|null|true)\\b/,\n number: /\\b0x[\\dA-Fa-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee][-+]?\\d+)?/,\n operator: [\n {\n pattern:\n /(\\s)(?:and|b-and|b-or|b-xor|ends with|in|is|matches|not|or|same as|starts with)(?=\\s)/,\n lookbehind: true\n },\n /[=<>]=?|!=|\\*\\*?|\\/\\/?|\\?:?|[-+~%|]/\n ],\n punctuation: /[()\\[\\]{}:.,]/\n }\n Prism.hooks.add('before-tokenize', function (env) {\n if (env.language !== 'twig') {\n return\n }\n var pattern = /\\{(?:#[\\s\\S]*?#|%[\\s\\S]*?%|\\{[\\s\\S]*?\\})\\}/g\n Prism.languages['markup-templating'].buildPlaceholders(env, 'twig', pattern)\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'twig')\n })\n}\n","'use strict'\n\nmodule.exports = typescript\ntypescript.displayName = 'typescript'\ntypescript.aliases = ['ts']\nfunction typescript(Prism) {\n ;(function (Prism) {\n Prism.languages.typescript = Prism.languages.extend('javascript', {\n 'class-name': {\n pattern:\n /(\\b(?:class|extends|implements|instanceof|interface|new|type)\\s+)(?!keyof\\b)(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?:\\s*<(?:[^<>]|<(?:[^<>]|<[^<>]*>)*>)*>)?/,\n lookbehind: true,\n greedy: true,\n inside: null // see below\n },\n builtin:\n /\\b(?:Array|Function|Promise|any|boolean|console|never|number|string|symbol|unknown)\\b/\n }) // The keywords TypeScript adds to JavaScript\n Prism.languages.typescript.keyword.push(\n /\\b(?:abstract|declare|is|keyof|readonly|require)\\b/, // keywords that have to be followed by an identifier\n /\\b(?:asserts|infer|interface|module|namespace|type)\\b(?=\\s*(?:[{_$a-zA-Z\\xA0-\\uFFFF]|$))/, // This is for `import type *, {}`\n /\\btype\\b(?=\\s*(?:[\\{*]|$))/\n ) // doesn't work with TS because TS is too complex\n delete Prism.languages.typescript['parameter']\n delete Prism.languages.typescript['literal-property'] // a version of typescript specifically for highlighting types\n var typeInside = Prism.languages.extend('typescript', {})\n delete typeInside['class-name']\n Prism.languages.typescript['class-name'].inside = typeInside\n Prism.languages.insertBefore('typescript', 'function', {\n decorator: {\n pattern: /@[$\\w\\xA0-\\uFFFF]+/,\n inside: {\n at: {\n pattern: /^@/,\n alias: 'operator'\n },\n function: /^[\\s\\S]+/\n }\n },\n 'generic-function': {\n // e.g. foo<T extends \"bar\" | \"baz\">( ...\n pattern:\n /#?(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*\\s*<(?:[^<>]|<(?:[^<>]|<[^<>]*>)*>)*>(?=\\s*\\()/,\n greedy: true,\n inside: {\n function: /^#?(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*/,\n generic: {\n pattern: /<[\\s\\S]+/,\n // everything after the first <\n alias: 'class-name',\n inside: typeInside\n }\n }\n }\n })\n Prism.languages.ts = Prism.languages.typescript\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = typoscript\ntyposcript.displayName = 'typoscript'\ntyposcript.aliases = ['tsconfig']\nfunction typoscript(Prism) {\n ;(function (Prism) {\n var keywords =\n /\\b(?:ACT|ACTIFSUB|CARRAY|CASE|CLEARGIF|COA|COA_INT|CONSTANTS|CONTENT|CUR|EDITPANEL|EFFECT|EXT|FILE|FLUIDTEMPLATE|FORM|FRAME|FRAMESET|GIFBUILDER|GMENU|GMENU_FOLDOUT|GMENU_LAYERS|GP|HMENU|HRULER|HTML|IENV|IFSUB|IMAGE|IMGMENU|IMGMENUITEM|IMGTEXT|IMG_RESOURCE|INCLUDE_TYPOSCRIPT|JSMENU|JSMENUITEM|LLL|LOAD_REGISTER|NO|PAGE|RECORDS|RESTORE_REGISTER|TEMPLATE|TEXT|TMENU|TMENUITEM|TMENU_LAYERS|USER|USER_INT|_GIFBUILDER|global|globalString|globalVar)\\b/\n Prism.languages.typoscript = {\n comment: [\n {\n // multiline comments /* */\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true\n },\n {\n // double-slash comments - ignored when backslashes or colon is found in front\n // also ignored whenever directly after an equal-sign, because it would probably be an url without protocol\n pattern: /(^|[^\\\\:= \\t]|(?:^|[^= \\t])[ \\t]+)\\/\\/.*/,\n lookbehind: true,\n greedy: true\n },\n {\n // hash comments - ignored when leading quote is found for hex colors in strings\n pattern: /(^|[^\"'])#.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n function: [\n {\n // old include style\n pattern:\n /<INCLUDE_TYPOSCRIPT:\\s*source\\s*=\\s*(?:\"[^\"\\r\\n]*\"|'[^'\\r\\n]*')\\s*>/,\n inside: {\n string: {\n pattern: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/,\n inside: {\n keyword: keywords\n }\n },\n keyword: {\n pattern: /INCLUDE_TYPOSCRIPT/\n }\n }\n },\n {\n // new include style\n pattern: /@import\\s*(?:\"[^\"\\r\\n]*\"|'[^'\\r\\n]*')/,\n inside: {\n string: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/\n }\n }\n ],\n string: {\n pattern: /^([^=]*=[< ]?)(?:(?!\\]\\n).)*/,\n lookbehind: true,\n inside: {\n function: /\\{\\$.*\\}/,\n // constants include\n keyword: keywords,\n number: /^\\d+$/,\n punctuation: /[,|:]/\n }\n },\n keyword: keywords,\n number: {\n // special highlighting for indexes of arrays in tags\n pattern: /\\b\\d+\\s*[.{=]/,\n inside: {\n operator: /[.{=]/\n }\n },\n tag: {\n pattern: /\\.?[-\\w\\\\]+\\.?/,\n inside: {\n punctuation: /\\./\n }\n },\n punctuation: /[{}[\\];(),.:|]/,\n operator: /[<>]=?|[!=]=?=?|--?|\\+\\+?|&&?|\\|\\|?|[?*/~^%]/\n }\n Prism.languages.tsconfig = Prism.languages.typoscript\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = unrealscript\nunrealscript.displayName = 'unrealscript'\nunrealscript.aliases = ['uc', 'uscript']\nfunction unrealscript(Prism) {\n Prism.languages.unrealscript = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n string: {\n pattern: /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n category: {\n pattern:\n /(\\b(?:(?:autoexpand|hide|show)categories|var)\\s*\\()[^()]+(?=\\))/,\n lookbehind: true,\n greedy: true,\n alias: 'property'\n },\n metadata: {\n pattern: /(\\w\\s*)<\\s*\\w+\\s*=[^<>|=\\r\\n]+(?:\\|\\s*\\w+\\s*=[^<>|=\\r\\n]+)*>/,\n lookbehind: true,\n greedy: true,\n inside: {\n property: /\\b\\w+(?=\\s*=)/,\n operator: /=/,\n punctuation: /[<>|]/\n }\n },\n macro: {\n pattern: /`\\w+/,\n alias: 'property'\n },\n 'class-name': {\n pattern:\n /(\\b(?:class|enum|extends|interface|state(?:\\(\\))?|struct|within)\\s+)\\w+/,\n lookbehind: true\n },\n keyword:\n /\\b(?:abstract|actor|array|auto|autoexpandcategories|bool|break|byte|case|class|classgroup|client|coerce|collapsecategories|config|const|continue|default|defaultproperties|delegate|dependson|deprecated|do|dontcollapsecategories|editconst|editinlinenew|else|enum|event|exec|export|extends|final|float|for|forcescriptorder|foreach|function|goto|guid|hidecategories|hidedropdown|if|ignores|implements|inherits|input|int|interface|iterator|latent|local|material|name|native|nativereplication|noexport|nontransient|noteditinlinenew|notplaceable|operator|optional|out|pawn|perobjectconfig|perobjectlocalized|placeable|postoperator|preoperator|private|protected|reliable|replication|return|server|showcategories|simulated|singular|state|static|string|struct|structdefault|structdefaultproperties|switch|texture|transient|travel|unreliable|until|var|vector|while|within)\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b0x[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?/i,\n // https://docs.unrealengine.com/udk/Three/UnrealScriptExpressions.html\n operator:\n />>|<<|--|\\+\\+|\\*\\*|[-+*/~!=<>$@]=?|&&?|\\|\\|?|\\^\\^?|[?:%]|\\b(?:ClockwiseFrom|Cross|Dot)\\b/,\n punctuation: /[()[\\]{};,.]/\n }\n Prism.languages.uc = Prism.languages.uscript = Prism.languages.unrealscript\n}\n","'use strict'\n\nmodule.exports = uorazor\nuorazor.displayName = 'uorazor'\nuorazor.aliases = []\nfunction uorazor(Prism) {\n Prism.languages.uorazor = {\n 'comment-hash': {\n pattern: /#.*/,\n alias: 'comment',\n greedy: true\n },\n 'comment-slash': {\n pattern: /\\/\\/.*/,\n alias: 'comment',\n greedy: true\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n inside: {\n punctuation: /^['\"]|['\"]$/\n },\n greedy: true\n },\n 'source-layers': {\n pattern:\n /\\b(?:arms|backpack|blue|bracelet|cancel|clear|cloak|criminal|earrings|enemy|facialhair|friend|friendly|gloves|gray|grey|ground|hair|head|innerlegs|innertorso|innocent|lefthand|middletorso|murderer|neck|nonfriendly|onehandedsecondary|outerlegs|outertorso|pants|red|righthand|ring|self|shirt|shoes|talisman|waist)\\b/i,\n alias: 'function'\n },\n 'source-commands': {\n pattern:\n /\\b(?:alliance|attack|cast|clearall|clearignore|clearjournal|clearlist|clearsysmsg|createlist|createtimer|dclick|dclicktype|dclickvar|dress|dressconfig|drop|droprelloc|emote|getlabel|guild|gumpclose|gumpresponse|hotkey|ignore|lasttarget|lift|lifttype|menu|menuresponse|msg|org|organize|organizer|overhead|pause|poplist|potion|promptresponse|pushlist|removelist|removetimer|rename|restock|say|scav|scavenger|script|setability|setlasttarget|setskill|settimer|setvar|sysmsg|target|targetloc|targetrelloc|targettype|undress|unignore|unsetvar|useobject|useonce|useskill|usetype|virtue|wait|waitforgump|waitformenu|waitforprompt|waitforstat|waitforsysmsg|waitfortarget|walk|wfsysmsg|wft|whisper|yell)\\b/,\n alias: 'function'\n },\n 'tag-name': {\n pattern: /(^\\{%-?\\s*)\\w+/,\n lookbehind: true,\n alias: 'keyword'\n },\n delimiter: {\n pattern: /^\\{[{%]-?|-?[%}]\\}$/,\n alias: 'punctuation'\n },\n function:\n /\\b(?:atlist|close|closest|count|counter|counttype|dead|dex|diffhits|diffmana|diffstam|diffweight|find|findbuff|finddebuff|findlayer|findtype|findtypelist|followers|gumpexists|hidden|hits|hp|hue|human|humanoid|ingump|inlist|insysmessage|insysmsg|int|invul|lhandempty|list|listexists|mana|maxhits|maxhp|maxmana|maxstam|maxweight|monster|mounted|name|next|noto|paralyzed|poisoned|position|prev|previous|queued|rand|random|rhandempty|skill|stam|str|targetexists|timer|timerexists|varexist|warmode|weight)\\b/,\n keyword:\n /\\b(?:and|as|break|continue|else|elseif|endfor|endif|endwhile|for|if|loop|not|or|replay|stop|while)\\b/,\n boolean: /\\b(?:false|null|true)\\b/,\n number: /\\b0x[\\dA-Fa-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee][-+]?\\d+)?/,\n operator: [\n {\n pattern:\n /(\\s)(?:and|b-and|b-or|b-xor|ends with|in|is|matches|not|or|same as|starts with)(?=\\s)/,\n lookbehind: true\n },\n /[=<>]=?|!=|\\*\\*?|\\/\\/?|\\?:?|[-+~%|]/\n ],\n punctuation: /[()\\[\\]{}:.,]/\n }\n}\n","'use strict'\n\nmodule.exports = uri\nuri.displayName = 'uri'\nuri.aliases = ['url']\nfunction uri(Prism) {\n // https://tools.ietf.org/html/rfc3986#appendix-A\n Prism.languages.uri = {\n scheme: {\n pattern: /^[a-z][a-z0-9+.-]*:/im,\n greedy: true,\n inside: {\n 'scheme-delimiter': /:$/\n }\n },\n fragment: {\n pattern: /#[\\w\\-.~!$&'()*+,;=%:@/?]*/,\n inside: {\n 'fragment-delimiter': /^#/\n }\n },\n query: {\n pattern: /\\?[\\w\\-.~!$&'()*+,;=%:@/?]*/,\n inside: {\n 'query-delimiter': {\n pattern: /^\\?/,\n greedy: true\n },\n 'pair-delimiter': /[&;]/,\n pair: {\n pattern: /^[^=][\\s\\S]*/,\n inside: {\n key: /^[^=]+/,\n value: {\n pattern: /(^=)[\\s\\S]+/,\n lookbehind: true\n }\n }\n }\n }\n },\n authority: {\n pattern: RegExp(\n /^\\/\\//.source + // [ userinfo \"@\" ]\n /(?:[\\w\\-.~!$&'()*+,;=%:]*@)?/.source + // host\n ('(?:' + // IP-literal\n /\\[(?:[0-9a-fA-F:.]{2,48}|v[0-9a-fA-F]+\\.[\\w\\-.~!$&'()*+,;=]+)\\]/\n .source +\n '|' + // IPv4address or registered name\n /[\\w\\-.~!$&'()*+,;=%]*/.source +\n ')') + // [ \":\" port ]\n /(?::\\d*)?/.source,\n 'm'\n ),\n inside: {\n 'authority-delimiter': /^\\/\\//,\n 'user-info-segment': {\n pattern: /^[\\w\\-.~!$&'()*+,;=%:]*@/,\n inside: {\n 'user-info-delimiter': /@$/,\n 'user-info': /^[\\w\\-.~!$&'()*+,;=%:]+/\n }\n },\n 'port-segment': {\n pattern: /:\\d*$/,\n inside: {\n 'port-delimiter': /^:/,\n port: /^\\d+/\n }\n },\n host: {\n pattern: /[\\s\\S]+/,\n inside: {\n 'ip-literal': {\n pattern: /^\\[[\\s\\S]+\\]$/,\n inside: {\n 'ip-literal-delimiter': /^\\[|\\]$/,\n 'ipv-future': /^v[\\s\\S]+/,\n 'ipv6-address': /^[\\s\\S]+/\n }\n },\n 'ipv4-address':\n /^(?:(?:[03-9]\\d?|[12]\\d{0,2})\\.){3}(?:[03-9]\\d?|[12]\\d{0,2})$/\n }\n }\n }\n },\n path: {\n pattern: /^[\\w\\-.~!$&'()*+,;=%:@/]+/m,\n inside: {\n 'path-separator': /\\//\n }\n }\n }\n Prism.languages.url = Prism.languages.uri\n}\n","'use strict'\n\nmodule.exports = v\nv.displayName = 'v'\nv.aliases = []\nfunction v(Prism) {\n ;(function (Prism) {\n var interpolationExpr = {\n pattern: /[\\s\\S]+/,\n inside: null\n }\n Prism.languages.v = Prism.languages.extend('clike', {\n string: {\n pattern: /r?([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n alias: 'quoted-string',\n greedy: true,\n inside: {\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\$(?:\\{[^{}]*\\}|\\w+(?:\\.\\w+(?:\\([^\\(\\)]*\\))?|\\[[^\\[\\]]+\\])*)/,\n lookbehind: true,\n inside: {\n 'interpolation-variable': {\n pattern: /^\\$\\w[\\s\\S]*$/,\n alias: 'variable'\n },\n 'interpolation-punctuation': {\n pattern: /^\\$\\{|\\}$/,\n alias: 'punctuation'\n },\n 'interpolation-expression': interpolationExpr\n }\n }\n }\n },\n 'class-name': {\n pattern: /(\\b(?:enum|interface|struct|type)\\s+)(?:C\\.)?\\w+/,\n lookbehind: true\n },\n keyword:\n /(?:\\b(?:__global|as|asm|assert|atomic|break|chan|const|continue|defer|else|embed|enum|fn|for|go(?:to)?|if|import|in|interface|is|lock|match|module|mut|none|or|pub|return|rlock|select|shared|sizeof|static|struct|type(?:of)?|union|unsafe)|\\$(?:else|for|if)|#(?:flag|include))\\b/,\n number:\n /\\b(?:0x[a-f\\d]+(?:_[a-f\\d]+)*|0b[01]+(?:_[01]+)*|0o[0-7]+(?:_[0-7]+)*|\\d+(?:_\\d+)*(?:\\.\\d+(?:_\\d+)*)?)\\b/i,\n operator:\n /~|\\?|[*\\/%^!=]=?|\\+[=+]?|-[=-]?|\\|[=|]?|&(?:=|&|\\^=?)?|>(?:>=?|=)?|<(?:<=?|=|-)?|:=|\\.\\.\\.?/,\n builtin:\n /\\b(?:any(?:_float|_int)?|bool|byte(?:ptr)?|charptr|f(?:32|64)|i(?:8|16|64|128|nt)|rune|size_t|string|u(?:16|32|64|128)|voidptr)\\b/\n })\n interpolationExpr.inside = Prism.languages.v\n Prism.languages.insertBefore('v', 'string', {\n char: {\n pattern: /`(?:\\\\`|\\\\?[^`]{1,2})`/,\n // using {1,2} instead of `u` flag for compatibility\n alias: 'rune'\n }\n })\n Prism.languages.insertBefore('v', 'operator', {\n attribute: {\n pattern:\n /(^[\\t ]*)\\[(?:deprecated|direct_array_access|flag|inline|live|ref_only|typedef|unsafe_fn|windows_stdcall)\\]/m,\n lookbehind: true,\n alias: 'annotation',\n inside: {\n punctuation: /[\\[\\]]/,\n keyword: /\\w+/\n }\n },\n generic: {\n pattern: /<\\w+>(?=\\s*[\\)\\{])/,\n inside: {\n punctuation: /[<>]/,\n 'class-name': /\\w+/\n }\n }\n })\n Prism.languages.insertBefore('v', 'function', {\n 'generic-function': {\n // e.g. foo<T>( ...\n pattern: /\\b\\w+\\s*<\\w+>(?=\\()/,\n inside: {\n function: /^\\w+/,\n generic: {\n pattern: /<\\w+>/,\n inside: Prism.languages.v.generic.inside\n }\n }\n }\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = vala\nvala.displayName = 'vala'\nvala.aliases = []\nfunction vala(Prism) {\n Prism.languages.vala = Prism.languages.extend('clike', {\n // Classes copied from prism-csharp\n 'class-name': [\n {\n // (Foo bar, Bar baz)\n pattern: /\\b[A-Z]\\w*(?:\\.\\w+)*\\b(?=(?:\\?\\s+|\\*?\\s+\\*?)\\w)/,\n inside: {\n punctuation: /\\./\n }\n },\n {\n // [Foo]\n pattern: /(\\[)[A-Z]\\w*(?:\\.\\w+)*\\b/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n {\n // class Foo : Bar\n pattern:\n /(\\b(?:class|interface)\\s+[A-Z]\\w*(?:\\.\\w+)*\\s*:\\s*)[A-Z]\\w*(?:\\.\\w+)*\\b/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n {\n // class Foo\n pattern:\n /((?:\\b(?:class|enum|interface|new|struct)\\s+)|(?:catch\\s+\\())[A-Z]\\w*(?:\\.\\w+)*\\b/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n }\n ],\n keyword:\n /\\b(?:abstract|as|assert|async|base|bool|break|case|catch|char|class|const|construct|continue|default|delegate|delete|do|double|dynamic|else|ensures|enum|errordomain|extern|finally|float|for|foreach|get|if|in|inline|int|int16|int32|int64|int8|interface|internal|is|lock|long|namespace|new|null|out|override|owned|params|private|protected|public|ref|requires|return|set|short|signal|sizeof|size_t|ssize_t|static|string|struct|switch|this|throw|throws|try|typeof|uchar|uint|uint16|uint32|uint64|uint8|ulong|unichar|unowned|ushort|using|value|var|virtual|void|volatile|weak|while|yield)\\b/i,\n function: /\\b\\w+(?=\\s*\\()/,\n number:\n /(?:\\b0x[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?)(?:f|u?l?)?/i,\n operator:\n /\\+\\+|--|&&|\\|\\||<<=?|>>=?|=>|->|~|[+\\-*\\/%&^|=!<>]=?|\\?\\??|\\.\\.\\./,\n punctuation: /[{}[\\];(),.:]/,\n constant: /\\b[A-Z0-9_]+\\b/\n })\n Prism.languages.insertBefore('vala', 'string', {\n 'raw-string': {\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n 'template-string': {\n pattern: /@\"[\\s\\S]*?\"/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\$(?:\\([^)]*\\)|[a-zA-Z]\\w*)/,\n inside: {\n delimiter: {\n pattern: /^\\$\\(?|\\)$/,\n alias: 'punctuation'\n },\n rest: Prism.languages.vala\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n Prism.languages.insertBefore('vala', 'keyword', {\n regex: {\n pattern:\n /\\/(?:\\[(?:[^\\]\\\\\\r\\n]|\\\\.)*\\]|\\\\.|[^/\\\\\\[\\r\\n])+\\/[imsx]{0,4}(?=\\s*(?:$|[\\r\\n,.;})\\]]))/,\n greedy: true,\n inside: {\n 'regex-source': {\n pattern: /^(\\/)[\\s\\S]+(?=\\/[a-z]*$)/,\n lookbehind: true,\n alias: 'language-regex',\n inside: Prism.languages.regex\n },\n 'regex-delimiter': /^\\//,\n 'regex-flags': /^[a-z]+$/\n }\n }\n })\n}\n","'use strict'\nvar refractorBasic = require('./basic.js')\nmodule.exports = vbnet\nvbnet.displayName = 'vbnet'\nvbnet.aliases = []\nfunction vbnet(Prism) {\n Prism.register(refractorBasic)\n Prism.languages.vbnet = Prism.languages.extend('basic', {\n comment: [\n {\n pattern: /(?:!|REM\\b).+/i,\n inside: {\n keyword: /^REM/i\n }\n },\n {\n pattern: /(^|[^\\\\:])'.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /(^|[^\"])\"(?:\"\"|[^\"])*\"(?!\")/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /(?:\\b(?:ADDHANDLER|ADDRESSOF|ALIAS|AND|ANDALSO|AS|BEEP|BLOAD|BOOLEAN|BSAVE|BYREF|BYTE|BYVAL|CALL(?: ABSOLUTE)?|CASE|CATCH|CBOOL|CBYTE|CCHAR|CDATE|CDBL|CDEC|CHAIN|CHAR|CHDIR|CINT|CLASS|CLEAR|CLNG|CLOSE|CLS|COBJ|COM|COMMON|CONST|CONTINUE|CSBYTE|CSHORT|CSNG|CSTR|CTYPE|CUINT|CULNG|CUSHORT|DATA|DATE|DECIMAL|DECLARE|DEF(?: FN| SEG|DBL|INT|LNG|SNG|STR)|DEFAULT|DELEGATE|DIM|DIRECTCAST|DO|DOUBLE|ELSE|ELSEIF|END|ENUM|ENVIRON|ERASE|ERROR|EVENT|EXIT|FALSE|FIELD|FILES|FINALLY|FOR(?: EACH)?|FRIEND|FUNCTION|GET|GETTYPE|GETXMLNAMESPACE|GLOBAL|GOSUB|GOTO|HANDLES|IF|IMPLEMENTS|IMPORTS|IN|INHERITS|INPUT|INTEGER|INTERFACE|IOCTL|IS|ISNOT|KEY|KILL|LET|LIB|LIKE|LINE INPUT|LOCATE|LOCK|LONG|LOOP|LSET|ME|MKDIR|MOD|MODULE|MUSTINHERIT|MUSTOVERRIDE|MYBASE|MYCLASS|NAME|NAMESPACE|NARROWING|NEW|NEXT|NOT|NOTHING|NOTINHERITABLE|NOTOVERRIDABLE|OBJECT|OF|OFF|ON(?: COM| ERROR| KEY| TIMER)?|OPEN|OPERATOR|OPTION(?: BASE)?|OPTIONAL|OR|ORELSE|OUT|OVERLOADS|OVERRIDABLE|OVERRIDES|PARAMARRAY|PARTIAL|POKE|PRIVATE|PROPERTY|PROTECTED|PUBLIC|PUT|RAISEEVENT|READ|READONLY|REDIM|REM|REMOVEHANDLER|RESTORE|RESUME|RETURN|RMDIR|RSET|RUN|SBYTE|SELECT(?: CASE)?|SET|SHADOWS|SHARED|SHELL|SHORT|SINGLE|SLEEP|STATIC|STEP|STOP|STRING|STRUCTURE|SUB|SWAP|SYNCLOCK|SYSTEM|THEN|THROW|TIMER|TO|TROFF|TRON|TRUE|TRY|TRYCAST|TYPE|TYPEOF|UINTEGER|ULONG|UNLOCK|UNTIL|USHORT|USING|VIEW PRINT|WAIT|WEND|WHEN|WHILE|WIDENING|WITH|WITHEVENTS|WRITE|WRITEONLY|XOR)|\\B(?:#CONST|#ELSE|#ELSEIF|#END|#IF))(?:\\$|\\b)/i,\n punctuation: /[,;:(){}]/\n })\n}\n","'use strict'\n\nmodule.exports = velocity\nvelocity.displayName = 'velocity'\nvelocity.aliases = []\nfunction velocity(Prism) {\n ;(function (Prism) {\n Prism.languages.velocity = Prism.languages.extend('markup', {})\n var velocity = {\n variable: {\n pattern:\n /(^|[^\\\\](?:\\\\\\\\)*)\\$!?(?:[a-z][\\w-]*(?:\\([^)]*\\))?(?:\\.[a-z][\\w-]*(?:\\([^)]*\\))?|\\[[^\\]]+\\])*|\\{[^}]+\\})/i,\n lookbehind: true,\n inside: {} // See below\n },\n string: {\n pattern: /\"[^\"]*\"|'[^']*'/,\n greedy: true\n },\n number: /\\b\\d+\\b/,\n boolean: /\\b(?:false|true)\\b/,\n operator:\n /[=!<>]=?|[+*/%-]|&&|\\|\\||\\.\\.|\\b(?:eq|g[et]|l[et]|n(?:e|ot))\\b/,\n punctuation: /[(){}[\\]:,.]/\n }\n velocity.variable.inside = {\n string: velocity['string'],\n function: {\n pattern: /([^\\w-])[a-z][\\w-]*(?=\\()/,\n lookbehind: true\n },\n number: velocity['number'],\n boolean: velocity['boolean'],\n punctuation: velocity['punctuation']\n }\n Prism.languages.insertBefore('velocity', 'comment', {\n unparsed: {\n pattern: /(^|[^\\\\])#\\[\\[[\\s\\S]*?\\]\\]#/,\n lookbehind: true,\n greedy: true,\n inside: {\n punctuation: /^#\\[\\[|\\]\\]#$/\n }\n },\n 'velocity-comment': [\n {\n pattern: /(^|[^\\\\])#\\*[\\s\\S]*?\\*#/,\n lookbehind: true,\n greedy: true,\n alias: 'comment'\n },\n {\n pattern: /(^|[^\\\\])##.*/,\n lookbehind: true,\n greedy: true,\n alias: 'comment'\n }\n ],\n directive: {\n pattern:\n /(^|[^\\\\](?:\\\\\\\\)*)#@?(?:[a-z][\\w-]*|\\{[a-z][\\w-]*\\})(?:\\s*\\((?:[^()]|\\([^()]*\\))*\\))?/i,\n lookbehind: true,\n inside: {\n keyword: {\n pattern: /^#@?(?:[a-z][\\w-]*|\\{[a-z][\\w-]*\\})|\\bin\\b/,\n inside: {\n punctuation: /[{}]/\n }\n },\n rest: velocity\n }\n },\n variable: velocity['variable']\n })\n Prism.languages.velocity['tag'].inside['attr-value'].inside.rest =\n Prism.languages.velocity\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = verilog\nverilog.displayName = 'verilog'\nverilog.aliases = []\nfunction verilog(Prism) {\n Prism.languages.verilog = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n string: {\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n 'kernel-function': {\n // support for any kernel function (ex: $display())\n pattern: /\\B\\$\\w+\\b/,\n alias: 'property'\n },\n // support for user defined constants (ex: `define)\n constant: /\\B`\\w+\\b/,\n function: /\\b\\w+(?=\\()/,\n // support for verilog and system verilog keywords\n keyword:\n /\\b(?:alias|and|assert|assign|assume|automatic|before|begin|bind|bins|binsof|bit|break|buf|bufif0|bufif1|byte|case|casex|casez|cell|chandle|class|clocking|cmos|config|const|constraint|context|continue|cover|covergroup|coverpoint|cross|deassign|default|defparam|design|disable|dist|do|edge|else|end|endcase|endclass|endclocking|endconfig|endfunction|endgenerate|endgroup|endinterface|endmodule|endpackage|endprimitive|endprogram|endproperty|endsequence|endspecify|endtable|endtask|enum|event|expect|export|extends|extern|final|first_match|for|force|foreach|forever|fork|forkjoin|function|generate|genvar|highz0|highz1|if|iff|ifnone|ignore_bins|illegal_bins|import|incdir|include|initial|inout|input|inside|instance|int|integer|interface|intersect|join|join_any|join_none|large|liblist|library|local|localparam|logic|longint|macromodule|matches|medium|modport|module|nand|negedge|new|nmos|nor|noshowcancelled|not|notif0|notif1|null|or|output|package|packed|parameter|pmos|posedge|primitive|priority|program|property|protected|pull0|pull1|pulldown|pullup|pulsestyle_ondetect|pulsestyle_onevent|pure|rand|randc|randcase|randsequence|rcmos|real|realtime|ref|reg|release|repeat|return|rnmos|rpmos|rtran|rtranif0|rtranif1|scalared|sequence|shortint|shortreal|showcancelled|signed|small|solve|specify|specparam|static|string|strong0|strong1|struct|super|supply0|supply1|table|tagged|task|this|throughout|time|timeprecision|timeunit|tran|tranif0|tranif1|tri|tri0|tri1|triand|trior|trireg|type|typedef|union|unique|unsigned|use|uwire|var|vectored|virtual|void|wait|wait_order|wand|weak0|weak1|while|wildcard|wire|with|within|wor|xnor|xor)\\b/,\n // bold highlighting for all verilog and system verilog logic blocks\n important: /\\b(?:always|always_comb|always_ff|always_latch)\\b(?: *@)?/,\n // support for time ticks, vectors, and real numbers\n number:\n /\\B##?\\d+|(?:\\b\\d+)?'[odbh] ?[\\da-fzx_?]+|\\b(?:\\d*[._])?\\d+(?:e[-+]?\\d+)?/i,\n operator: /[-+{}^~%*\\/?=!<>&|]+/,\n punctuation: /[[\\];(),.:]/\n }\n}\n","'use strict'\n\nmodule.exports = vhdl\nvhdl.displayName = 'vhdl'\nvhdl.aliases = []\nfunction vhdl(Prism) {\n Prism.languages.vhdl = {\n comment: /--.+/,\n // support for all logic vectors\n 'vhdl-vectors': {\n pattern: /\\b[oxb]\"[\\da-f_]+\"|\"[01uxzwlh-]+\"/i,\n alias: 'number'\n },\n // support for operator overloading included\n 'quoted-function': {\n pattern: /\"\\S+?\"(?=\\()/,\n alias: 'function'\n },\n string: /\"(?:[^\\\\\"\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\"/,\n constant: /\\b(?:library|use)\\b/i,\n // support for predefined attributes included\n keyword:\n /\\b(?:'active|'ascending|'base|'delayed|'driving|'driving_value|'event|'high|'image|'instance_name|'last_active|'last_event|'last_value|'left|'leftof|'length|'low|'path_name|'pos|'pred|'quiet|'range|'reverse_range|'right|'rightof|'simple_name|'stable|'succ|'transaction|'val|'value|access|after|alias|all|architecture|array|assert|attribute|begin|block|body|buffer|bus|case|component|configuration|constant|disconnect|downto|else|elsif|end|entity|exit|file|for|function|generate|generic|group|guarded|if|impure|in|inertial|inout|is|label|library|linkage|literal|loop|map|new|next|null|of|on|open|others|out|package|port|postponed|procedure|process|pure|range|record|register|reject|report|return|select|severity|shared|signal|subtype|then|to|transport|type|unaffected|units|until|use|variable|wait|when|while|with)\\b/i,\n boolean: /\\b(?:false|true)\\b/i,\n function: /\\w+(?=\\()/,\n // decimal, based, physical, and exponential numbers supported\n number: /'[01uxzwlh-]'|\\b(?:\\d+#[\\da-f_.]+#|\\d[\\d_.]*)(?:e[-+]?\\d+)?/i,\n operator:\n /[<>]=?|:=|[-+*/&=]|\\b(?:abs|and|mod|nand|nor|not|or|rem|rol|ror|sla|sll|sra|srl|xnor|xor)\\b/i,\n punctuation: /[{}[\\];(),.:]/\n }\n}\n","'use strict'\n\nmodule.exports = vim\nvim.displayName = 'vim'\nvim.aliases = []\nfunction vim(Prism) {\n Prism.languages.vim = {\n string: /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?:[^'\\r\\n]|'')*'/,\n comment: /\".*/,\n function: /\\b\\w+(?=\\()/,\n keyword:\n /\\b(?:N|Next|P|Print|X|XMLent|XMLns|ab|abbreviate|abc|abclear|abo|aboveleft|al|all|ar|arga|argadd|argd|argdelete|argdo|arge|argedit|argg|argglobal|argl|arglocal|args|argu|argument|as|ascii|b|bN|bNext|ba|bad|badd|ball|bd|bdelete|be|bel|belowright|bf|bfirst|bl|blast|bm|bmodified|bn|bnext|bo|botright|bp|bprevious|br|brea|break|breaka|breakadd|breakd|breakdel|breakl|breaklist|brewind|bro|browse|bufdo|buffer|buffers|bun|bunload|bw|bwipeout|c|cN|cNext|cNfcNfile|ca|cabbrev|cabc|cabclear|cad|caddb|caddbuffer|caddexpr|caddf|caddfile|cal|call|cat|catch|cb|cbuffer|cc|ccl|cclose|cd|ce|center|cex|cexpr|cf|cfile|cfir|cfirst|cg|cgetb|cgetbuffer|cgete|cgetexpr|cgetfile|change|changes|chd|chdir|che|checkpath|checkt|checktime|cl|cla|clast|clist|clo|close|cmapc|cmapclear|cn|cnew|cnewer|cnext|cnf|cnfile|cnorea|cnoreabbrev|co|col|colder|colo|colorscheme|comc|comclear|comp|compiler|con|conf|confirm|continue|cope|copen|copy|cp|cpf|cpfile|cprevious|cq|cquit|cr|crewind|cu|cuna|cunabbrev|cunmap|cw|cwindow|d|debugg|debuggreedy|delc|delcommand|delete|delf|delfunction|delm|delmarks|di|diffg|diffget|diffoff|diffpatch|diffpu|diffput|diffsplit|diffthis|diffu|diffupdate|dig|digraphs|display|dj|djump|dl|dlist|dr|drop|ds|dsearch|dsp|dsplit|e|earlier|echoe|echoerr|echom|echomsg|echon|edit|el|else|elsei|elseif|em|emenu|en|endf|endfo|endfor|endfun|endfunction|endif|endt|endtry|endw|endwhile|ene|enew|ex|exi|exit|exu|exusage|f|file|files|filetype|fin|fina|finally|find|fini|finish|fir|first|fix|fixdel|fo|fold|foldc|foldclose|foldd|folddoc|folddoclosed|folddoopen|foldo|foldopen|for|fu|fun|function|go|goto|gr|grep|grepa|grepadd|h|ha|hardcopy|help|helpf|helpfind|helpg|helpgrep|helpt|helptags|hid|hide|his|history|ia|iabbrev|iabc|iabclear|if|ij|ijump|il|ilist|imapc|imapclear|in|inorea|inoreabbrev|isearch|isp|isplit|iu|iuna|iunabbrev|iunmap|j|join|ju|jumps|k|kee|keepalt|keepj|keepjumps|keepmarks|l|lN|lNext|lNf|lNfile|la|lad|laddb|laddbuffer|laddexpr|laddf|laddfile|lan|language|last|later|lb|lbuffer|lc|lcd|lch|lchdir|lcl|lclose|left|lefta|leftabove|let|lex|lexpr|lf|lfile|lfir|lfirst|lg|lgetb|lgetbuffer|lgete|lgetexpr|lgetfile|lgr|lgrep|lgrepa|lgrepadd|lh|lhelpgrep|list|ll|lla|llast|lli|llist|lm|lmak|lmake|lmap|lmapc|lmapclear|ln|lne|lnew|lnewer|lnext|lnf|lnfile|lnoremap|lo|loadview|loc|lockmarks|lockv|lockvar|lol|lolder|lop|lopen|lp|lpf|lpfile|lprevious|lr|lrewind|ls|lt|ltag|lu|lunmap|lv|lvimgrep|lvimgrepa|lvimgrepadd|lw|lwindow|m|ma|mak|make|mark|marks|mat|match|menut|menutranslate|mk|mkexrc|mks|mksession|mksp|mkspell|mkv|mkvie|mkview|mkvimrc|mod|mode|move|mz|mzf|mzfile|mzscheme|n|nbkey|new|next|nmapc|nmapclear|noh|nohlsearch|norea|noreabbrev|nu|number|nun|nunmap|o|omapc|omapclear|on|only|open|opt|options|ou|ounmap|p|pc|pclose|pe|ped|pedit|perl|perld|perldo|po|pop|popu|popup|pp|ppop|pre|preserve|prev|previous|print|prof|profd|profdel|profile|promptf|promptfind|promptr|promptrepl|ps|psearch|ptN|ptNext|pta|ptag|ptf|ptfirst|ptj|ptjump|ptl|ptlast|ptn|ptnext|ptp|ptprevious|ptr|ptrewind|pts|ptselect|pu|put|pw|pwd|py|pyf|pyfile|python|q|qa|qall|quit|quita|quitall|r|read|rec|recover|red|redi|redir|redo|redr|redraw|redraws|redrawstatus|reg|registers|res|resize|ret|retab|retu|return|rew|rewind|ri|right|rightb|rightbelow|ru|rub|ruby|rubyd|rubydo|rubyf|rubyfile|runtime|rv|rviminfo|sN|sNext|sa|sal|sall|san|sandbox|sargument|sav|saveas|sb|sbN|sbNext|sba|sball|sbf|sbfirst|sbl|sblast|sbm|sbmodified|sbn|sbnext|sbp|sbprevious|sbr|sbrewind|sbuffer|scrip|scripte|scriptencoding|scriptnames|se|set|setf|setfiletype|setg|setglobal|setl|setlocal|sf|sfind|sfir|sfirst|sh|shell|sign|sil|silent|sim|simalt|sl|sla|slast|sleep|sm|smagic|smap|smapc|smapclear|sme|smenu|sn|snext|sni|sniff|sno|snomagic|snor|snoremap|snoreme|snoremenu|so|sor|sort|source|sp|spe|spelld|spelldump|spellgood|spelli|spellinfo|spellr|spellrepall|spellu|spellundo|spellw|spellwrong|split|spr|sprevious|sre|srewind|st|sta|stag|star|startg|startgreplace|startinsert|startr|startreplace|stj|stjump|stop|stopi|stopinsert|sts|stselect|sun|sunhide|sunm|sunmap|sus|suspend|sv|sview|syncbind|t|tN|tNext|ta|tab|tabN|tabNext|tabc|tabclose|tabd|tabdo|tabe|tabedit|tabf|tabfind|tabfir|tabfirst|tabl|tablast|tabm|tabmove|tabn|tabnew|tabnext|tabo|tabonly|tabp|tabprevious|tabr|tabrewind|tabs|tag|tags|tc|tcl|tcld|tcldo|tclf|tclfile|te|tearoff|tf|tfirst|th|throw|tj|tjump|tl|tlast|tm|tmenu|tn|tnext|to|topleft|tp|tprevious|tr|trewind|try|ts|tselect|tu|tunmenu|u|una|unabbreviate|undo|undoj|undojoin|undol|undolist|unh|unhide|unlet|unlo|unlockvar|unm|unmap|up|update|ve|verb|verbose|version|vert|vertical|vi|vie|view|vim|vimgrep|vimgrepa|vimgrepadd|visual|viu|viusage|vmapc|vmapclear|vne|vnew|vs|vsplit|vu|vunmap|w|wN|wNext|wa|wall|wh|while|win|winc|wincmd|windo|winp|winpos|winsize|wn|wnext|wp|wprevious|wq|wqa|wqall|write|ws|wsverb|wv|wviminfo|x|xa|xall|xit|xm|xmap|xmapc|xmapclear|xme|xmenu|xn|xnoremap|xnoreme|xnoremenu|xu|xunmap|y|yank)\\b/,\n builtin:\n /\\b(?:acd|ai|akm|aleph|allowrevins|altkeymap|ambiwidth|ambw|anti|antialias|arab|arabic|arabicshape|ari|arshape|autochdir|autocmd|autoindent|autoread|autowrite|autowriteall|aw|awa|background|backspace|backup|backupcopy|backupdir|backupext|backupskip|balloondelay|ballooneval|balloonexpr|bdir|bdlay|beval|bex|bexpr|bg|bh|bin|binary|biosk|bioskey|bk|bkc|bomb|breakat|brk|browsedir|bs|bsdir|bsk|bt|bufhidden|buflisted|buftype|casemap|ccv|cdpath|cedit|cfu|ch|charconvert|ci|cin|cindent|cink|cinkeys|cino|cinoptions|cinw|cinwords|clipboard|cmdheight|cmdwinheight|cmp|cms|columns|com|comments|commentstring|compatible|complete|completefunc|completeopt|consk|conskey|copyindent|cot|cpo|cpoptions|cpt|cscopepathcomp|cscopeprg|cscopequickfix|cscopetag|cscopetagorder|cscopeverbose|cspc|csprg|csqf|cst|csto|csverb|cuc|cul|cursorcolumn|cursorline|cwh|debug|deco|def|define|delcombine|dex|dg|dict|dictionary|diff|diffexpr|diffopt|digraph|dip|dir|directory|dy|ea|ead|eadirection|eb|ed|edcompatible|ef|efm|ei|ek|enc|encoding|endofline|eol|ep|equalalways|equalprg|errorbells|errorfile|errorformat|esckeys|et|eventignore|expandtab|exrc|fcl|fcs|fdc|fde|fdi|fdl|fdls|fdm|fdn|fdo|fdt|fen|fenc|fencs|fex|ff|ffs|fileencoding|fileencodings|fileformat|fileformats|fillchars|fk|fkmap|flp|fml|fmr|foldcolumn|foldenable|foldexpr|foldignore|foldlevel|foldlevelstart|foldmarker|foldmethod|foldminlines|foldnestmax|foldtext|formatexpr|formatlistpat|formatoptions|formatprg|fp|fs|fsync|ft|gcr|gd|gdefault|gfm|gfn|gfs|gfw|ghr|gp|grepformat|grepprg|gtl|gtt|guicursor|guifont|guifontset|guifontwide|guiheadroom|guioptions|guipty|guitablabel|guitabtooltip|helpfile|helpheight|helplang|hf|hh|hi|hidden|highlight|hk|hkmap|hkmapp|hkp|hl|hlg|hls|hlsearch|ic|icon|iconstring|ignorecase|im|imactivatekey|imak|imc|imcmdline|imd|imdisable|imi|iminsert|ims|imsearch|inc|include|includeexpr|incsearch|inde|indentexpr|indentkeys|indk|inex|inf|infercase|insertmode|invacd|invai|invakm|invallowrevins|invaltkeymap|invanti|invantialias|invar|invarab|invarabic|invarabicshape|invari|invarshape|invautochdir|invautoindent|invautoread|invautowrite|invautowriteall|invaw|invawa|invbackup|invballooneval|invbeval|invbin|invbinary|invbiosk|invbioskey|invbk|invbl|invbomb|invbuflisted|invcf|invci|invcin|invcindent|invcompatible|invconfirm|invconsk|invconskey|invcopyindent|invcp|invcscopetag|invcscopeverbose|invcst|invcsverb|invcuc|invcul|invcursorcolumn|invcursorline|invdeco|invdelcombine|invdg|invdiff|invdigraph|invdisable|invea|inveb|inved|invedcompatible|invek|invendofline|inveol|invequalalways|inverrorbells|invesckeys|invet|invex|invexpandtab|invexrc|invfen|invfk|invfkmap|invfoldenable|invgd|invgdefault|invguipty|invhid|invhidden|invhk|invhkmap|invhkmapp|invhkp|invhls|invhlsearch|invic|invicon|invignorecase|invim|invimc|invimcmdline|invimd|invincsearch|invinf|invinfercase|invinsertmode|invis|invjoinspaces|invjs|invlazyredraw|invlbr|invlinebreak|invlisp|invlist|invloadplugins|invlpl|invlz|invma|invmacatsui|invmagic|invmh|invml|invmod|invmodeline|invmodifiable|invmodified|invmore|invmousef|invmousefocus|invmousehide|invnu|invnumber|invodev|invopendevice|invpaste|invpi|invpreserveindent|invpreviewwindow|invprompt|invpvw|invreadonly|invremap|invrestorescreen|invrevins|invri|invrightleft|invrightleftcmd|invrl|invrlc|invro|invrs|invru|invruler|invsb|invsc|invscb|invscrollbind|invscs|invsecure|invsft|invshellslash|invshelltemp|invshiftround|invshortname|invshowcmd|invshowfulltag|invshowmatch|invshowmode|invsi|invsm|invsmartcase|invsmartindent|invsmarttab|invsmd|invsn|invsol|invspell|invsplitbelow|invsplitright|invspr|invsr|invssl|invsta|invstartofline|invstmp|invswapfile|invswf|invta|invtagbsearch|invtagrelative|invtagstack|invtbi|invtbidi|invtbs|invtermbidi|invterse|invtextauto|invtextmode|invtf|invtgst|invtildeop|invtimeout|invtitle|invto|invtop|invtr|invttimeout|invttybuiltin|invttyfast|invtx|invvb|invvisualbell|invwa|invwarn|invwb|invweirdinvert|invwfh|invwfw|invwildmenu|invwinfixheight|invwinfixwidth|invwiv|invwmnu|invwrap|invwrapscan|invwrite|invwriteany|invwritebackup|invws|isf|isfname|isi|isident|isk|iskeyword|isprint|joinspaces|js|key|keymap|keymodel|keywordprg|km|kmp|kp|langmap|langmenu|laststatus|lazyredraw|lbr|lcs|linebreak|lines|linespace|lisp|lispwords|listchars|loadplugins|lpl|lsp|lz|macatsui|magic|makeef|makeprg|matchpairs|matchtime|maxcombine|maxfuncdepth|maxmapdepth|maxmem|maxmempattern|maxmemtot|mco|mef|menuitems|mfd|mh|mis|mkspellmem|ml|mls|mm|mmd|mmp|mmt|modeline|modelines|modifiable|modified|more|mouse|mousef|mousefocus|mousehide|mousem|mousemodel|mouses|mouseshape|mouset|mousetime|mp|mps|msm|mzq|mzquantum|nf|noacd|noai|noakm|noallowrevins|noaltkeymap|noanti|noantialias|noar|noarab|noarabic|noarabicshape|noari|noarshape|noautochdir|noautoindent|noautoread|noautowrite|noautowriteall|noaw|noawa|nobackup|noballooneval|nobeval|nobin|nobinary|nobiosk|nobioskey|nobk|nobl|nobomb|nobuflisted|nocf|noci|nocin|nocindent|nocompatible|noconfirm|noconsk|noconskey|nocopyindent|nocp|nocscopetag|nocscopeverbose|nocst|nocsverb|nocuc|nocul|nocursorcolumn|nocursorline|nodeco|nodelcombine|nodg|nodiff|nodigraph|nodisable|noea|noeb|noed|noedcompatible|noek|noendofline|noeol|noequalalways|noerrorbells|noesckeys|noet|noex|noexpandtab|noexrc|nofen|nofk|nofkmap|nofoldenable|nogd|nogdefault|noguipty|nohid|nohidden|nohk|nohkmap|nohkmapp|nohkp|nohls|noic|noicon|noignorecase|noim|noimc|noimcmdline|noimd|noincsearch|noinf|noinfercase|noinsertmode|nois|nojoinspaces|nojs|nolazyredraw|nolbr|nolinebreak|nolisp|nolist|noloadplugins|nolpl|nolz|noma|nomacatsui|nomagic|nomh|noml|nomod|nomodeline|nomodifiable|nomodified|nomore|nomousef|nomousefocus|nomousehide|nonu|nonumber|noodev|noopendevice|nopaste|nopi|nopreserveindent|nopreviewwindow|noprompt|nopvw|noreadonly|noremap|norestorescreen|norevins|nori|norightleft|norightleftcmd|norl|norlc|noro|nors|noru|noruler|nosb|nosc|noscb|noscrollbind|noscs|nosecure|nosft|noshellslash|noshelltemp|noshiftround|noshortname|noshowcmd|noshowfulltag|noshowmatch|noshowmode|nosi|nosm|nosmartcase|nosmartindent|nosmarttab|nosmd|nosn|nosol|nospell|nosplitbelow|nosplitright|nospr|nosr|nossl|nosta|nostartofline|nostmp|noswapfile|noswf|nota|notagbsearch|notagrelative|notagstack|notbi|notbidi|notbs|notermbidi|noterse|notextauto|notextmode|notf|notgst|notildeop|notimeout|notitle|noto|notop|notr|nottimeout|nottybuiltin|nottyfast|notx|novb|novisualbell|nowa|nowarn|nowb|noweirdinvert|nowfh|nowfw|nowildmenu|nowinfixheight|nowinfixwidth|nowiv|nowmnu|nowrap|nowrapscan|nowrite|nowriteany|nowritebackup|nows|nrformats|numberwidth|nuw|odev|oft|ofu|omnifunc|opendevice|operatorfunc|opfunc|osfiletype|pa|para|paragraphs|paste|pastetoggle|patchexpr|patchmode|path|pdev|penc|pex|pexpr|pfn|ph|pheader|pi|pm|pmbcs|pmbfn|popt|preserveindent|previewheight|previewwindow|printdevice|printencoding|printexpr|printfont|printheader|printmbcharset|printmbfont|printoptions|prompt|pt|pumheight|pvh|pvw|qe|quoteescape|readonly|remap|report|restorescreen|revins|rightleft|rightleftcmd|rl|rlc|ro|rs|rtp|ruf|ruler|rulerformat|runtimepath|sbo|sc|scb|scr|scroll|scrollbind|scrolljump|scrolloff|scrollopt|scs|sect|sections|secure|sel|selection|selectmode|sessionoptions|sft|shcf|shellcmdflag|shellpipe|shellquote|shellredir|shellslash|shelltemp|shelltype|shellxquote|shiftround|shiftwidth|shm|shortmess|shortname|showbreak|showcmd|showfulltag|showmatch|showmode|showtabline|shq|si|sidescroll|sidescrolloff|siso|sj|slm|smartcase|smartindent|smarttab|smc|smd|softtabstop|sol|spc|spell|spellcapcheck|spellfile|spelllang|spellsuggest|spf|spl|splitbelow|splitright|sps|sr|srr|ss|ssl|ssop|stal|startofline|statusline|stl|stmp|su|sua|suffixes|suffixesadd|sw|swapfile|swapsync|swb|swf|switchbuf|sws|sxq|syn|synmaxcol|syntax|t_AB|t_AF|t_AL|t_CS|t_CV|t_Ce|t_Co|t_Cs|t_DL|t_EI|t_F1|t_F2|t_F3|t_F4|t_F5|t_F6|t_F7|t_F8|t_F9|t_IE|t_IS|t_K1|t_K3|t_K4|t_K5|t_K6|t_K7|t_K8|t_K9|t_KA|t_KB|t_KC|t_KD|t_KE|t_KF|t_KG|t_KH|t_KI|t_KJ|t_KK|t_KL|t_RI|t_RV|t_SI|t_Sb|t_Sf|t_WP|t_WS|t_ZH|t_ZR|t_al|t_bc|t_cd|t_ce|t_cl|t_cm|t_cs|t_da|t_db|t_dl|t_fs|t_k1|t_k2|t_k3|t_k4|t_k5|t_k6|t_k7|t_k8|t_k9|t_kB|t_kD|t_kI|t_kN|t_kP|t_kb|t_kd|t_ke|t_kh|t_kl|t_kr|t_ks|t_ku|t_le|t_mb|t_md|t_me|t_mr|t_ms|t_nd|t_op|t_se|t_so|t_sr|t_te|t_ti|t_ts|t_ue|t_us|t_ut|t_vb|t_ve|t_vi|t_vs|t_xs|tabline|tabpagemax|tabstop|tagbsearch|taglength|tagrelative|tagstack|tal|tb|tbi|tbidi|tbis|tbs|tenc|term|termbidi|termencoding|terse|textauto|textmode|textwidth|tgst|thesaurus|tildeop|timeout|timeoutlen|title|titlelen|titleold|titlestring|toolbar|toolbariconsize|top|tpm|tsl|tsr|ttimeout|ttimeoutlen|ttm|tty|ttybuiltin|ttyfast|ttym|ttymouse|ttyscroll|ttytype|tw|tx|uc|ul|undolevels|updatecount|updatetime|ut|vb|vbs|vdir|verbosefile|vfile|viewdir|viewoptions|viminfo|virtualedit|visualbell|vop|wak|warn|wb|wc|wcm|wd|weirdinvert|wfh|wfw|whichwrap|wi|wig|wildchar|wildcharm|wildignore|wildmenu|wildmode|wildoptions|wim|winaltkeys|window|winfixheight|winfixwidth|winheight|winminheight|winminwidth|winwidth|wiv|wiw|wm|wmh|wmnu|wmw|wop|wrap|wrapmargin|wrapscan|writeany|writebackup|writedelay|ww)\\b/,\n number: /\\b(?:0x[\\da-f]+|\\d+(?:\\.\\d+)?)\\b/i,\n operator:\n /\\|\\||&&|[-+.]=?|[=!](?:[=~][#?]?)?|[<>]=?[#?]?|[*\\/%?]|\\b(?:is(?:not)?)\\b/,\n punctuation: /[{}[\\](),;:]/\n }\n}\n","'use strict'\n\nmodule.exports = visualBasic\nvisualBasic.displayName = 'visualBasic'\nvisualBasic.aliases = []\nfunction visualBasic(Prism) {\n Prism.languages['visual-basic'] = {\n comment: {\n pattern: /(?:['‘’]|REM\\b)(?:[^\\r\\n_]|_(?:\\r\\n?|\\n)?)*/i,\n inside: {\n keyword: /^REM/i\n }\n },\n directive: {\n pattern:\n /#(?:Const|Else|ElseIf|End|ExternalChecksum|ExternalSource|If|Region)(?:\\b_[ \\t]*(?:\\r\\n?|\\n)|.)+/i,\n alias: 'property',\n greedy: true\n },\n string: {\n pattern: /\\$?[\"“”](?:[\"“”]{2}|[^\"“”])*[\"“”]C?/i,\n greedy: true\n },\n date: {\n pattern:\n /#[ \\t]*(?:\\d+([/-])\\d+\\1\\d+(?:[ \\t]+(?:\\d+[ \\t]*(?:AM|PM)|\\d+:\\d+(?::\\d+)?(?:[ \\t]*(?:AM|PM))?))?|\\d+[ \\t]*(?:AM|PM)|\\d+:\\d+(?::\\d+)?(?:[ \\t]*(?:AM|PM))?)[ \\t]*#/i,\n alias: 'number'\n },\n number:\n /(?:(?:\\b\\d+(?:\\.\\d+)?|\\.\\d+)(?:E[+-]?\\d+)?|&[HO][\\dA-F]+)(?:[FRD]|U?[ILS])?/i,\n boolean: /\\b(?:False|Nothing|True)\\b/i,\n keyword:\n /\\b(?:AddHandler|AddressOf|Alias|And(?:Also)?|As|Boolean|ByRef|Byte|ByVal|Call|Case|Catch|C(?:Bool|Byte|Char|Date|Dbl|Dec|Int|Lng|Obj|SByte|Short|Sng|Str|Type|UInt|ULng|UShort)|Char|Class|Const|Continue|Currency|Date|Decimal|Declare|Default|Delegate|Dim|DirectCast|Do|Double|Each|Else(?:If)?|End(?:If)?|Enum|Erase|Error|Event|Exit|Finally|For|Friend|Function|Get(?:Type|XMLNamespace)?|Global|GoSub|GoTo|Handles|If|Implements|Imports|In|Inherits|Integer|Interface|Is|IsNot|Let|Lib|Like|Long|Loop|Me|Mod|Module|Must(?:Inherit|Override)|My(?:Base|Class)|Namespace|Narrowing|New|Next|Not(?:Inheritable|Overridable)?|Object|Of|On|Operator|Option(?:al)?|Or(?:Else)?|Out|Overloads|Overridable|Overrides|ParamArray|Partial|Private|Property|Protected|Public|RaiseEvent|ReadOnly|ReDim|RemoveHandler|Resume|Return|SByte|Select|Set|Shadows|Shared|short|Single|Static|Step|Stop|String|Structure|Sub|SyncLock|Then|Throw|To|Try|TryCast|Type|TypeOf|U(?:Integer|Long|Short)|Until|Using|Variant|Wend|When|While|Widening|With(?:Events)?|WriteOnly|Xor)\\b/i,\n operator: /[+\\-*/\\\\^<=>&#@$%!]|\\b_(?=[ \\t]*[\\r\\n])/,\n punctuation: /[{}().,:?]/\n }\n Prism.languages.vb = Prism.languages['visual-basic']\n Prism.languages.vba = Prism.languages['visual-basic']\n}\n","'use strict'\n\nmodule.exports = warpscript\nwarpscript.displayName = 'warpscript'\nwarpscript.aliases = []\nfunction warpscript(Prism) {\n Prism.languages.warpscript = {\n comment: /#.*|\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n string: {\n pattern:\n /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?:[^'\\\\\\r\\n]|\\\\.)*'|<'(?:[^\\\\']|'(?!>)|\\\\.)*'>/,\n greedy: true\n },\n variable: /\\$\\S+/,\n macro: {\n pattern: /@\\S+/,\n alias: 'property'\n },\n // WarpScript doesn't have any keywords, these are all functions under the control category\n // https://www.warp10.io/tags/control\n keyword:\n /\\b(?:BREAK|CHECKMACRO|CONTINUE|CUDF|DEFINED|DEFINEDMACRO|EVAL|FAIL|FOR|FOREACH|FORSTEP|IFT|IFTE|MSGFAIL|NRETURN|RETHROW|RETURN|SWITCH|TRY|UDF|UNTIL|WHILE)\\b/,\n number:\n /[+-]?\\b(?:NaN|Infinity|\\d+(?:\\.\\d*)?(?:[Ee][+-]?\\d+)?|0x[\\da-fA-F]+|0b[01]+)\\b/,\n boolean: /\\b(?:F|T|false|true)\\b/,\n punctuation: /<%|%>|[{}[\\]()]/,\n // Some operators from the \"operators\" category\n // https://www.warp10.io/tags/operators\n operator:\n /==|&&?|\\|\\|?|\\*\\*?|>>>?|<<|[<>!~]=?|[-/%^]|\\+!?|\\b(?:AND|NOT|OR)\\b/\n }\n}\n","'use strict'\n\nmodule.exports = wasm\nwasm.displayName = 'wasm'\nwasm.aliases = []\nfunction wasm(Prism) {\n Prism.languages.wasm = {\n comment: [\n /\\(;[\\s\\S]*?;\\)/,\n {\n pattern: /;;.*/,\n greedy: true\n }\n ],\n string: {\n pattern: /\"(?:\\\\[\\s\\S]|[^\"\\\\])*\"/,\n greedy: true\n },\n keyword: [\n {\n pattern: /\\b(?:align|offset)=/,\n inside: {\n operator: /=/\n }\n },\n {\n pattern:\n /\\b(?:(?:f32|f64|i32|i64)(?:\\.(?:abs|add|and|ceil|clz|const|convert_[su]\\/i(?:32|64)|copysign|ctz|demote\\/f64|div(?:_[su])?|eqz?|extend_[su]\\/i32|floor|ge(?:_[su])?|gt(?:_[su])?|le(?:_[su])?|load(?:(?:8|16|32)_[su])?|lt(?:_[su])?|max|min|mul|neg?|nearest|or|popcnt|promote\\/f32|reinterpret\\/[fi](?:32|64)|rem_[su]|rot[lr]|shl|shr_[su]|sqrt|store(?:8|16|32)?|sub|trunc(?:_[su]\\/f(?:32|64))?|wrap\\/i64|xor))?|memory\\.(?:grow|size))\\b/,\n inside: {\n punctuation: /\\./\n }\n },\n /\\b(?:anyfunc|block|br(?:_if|_table)?|call(?:_indirect)?|data|drop|elem|else|end|export|func|get_(?:global|local)|global|if|import|local|loop|memory|module|mut|nop|offset|param|result|return|select|set_(?:global|local)|start|table|tee_local|then|type|unreachable)\\b/\n ],\n variable: /\\$[\\w!#$%&'*+\\-./:<=>?@\\\\^`|~]+/,\n number:\n /[+-]?\\b(?:\\d(?:_?\\d)*(?:\\.\\d(?:_?\\d)*)?(?:[eE][+-]?\\d(?:_?\\d)*)?|0x[\\da-fA-F](?:_?[\\da-fA-F])*(?:\\.[\\da-fA-F](?:_?[\\da-fA-D])*)?(?:[pP][+-]?\\d(?:_?\\d)*)?)\\b|\\binf\\b|\\bnan(?::0x[\\da-fA-F](?:_?[\\da-fA-D])*)?\\b/,\n punctuation: /[()]/\n }\n}\n","'use strict'\n\nmodule.exports = webIdl\nwebIdl.displayName = 'webIdl'\nwebIdl.aliases = []\nfunction webIdl(Prism) {\n ;(function (Prism) {\n var id = /(?:\\B-|\\b_|\\b)[A-Za-z][\\w-]*(?![\\w-])/.source\n var type =\n '(?:' +\n /\\b(?:unsigned\\s+)?long\\s+long(?![\\w-])/.source +\n '|' +\n /\\b(?:unrestricted|unsigned)\\s+[a-z]+(?![\\w-])/.source +\n '|' +\n /(?!(?:unrestricted|unsigned)\\b)/.source +\n id +\n /(?:\\s*<(?:[^<>]|<[^<>]*>)*>)?/.source +\n ')' +\n /(?:\\s*\\?)?/.source\n var typeInside = {}\n Prism.languages['web-idl'] = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n string: {\n pattern: /\"[^\"]*\"/,\n greedy: true\n },\n namespace: {\n pattern: RegExp(/(\\bnamespace\\s+)/.source + id),\n lookbehind: true\n },\n 'class-name': [\n {\n pattern:\n /(^|[^\\w-])(?:iterable|maplike|setlike)\\s*<(?:[^<>]|<[^<>]*>)*>/,\n lookbehind: true,\n inside: typeInside\n },\n {\n pattern: RegExp(\n /(\\b(?:attribute|const|deleter|getter|optional|setter)\\s+)/.source +\n type\n ),\n lookbehind: true,\n inside: typeInside\n },\n {\n // callback return type\n pattern: RegExp(\n '(' + /\\bcallback\\s+/.source + id + /\\s*=\\s*/.source + ')' + type\n ),\n lookbehind: true,\n inside: typeInside\n },\n {\n // typedef\n pattern: RegExp(/(\\btypedef\\b\\s*)/.source + type),\n lookbehind: true,\n inside: typeInside\n },\n {\n pattern: RegExp(\n /(\\b(?:callback|dictionary|enum|interface(?:\\s+mixin)?)\\s+)(?!(?:interface|mixin)\\b)/\n .source + id\n ),\n lookbehind: true\n },\n {\n // inheritance\n pattern: RegExp(/(:\\s*)/.source + id),\n lookbehind: true\n }, // includes and implements\n RegExp(id + /(?=\\s+(?:implements|includes)\\b)/.source),\n {\n pattern: RegExp(/(\\b(?:implements|includes)\\s+)/.source + id),\n lookbehind: true\n },\n {\n // function return type, parameter types, and dictionary members\n pattern: RegExp(\n type +\n '(?=' +\n /\\s*(?:\\.{3}\\s*)?/.source +\n id +\n /\\s*[(),;=]/.source +\n ')'\n ),\n inside: typeInside\n }\n ],\n builtin:\n /\\b(?:ArrayBuffer|BigInt64Array|BigUint64Array|ByteString|DOMString|DataView|Float32Array|Float64Array|FrozenArray|Int16Array|Int32Array|Int8Array|ObservableArray|Promise|USVString|Uint16Array|Uint32Array|Uint8Array|Uint8ClampedArray)\\b/,\n keyword: [\n /\\b(?:async|attribute|callback|const|constructor|deleter|dictionary|enum|getter|implements|includes|inherit|interface|mixin|namespace|null|optional|or|partial|readonly|required|setter|static|stringifier|typedef|unrestricted)\\b/, // type keywords\n /\\b(?:any|bigint|boolean|byte|double|float|iterable|long|maplike|object|octet|record|sequence|setlike|short|symbol|undefined|unsigned|void)\\b/\n ],\n boolean: /\\b(?:false|true)\\b/,\n number: {\n pattern:\n /(^|[^\\w-])-?(?:0x[0-9a-f]+|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?|NaN|Infinity)(?![\\w-])/i,\n lookbehind: true\n },\n operator: /\\.{3}|[=:?<>-]/,\n punctuation: /[(){}[\\].,;]/\n }\n for (var key in Prism.languages['web-idl']) {\n if (key !== 'class-name') {\n typeInside[key] = Prism.languages['web-idl'][key]\n }\n }\n Prism.languages['webidl'] = Prism.languages['web-idl']\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = wiki\nwiki.displayName = 'wiki'\nwiki.aliases = []\nfunction wiki(Prism) {\n Prism.languages.wiki = Prism.languages.extend('markup', {\n 'block-comment': {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?\\*\\//,\n lookbehind: true,\n alias: 'comment'\n },\n heading: {\n pattern: /^(=+)[^=\\r\\n].*?\\1/m,\n inside: {\n punctuation: /^=+|=+$/,\n important: /.+/\n }\n },\n emphasis: {\n // TODO Multi-line\n pattern: /('{2,5}).+?\\1/,\n inside: {\n 'bold-italic': {\n pattern: /(''''').+?(?=\\1)/,\n lookbehind: true,\n alias: ['bold', 'italic']\n },\n bold: {\n pattern: /(''')[^'](?:.*?[^'])?(?=\\1)/,\n lookbehind: true\n },\n italic: {\n pattern: /('')[^'](?:.*?[^'])?(?=\\1)/,\n lookbehind: true\n },\n punctuation: /^''+|''+$/\n }\n },\n hr: {\n pattern: /^-{4,}/m,\n alias: 'punctuation'\n },\n url: [\n /ISBN +(?:97[89][ -]?)?(?:\\d[ -]?){9}[\\dx]\\b|(?:PMID|RFC) +\\d+/i,\n /\\[\\[.+?\\]\\]|\\[.+?\\]/\n ],\n variable: [\n /__[A-Z]+__/, // FIXME Nested structures should be handled\n // {{formatnum:{{#expr:{{{3}}}}}}}\n /\\{{3}.+?\\}{3}/,\n /\\{\\{.+?\\}\\}/\n ],\n symbol: [/^#redirect/im, /~{3,5}/],\n // Handle table attrs:\n // {|\n // ! style=\"text-align:left;\"| Item\n // |}\n 'table-tag': {\n pattern: /((?:^|[|!])[|!])[^|\\r\\n]+\\|(?!\\|)/m,\n lookbehind: true,\n inside: {\n 'table-bar': {\n pattern: /\\|$/,\n alias: 'punctuation'\n },\n rest: Prism.languages.markup['tag'].inside\n }\n },\n punctuation: /^(?:\\{\\||\\|\\}|\\|-|[*#:;!|])|\\|\\||!!/m\n })\n Prism.languages.insertBefore('wiki', 'tag', {\n // Prevent highlighting inside <nowiki>, <source> and <pre> tags\n nowiki: {\n pattern: /<(nowiki|pre|source)\\b[^>]*>[\\s\\S]*?<\\/\\1>/i,\n inside: {\n tag: {\n pattern: /<(?:nowiki|pre|source)\\b[^>]*>|<\\/(?:nowiki|pre|source)>/i,\n inside: Prism.languages.markup['tag'].inside\n }\n }\n }\n })\n}\n","'use strict'\n\nmodule.exports = wolfram\nwolfram.displayName = 'wolfram'\nwolfram.aliases = ['mathematica', 'wl', 'nb']\nfunction wolfram(Prism) {\n Prism.languages.wolfram = {\n // Allow one level of nesting - note: regex taken from applescipt\n comment: /\\(\\*(?:\\(\\*(?:[^*]|\\*(?!\\)))*\\*\\)|(?!\\(\\*)[\\s\\S])*?\\*\\)/,\n string: {\n pattern: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n keyword:\n /\\b(?:Abs|AbsArg|Accuracy|Block|Do|For|Function|If|Manipulate|Module|Nest|NestList|None|Return|Switch|Table|Which|While)\\b/,\n context: {\n pattern: /\\b\\w+`+\\w*/,\n alias: 'class-name'\n },\n blank: {\n pattern: /\\b\\w+_\\b/,\n alias: 'regex'\n },\n 'global-variable': {\n pattern: /\\$\\w+/,\n alias: 'variable'\n },\n boolean: /\\b(?:False|True)\\b/,\n number:\n /(?:\\b(?=\\d)|\\B(?=\\.))(?:0[bo])?(?:(?:\\d|0x[\\da-f])[\\da-f]*(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?j?\\b/i,\n operator:\n /\\/\\.|;|=\\.|\\^=|\\^:=|:=|<<|>>|<\\||\\|>|:>|\\|->|->|<-|@@@|@@|@|\\/@|=!=|===|==|=|\\+|-|\\^|\\[\\/-+%=\\]=?|!=|\\*\\*?=?|\\/\\/?=?|<[<=>]?|>[=>]?|[&|^~]/,\n punctuation: /[{}[\\];(),.:]/\n }\n Prism.languages.mathematica = Prism.languages.wolfram\n Prism.languages.wl = Prism.languages.wolfram\n Prism.languages.nb = Prism.languages.wolfram\n}\n","'use strict'\n\nmodule.exports = wren\nwren.displayName = 'wren'\nwren.aliases = []\nfunction wren(Prism) {\n // https://wren.io/\n Prism.languages.wren = {\n // Multiline comments in Wren can have nested multiline comments\n // Comments: // and /* */\n comment: [\n {\n // support 3 levels of nesting\n // regex: \\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|<self>)*\\*\\/\n pattern:\n /\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*))*\\*\\/)*\\*\\/)*\\*\\//,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n // Triple quoted strings are multiline but cannot have interpolation (raw strings)\n // Based on prism-python.js\n 'triple-quoted-string': {\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n // see below\n 'string-literal': null,\n // #!/usr/bin/env wren on the first line\n hashbang: {\n pattern: /^#!\\/.+/,\n greedy: true,\n alias: 'comment'\n },\n // Attributes are special keywords to add meta data to classes\n attribute: {\n // #! attributes are stored in class properties\n // #!myvar = true\n // #attributes are not stored and dismissed at compilation\n pattern: /#!?[ \\t\\u3000]*\\w+/,\n alias: 'keyword'\n },\n 'class-name': [\n {\n // class definition\n // class Meta {}\n pattern: /(\\bclass\\s+)\\w+/,\n lookbehind: true\n }, // A class must always start with an uppercase.\n // File.read\n /\\b[A-Z][a-z\\d_]*\\b/\n ],\n // A constant can be a variable, class, property or method. Just named in all uppercase letters\n constant: /\\b[A-Z][A-Z\\d_]*\\b/,\n null: {\n pattern: /\\bnull\\b/,\n alias: 'keyword'\n },\n keyword:\n /\\b(?:as|break|class|construct|continue|else|for|foreign|if|import|in|is|return|static|super|this|var|while)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b(?:0x[\\da-f]+|\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?)\\b/i,\n // Functions can be Class.method()\n function: /\\b[a-z_]\\w*(?=\\s*[({])/i,\n operator: /<<|>>|[=!<>]=?|&&|\\|\\||[-+*/%~^&|?:]|\\.{2,3}/,\n punctuation: /[\\[\\](){}.,;]/\n }\n Prism.languages.wren['string-literal'] = {\n // A single quote string is multiline and can have interpolation (similar to JS backticks ``)\n pattern:\n /(^|[^\\\\\"])\"(?:[^\\\\\"%]|\\\\[\\s\\S]|%(?!\\()|%\\((?:[^()]|\\((?:[^()]|\\([^)]*\\))*\\))*\\))*\"/,\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n // \"%(interpolation)\"\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)%\\((?:[^()]|\\((?:[^()]|\\([^)]*\\))*\\))*\\)/,\n lookbehind: true,\n inside: {\n expression: {\n pattern: /^(%\\()[\\s\\S]+(?=\\)$)/,\n lookbehind: true,\n inside: Prism.languages.wren\n },\n 'interpolation-punctuation': {\n pattern: /^%\\(|\\)$/,\n alias: 'punctuation'\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n}\n","'use strict'\n\nmodule.exports = xeora\nxeora.displayName = 'xeora'\nxeora.aliases = ['xeoracube']\nfunction xeora(Prism) {\n ;(function (Prism) {\n Prism.languages.xeora = Prism.languages.extend('markup', {\n constant: {\n pattern: /\\$(?:DomainContents|PageRenderDuration)\\$/,\n inside: {\n punctuation: {\n pattern: /\\$/\n }\n }\n },\n variable: {\n pattern: /\\$@?(?:#+|[-+*~=^])?[\\w.]+\\$/,\n inside: {\n punctuation: {\n pattern: /[$.]/\n },\n operator: {\n pattern: /#+|[-+*~=^@]/\n }\n }\n },\n 'function-inline': {\n pattern:\n /\\$F:[-\\w.]+\\?[-\\w.]+(?:,(?:(?:@[-#]*\\w+\\.[\\w+.]\\.*)*\\|)*(?:(?:[\\w+]|[-#*.~^]+[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*|(?:@[-#]*\\w+\\.[\\w+.]\\.*)+(?:(?:[\\w+]|[-#*~^][-#*.~^]*[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*)?)?)?\\$/,\n inside: {\n variable: {\n pattern: /(?:[,|])@?(?:#+|[-+*~=^])?[\\w.]+/,\n inside: {\n punctuation: {\n pattern: /[,.|]/\n },\n operator: {\n pattern: /#+|[-+*~=^@]/\n }\n }\n },\n punctuation: {\n pattern: /\\$\\w:|[$:?.,|]/\n }\n },\n alias: 'function'\n },\n 'function-block': {\n pattern:\n /\\$XF:\\{[-\\w.]+\\?[-\\w.]+(?:,(?:(?:@[-#]*\\w+\\.[\\w+.]\\.*)*\\|)*(?:(?:[\\w+]|[-#*.~^]+[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*|(?:@[-#]*\\w+\\.[\\w+.]\\.*)+(?:(?:[\\w+]|[-#*~^][-#*.~^]*[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*)?)?)?\\}:XF\\$/,\n inside: {\n punctuation: {\n pattern: /[$:{}?.,|]/\n }\n },\n alias: 'function'\n },\n 'directive-inline': {\n pattern: /\\$\\w(?:#\\d+\\+?)?(?:\\[[-\\w.]+\\])?:[-\\/\\w.]+\\$/,\n inside: {\n punctuation: {\n pattern: /\\$(?:\\w:|C(?:\\[|#\\d))?|[:{[\\]]/,\n inside: {\n tag: {\n pattern: /#\\d/\n }\n }\n }\n },\n alias: 'function'\n },\n 'directive-block-open': {\n pattern:\n /\\$\\w+:\\{|\\$\\w(?:#\\d+\\+?)?(?:\\[[-\\w.]+\\])?:[-\\w.]+:\\{(?:![A-Z]+)?/,\n inside: {\n punctuation: {\n pattern: /\\$(?:\\w:|C(?:\\[|#\\d))?|[:{[\\]]/,\n inside: {\n tag: {\n pattern: /#\\d/\n }\n }\n },\n attribute: {\n pattern: /![A-Z]+$/,\n inside: {\n punctuation: {\n pattern: /!/\n }\n },\n alias: 'keyword'\n }\n },\n alias: 'function'\n },\n 'directive-block-separator': {\n pattern: /\\}:[-\\w.]+:\\{/,\n inside: {\n punctuation: {\n pattern: /[:{}]/\n }\n },\n alias: 'function'\n },\n 'directive-block-close': {\n pattern: /\\}:[-\\w.]+\\$/,\n inside: {\n punctuation: {\n pattern: /[:{}$]/\n }\n },\n alias: 'function'\n }\n })\n Prism.languages.insertBefore(\n 'inside',\n 'punctuation',\n {\n variable: Prism.languages.xeora['function-inline'].inside['variable']\n },\n Prism.languages.xeora['function-block']\n )\n Prism.languages.xeoracube = Prism.languages.xeora\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = xmlDoc\nxmlDoc.displayName = 'xmlDoc'\nxmlDoc.aliases = []\nfunction xmlDoc(Prism) {\n ;(function (Prism) {\n /**\n * If the given language is present, it will insert the given doc comment grammar token into it.\n *\n * @param {string} lang\n * @param {any} docComment\n */\n function insertDocComment(lang, docComment) {\n if (Prism.languages[lang]) {\n Prism.languages.insertBefore(lang, 'comment', {\n 'doc-comment': docComment\n })\n }\n }\n var tag = Prism.languages.markup.tag\n var slashDocComment = {\n pattern: /\\/\\/\\/.*/,\n greedy: true,\n alias: 'comment',\n inside: {\n tag: tag\n }\n }\n var tickDocComment = {\n pattern: /'''.*/,\n greedy: true,\n alias: 'comment',\n inside: {\n tag: tag\n }\n }\n insertDocComment('csharp', slashDocComment)\n insertDocComment('fsharp', slashDocComment)\n insertDocComment('vbnet', tickDocComment)\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = xojo\nxojo.displayName = 'xojo'\nxojo.aliases = []\nfunction xojo(Prism) {\n Prism.languages.xojo = {\n comment: {\n pattern: /(?:'|\\/\\/|Rem\\b).+/i,\n greedy: true\n },\n string: {\n pattern: /\"(?:\"\"|[^\"])*\"/,\n greedy: true\n },\n number: [/(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:E[+-]?\\d+)?/i, /&[bchou][a-z\\d]+/i],\n directive: {\n pattern: /#(?:Else|ElseIf|Endif|If|Pragma)\\b/i,\n alias: 'property'\n },\n keyword:\n /\\b(?:AddHandler|App|Array|As(?:signs)?|Auto|Boolean|Break|By(?:Ref|Val)|Byte|Call|Case|Catch|CFStringRef|CGFloat|Class|Color|Const|Continue|CString|Currency|CurrentMethodName|Declare|Delegate|Dim|Do(?:uble|wnTo)?|Each|Else(?:If)?|End|Enumeration|Event|Exception|Exit|Extends|False|Finally|For|Function|Get|GetTypeInfo|Global|GOTO|If|Implements|In|Inherits|Int(?:8|16|32|64|eger|erface)?|Lib|Loop|Me|Module|Next|Nil|Object|Optional|OSType|ParamArray|Private|Property|Protected|PString|Ptr|Raise(?:Event)?|ReDim|RemoveHandler|Return|Select(?:or)?|Self|Set|Shared|Short|Single|Soft|Static|Step|String|Sub|Super|Text|Then|To|True|Try|Ubound|UInt(?:8|16|32|64|eger)?|Until|Using|Var(?:iant)?|Wend|While|WindowPtr|WString)\\b/i,\n operator:\n /<[=>]?|>=?|[+\\-*\\/\\\\^=]|\\b(?:AddressOf|And|Ctype|IsA?|Mod|New|Not|Or|WeakAddressOf|Xor)\\b/i,\n punctuation: /[.,;:()]/\n }\n}\n","'use strict'\n\nmodule.exports = xquery\nxquery.displayName = 'xquery'\nxquery.aliases = []\nfunction xquery(Prism) {\n ;(function (Prism) {\n Prism.languages.xquery = Prism.languages.extend('markup', {\n 'xquery-comment': {\n pattern: /\\(:[\\s\\S]*?:\\)/,\n greedy: true,\n alias: 'comment'\n },\n string: {\n pattern: /([\"'])(?:\\1\\1|(?!\\1)[\\s\\S])*\\1/,\n greedy: true\n },\n extension: {\n pattern: /\\(#.+?#\\)/,\n alias: 'symbol'\n },\n variable: /\\$[-\\w:]+/,\n axis: {\n pattern:\n /(^|[^-])(?:ancestor(?:-or-self)?|attribute|child|descendant(?:-or-self)?|following(?:-sibling)?|parent|preceding(?:-sibling)?|self)(?=::)/,\n lookbehind: true,\n alias: 'operator'\n },\n 'keyword-operator': {\n pattern:\n /(^|[^:-])\\b(?:and|castable as|div|eq|except|ge|gt|idiv|instance of|intersect|is|le|lt|mod|ne|or|union)\\b(?=$|[^:-])/,\n lookbehind: true,\n alias: 'operator'\n },\n keyword: {\n pattern:\n /(^|[^:-])\\b(?:as|ascending|at|base-uri|boundary-space|case|cast as|collation|construction|copy-namespaces|declare|default|descending|else|empty (?:greatest|least)|encoding|every|external|for|function|if|import|in|inherit|lax|let|map|module|namespace|no-inherit|no-preserve|option|order(?: by|ed|ing)?|preserve|return|satisfies|schema|some|stable|strict|strip|then|to|treat as|typeswitch|unordered|validate|variable|version|where|xquery)\\b(?=$|[^:-])/,\n lookbehind: true\n },\n function: /[\\w-]+(?::[\\w-]+)*(?=\\s*\\()/,\n 'xquery-element': {\n pattern: /(element\\s+)[\\w-]+(?::[\\w-]+)*/,\n lookbehind: true,\n alias: 'tag'\n },\n 'xquery-attribute': {\n pattern: /(attribute\\s+)[\\w-]+(?::[\\w-]+)*/,\n lookbehind: true,\n alias: 'attr-name'\n },\n builtin: {\n pattern:\n /(^|[^:-])\\b(?:attribute|comment|document|element|processing-instruction|text|xs:(?:ENTITIES|ENTITY|ID|IDREFS?|NCName|NMTOKENS?|NOTATION|Name|QName|anyAtomicType|anyType|anyURI|base64Binary|boolean|byte|date|dateTime|dayTimeDuration|decimal|double|duration|float|gDay|gMonth|gMonthDay|gYear|gYearMonth|hexBinary|int|integer|language|long|negativeInteger|nonNegativeInteger|nonPositiveInteger|normalizedString|positiveInteger|short|string|time|token|unsigned(?:Byte|Int|Long|Short)|untyped(?:Atomic)?|yearMonthDuration))\\b(?=$|[^:-])/,\n lookbehind: true\n },\n number: /\\b\\d+(?:\\.\\d+)?(?:E[+-]?\\d+)?/,\n operator: [\n /[+*=?|@]|\\.\\.?|:=|!=|<[=<]?|>[=>]?/,\n {\n pattern: /(\\s)-(?=\\s)/,\n lookbehind: true\n }\n ],\n punctuation: /[[\\](){},;:/]/\n })\n Prism.languages.xquery.tag.pattern =\n /<\\/?(?!\\d)[^\\s>\\/=$<%]+(?:\\s+[^\\s>\\/=]+(?:=(?:(\"|')(?:\\\\[\\s\\S]|\\{(?!\\{)(?:\\{(?:\\{[^{}]*\\}|[^{}])*\\}|[^{}])+\\}|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+))?)*\\s*\\/?>/\n Prism.languages.xquery['tag'].inside['attr-value'].pattern =\n /=(?:(\"|')(?:\\\\[\\s\\S]|\\{(?!\\{)(?:\\{(?:\\{[^{}]*\\}|[^{}])*\\}|[^{}])+\\}|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+)/\n Prism.languages.xquery['tag'].inside['attr-value'].inside['punctuation'] =\n /^=\"|\"$/\n Prism.languages.xquery['tag'].inside['attr-value'].inside['expression'] = {\n // Allow for two levels of nesting\n pattern: /\\{(?!\\{)(?:\\{(?:\\{[^{}]*\\}|[^{}])*\\}|[^{}])+\\}/,\n inside: Prism.languages.xquery,\n alias: 'language-xquery'\n } // The following will handle plain text inside tags\n var stringifyToken = function (token) {\n if (typeof token === 'string') {\n return token\n }\n if (typeof token.content === 'string') {\n return token.content\n }\n return token.content.map(stringifyToken).join('')\n }\n var walkTokens = function (tokens) {\n var openedTags = []\n for (var i = 0; i < tokens.length; i++) {\n var token = tokens[i]\n var notTagNorBrace = false\n if (typeof token !== 'string') {\n if (\n token.type === 'tag' &&\n token.content[0] &&\n token.content[0].type === 'tag'\n ) {\n // We found a tag, now find its kind\n if (token.content[0].content[0].content === '</') {\n // Closing tag\n if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].tagName ===\n stringifyToken(token.content[0].content[1])\n ) {\n // Pop matching opening tag\n openedTags.pop()\n }\n } else {\n if (token.content[token.content.length - 1].content === '/>') {\n // Autoclosed tag, ignore\n } else {\n // Opening tag\n openedTags.push({\n tagName: stringifyToken(token.content[0].content[1]),\n openedBraces: 0\n })\n }\n }\n } else if (\n openedTags.length > 0 &&\n token.type === 'punctuation' &&\n token.content === '{' && // Ignore `{{`\n (!tokens[i + 1] ||\n tokens[i + 1].type !== 'punctuation' ||\n tokens[i + 1].content !== '{') &&\n (!tokens[i - 1] ||\n tokens[i - 1].type !== 'plain-text' ||\n tokens[i - 1].content !== '{')\n ) {\n // Here we might have entered an XQuery expression inside a tag\n openedTags[openedTags.length - 1].openedBraces++\n } else if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].openedBraces > 0 &&\n token.type === 'punctuation' &&\n token.content === '}'\n ) {\n // Here we might have left an XQuery expression inside a tag\n openedTags[openedTags.length - 1].openedBraces--\n } else if (token.type !== 'comment') {\n notTagNorBrace = true\n }\n }\n if (notTagNorBrace || typeof token === 'string') {\n if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].openedBraces === 0\n ) {\n // Here we are inside a tag, and not inside an XQuery expression.\n // That's plain text: drop any tokens matched.\n var plainText = stringifyToken(token) // And merge text with adjacent text\n if (\n i < tokens.length - 1 &&\n (typeof tokens[i + 1] === 'string' ||\n tokens[i + 1].type === 'plain-text')\n ) {\n plainText += stringifyToken(tokens[i + 1])\n tokens.splice(i + 1, 1)\n }\n if (\n i > 0 &&\n (typeof tokens[i - 1] === 'string' ||\n tokens[i - 1].type === 'plain-text')\n ) {\n plainText = stringifyToken(tokens[i - 1]) + plainText\n tokens.splice(i - 1, 1)\n i--\n }\n if (/^\\s+$/.test(plainText)) {\n tokens[i] = plainText\n } else {\n tokens[i] = new Prism.Token(\n 'plain-text',\n plainText,\n null,\n plainText\n )\n }\n }\n }\n if (token.content && typeof token.content !== 'string') {\n walkTokens(token.content)\n }\n }\n }\n Prism.hooks.add('after-tokenize', function (env) {\n if (env.language !== 'xquery') {\n return\n }\n walkTokens(env.tokens)\n })\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = yaml\nyaml.displayName = 'yaml'\nyaml.aliases = ['yml']\nfunction yaml(Prism) {\n ;(function (Prism) {\n // https://yaml.org/spec/1.2/spec.html#c-ns-anchor-property\n // https://yaml.org/spec/1.2/spec.html#c-ns-alias-node\n var anchorOrAlias = /[*&][^\\s[\\]{},]+/ // https://yaml.org/spec/1.2/spec.html#c-ns-tag-property\n var tag =\n /!(?:<[\\w\\-%#;/?:@&=+$,.!~*'()[\\]]+>|(?:[a-zA-Z\\d-]*!)?[\\w\\-%#;/?:@&=+$.~*'()]+)?/ // https://yaml.org/spec/1.2/spec.html#c-ns-properties(n,c)\n var properties =\n '(?:' +\n tag.source +\n '(?:[ \\t]+' +\n anchorOrAlias.source +\n ')?|' +\n anchorOrAlias.source +\n '(?:[ \\t]+' +\n tag.source +\n ')?)' // https://yaml.org/spec/1.2/spec.html#ns-plain(n,c)\n // This is a simplified version that doesn't support \"#\" and multiline keys\n // All these long scarry character classes are simplified versions of YAML's characters\n var plainKey =\n /(?:[^\\s\\x00-\\x08\\x0e-\\x1f!\"#%&'*,\\-:>?@[\\]`{|}\\x7f-\\x84\\x86-\\x9f\\ud800-\\udfff\\ufffe\\uffff]|[?:-]<PLAIN>)(?:[ \\t]*(?:(?![#:])<PLAIN>|:<PLAIN>))*/.source.replace(\n /<PLAIN>/g,\n function () {\n return /[^\\s\\x00-\\x08\\x0e-\\x1f,[\\]{}\\x7f-\\x84\\x86-\\x9f\\ud800-\\udfff\\ufffe\\uffff]/\n .source\n }\n )\n var string = /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?:[^'\\\\\\r\\n]|\\\\.)*'/.source\n /**\n *\n * @param {string} value\n * @param {string} [flags]\n * @returns {RegExp}\n */\n function createValuePattern(value, flags) {\n flags = (flags || '').replace(/m/g, '') + 'm' // add m flag\n var pattern =\n /([:\\-,[{]\\s*(?:\\s<<prop>>[ \\t]+)?)(?:<<value>>)(?=[ \\t]*(?:$|,|\\]|\\}|(?:[\\r\\n]\\s*)?#))/.source\n .replace(/<<prop>>/g, function () {\n return properties\n })\n .replace(/<<value>>/g, function () {\n return value\n })\n return RegExp(pattern, flags)\n }\n Prism.languages.yaml = {\n scalar: {\n pattern: RegExp(\n /([\\-:]\\s*(?:\\s<<prop>>[ \\t]+)?[|>])[ \\t]*(?:((?:\\r?\\n|\\r)[ \\t]+)\\S[^\\r\\n]*(?:\\2[^\\r\\n]+)*)/.source.replace(\n /<<prop>>/g,\n function () {\n return properties\n }\n )\n ),\n lookbehind: true,\n alias: 'string'\n },\n comment: /#.*/,\n key: {\n pattern: RegExp(\n /((?:^|[:\\-,[{\\r\\n?])[ \\t]*(?:<<prop>>[ \\t]+)?)<<key>>(?=\\s*:\\s)/.source\n .replace(/<<prop>>/g, function () {\n return properties\n })\n .replace(/<<key>>/g, function () {\n return '(?:' + plainKey + '|' + string + ')'\n })\n ),\n lookbehind: true,\n greedy: true,\n alias: 'atrule'\n },\n directive: {\n pattern: /(^[ \\t]*)%.+/m,\n lookbehind: true,\n alias: 'important'\n },\n datetime: {\n pattern: createValuePattern(\n /\\d{4}-\\d\\d?-\\d\\d?(?:[tT]|[ \\t]+)\\d\\d?:\\d{2}:\\d{2}(?:\\.\\d*)?(?:[ \\t]*(?:Z|[-+]\\d\\d?(?::\\d{2})?))?|\\d{4}-\\d{2}-\\d{2}|\\d\\d?:\\d{2}(?::\\d{2}(?:\\.\\d*)?)?/\n .source\n ),\n lookbehind: true,\n alias: 'number'\n },\n boolean: {\n pattern: createValuePattern(/false|true/.source, 'i'),\n lookbehind: true,\n alias: 'important'\n },\n null: {\n pattern: createValuePattern(/null|~/.source, 'i'),\n lookbehind: true,\n alias: 'important'\n },\n string: {\n pattern: createValuePattern(string),\n lookbehind: true,\n greedy: true\n },\n number: {\n pattern: createValuePattern(\n /[+-]?(?:0x[\\da-f]+|0o[0-7]+|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?|\\.inf|\\.nan)/\n .source,\n 'i'\n ),\n lookbehind: true\n },\n tag: tag,\n important: anchorOrAlias,\n punctuation: /---|[:[\\]{}\\-,|>?]|\\.\\.\\./\n }\n Prism.languages.yml = Prism.languages.yaml\n })(Prism)\n}\n","'use strict'\n\nmodule.exports = yang\nyang.displayName = 'yang'\nyang.aliases = []\nfunction yang(Prism) {\n Prism.languages.yang = {\n // https://tools.ietf.org/html/rfc6020#page-34\n // http://www.yang-central.org/twiki/bin/view/Main/YangExamples\n comment: /\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*/,\n string: {\n pattern: /\"(?:[^\\\\\"]|\\\\.)*\"|'[^']*'/,\n greedy: true\n },\n keyword: {\n pattern: /(^|[{};\\r\\n][ \\t]*)[a-z_][\\w.-]*/i,\n lookbehind: true\n },\n namespace: {\n pattern: /(\\s)[a-z_][\\w.-]*(?=:)/i,\n lookbehind: true\n },\n boolean: /\\b(?:false|true)\\b/,\n operator: /\\+/,\n punctuation: /[{};:]/\n }\n}\n","'use strict'\n\nmodule.exports = zig\nzig.displayName = 'zig'\nzig.aliases = []\nfunction zig(Prism) {\n ;(function (Prism) {\n function literal(str) {\n return function () {\n return str\n }\n }\n var keyword =\n /\\b(?:align|allowzero|and|anyframe|anytype|asm|async|await|break|cancel|catch|comptime|const|continue|defer|else|enum|errdefer|error|export|extern|fn|for|if|inline|linksection|nakedcc|noalias|nosuspend|null|or|orelse|packed|promise|pub|resume|return|stdcallcc|struct|suspend|switch|test|threadlocal|try|undefined|union|unreachable|usingnamespace|var|volatile|while)\\b/\n var IDENTIFIER = '\\\\b(?!' + keyword.source + ')(?!\\\\d)\\\\w+\\\\b'\n var ALIGN = /align\\s*\\((?:[^()]|\\([^()]*\\))*\\)/.source\n var PREFIX_TYPE_OP =\n /(?:\\?|\\bpromise->|(?:\\[[^[\\]]*\\]|\\*(?!\\*)|\\*\\*)(?:\\s*<ALIGN>|\\s*const\\b|\\s*volatile\\b|\\s*allowzero\\b)*)/.source.replace(\n /<ALIGN>/g,\n literal(ALIGN)\n )\n var SUFFIX_EXPR =\n /(?:\\bpromise\\b|(?:\\berror\\.)?<ID>(?:\\.<ID>)*(?!\\s+<ID>))/.source.replace(\n /<ID>/g,\n literal(IDENTIFIER)\n )\n var TYPE =\n '(?!\\\\s)(?:!?\\\\s*(?:' + PREFIX_TYPE_OP + '\\\\s*)*' + SUFFIX_EXPR + ')+'\n /*\n * A simplified grammar for Zig compile time type literals:\n *\n * TypeExpr = ( \"!\"? PREFIX_TYPE_OP* SUFFIX_EXPR )+\n *\n * SUFFIX_EXPR = ( \\b \"promise\" \\b | ( \\b \"error\" \".\" )? IDENTIFIER ( \".\" IDENTIFIER )* (?! \\s+ IDENTIFIER ) )\n *\n * PREFIX_TYPE_OP = \"?\"\n * | \\b \"promise\" \"->\"\n * | ( \"[\" [^\\[\\]]* \"]\" | \"*\" | \"**\" ) ( ALIGN | \"const\" \\b | \"volatile\" \\b | \"allowzero\" \\b )*\n *\n * ALIGN = \"align\" \"(\" ( [^()] | \"(\" [^()]* \")\" )* \")\"\n *\n * IDENTIFIER = \\b (?! KEYWORD ) [a-zA-Z_] \\w* \\b\n *\n */\n Prism.languages.zig = {\n comment: [\n {\n pattern: /\\/\\/[/!].*/,\n alias: 'doc-comment'\n },\n /\\/{2}.*/\n ],\n string: [\n {\n // \"string\" and c\"string\"\n pattern: /(^|[^\\\\@])c?\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"/,\n lookbehind: true,\n greedy: true\n },\n {\n // multiline strings and c-strings\n pattern: /([\\r\\n])([ \\t]+c?\\\\{2}).*(?:(?:\\r\\n?|\\n)\\2.*)*/,\n lookbehind: true,\n greedy: true\n }\n ],\n char: {\n // characters 'a', '\\n', '\\xFF', '\\u{10FFFF}'\n pattern:\n /(^|[^\\\\])'(?:[^'\\\\\\r\\n]|[\\uD800-\\uDFFF]{2}|\\\\(?:.|x[a-fA-F\\d]{2}|u\\{[a-fA-F\\d]{1,6}\\}))'/,\n lookbehind: true,\n greedy: true\n },\n builtin: /\\B@(?!\\d)\\w+(?=\\s*\\()/,\n label: {\n pattern:\n /(\\b(?:break|continue)\\s*:\\s*)\\w+\\b|\\b(?!\\d)\\w+\\b(?=\\s*:\\s*(?:\\{|while\\b))/,\n lookbehind: true\n },\n 'class-name': [\n // const Foo = struct {};\n /\\b(?!\\d)\\w+(?=\\s*=\\s*(?:(?:extern|packed)\\s+)?(?:enum|struct|union)\\s*[({])/,\n {\n // const x: i32 = 9;\n // var x: Bar;\n // fn foo(x: bool, y: f32) void {}\n pattern: RegExp(\n /(:\\s*)<TYPE>(?=\\s*(?:<ALIGN>\\s*)?[=;,)])|<TYPE>(?=\\s*(?:<ALIGN>\\s*)?\\{)/.source\n .replace(/<TYPE>/g, literal(TYPE))\n .replace(/<ALIGN>/g, literal(ALIGN))\n ),\n lookbehind: true,\n inside: null // see below\n },\n {\n // extern fn foo(x: f64) f64; (optional alignment)\n pattern: RegExp(\n /(\\)\\s*)<TYPE>(?=\\s*(?:<ALIGN>\\s*)?;)/.source\n .replace(/<TYPE>/g, literal(TYPE))\n .replace(/<ALIGN>/g, literal(ALIGN))\n ),\n lookbehind: true,\n inside: null // see below\n }\n ],\n 'builtin-type': {\n pattern:\n /\\b(?:anyerror|bool|c_u?(?:int|long|longlong|short)|c_longdouble|c_void|comptime_(?:float|int)|f(?:16|32|64|128)|[iu](?:8|16|32|64|128|size)|noreturn|type|void)\\b/,\n alias: 'keyword'\n },\n keyword: keyword,\n function: /\\b(?!\\d)\\w+(?=\\s*\\()/,\n number:\n /\\b(?:0b[01]+|0o[0-7]+|0x[a-fA-F\\d]+(?:\\.[a-fA-F\\d]*)?(?:[pP][+-]?[a-fA-F\\d]+)?|\\d+(?:\\.\\d*)?(?:[eE][+-]?\\d+)?)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n operator:\n /\\.[*?]|\\.{2,3}|[-=]>|\\*\\*|\\+\\+|\\|\\||(?:<<|>>|[-+*]%|[-+*/%^&|<>!=])=?|[?~]/,\n punctuation: /[.:,;(){}[\\]]/\n }\n Prism.languages.zig['class-name'].forEach(function (obj) {\n if (obj.inside === null) {\n obj.inside = Prism.languages.zig\n }\n })\n })(Prism)\n}\n","/// <reference lib=\"WebWorker\"/>\n\nvar _self = (typeof window !== 'undefined')\n\t? window // if in browser\n\t: (\n\t\t(typeof WorkerGlobalScope !== 'undefined' && self instanceof WorkerGlobalScope)\n\t\t\t? self // if in worker\n\t\t\t: {} // if in node js\n\t);\n\n/**\n * Prism: Lightweight, robust, elegant syntax highlighting\n *\n * @license MIT <https://opensource.org/licenses/MIT>\n * @author Lea Verou <https://lea.verou.me>\n * @namespace\n * @public\n */\nvar Prism = (function (_self) {\n\n\t// Private helper vars\n\tvar lang = /(?:^|\\s)lang(?:uage)?-([\\w-]+)(?=\\s|$)/i;\n\tvar uniqueId = 0;\n\n\t// The grammar object for plaintext\n\tvar plainTextGrammar = {};\n\n\n\tvar _ = {\n\t\t/**\n\t\t * By default, Prism will attempt to highlight all code elements (by calling {@link Prism.highlightAll}) on the\n\t\t * current page after the page finished loading. This might be a problem if e.g. you wanted to asynchronously load\n\t\t * additional languages or plugins yourself.\n\t\t *\n\t\t * By setting this value to `true`, Prism will not automatically highlight all code elements on the page.\n\t\t *\n\t\t * You obviously have to change this value before the automatic highlighting started. To do this, you can add an\n\t\t * empty Prism object into the global scope before loading the Prism script like this:\n\t\t *\n\t\t * ```js\n\t\t * window.Prism = window.Prism || {};\n\t\t * Prism.manual = true;\n\t\t * // add a new <script> to load Prism's script\n\t\t * ```\n\t\t *\n\t\t * @default false\n\t\t * @type {boolean}\n\t\t * @memberof Prism\n\t\t * @public\n\t\t */\n\t\tmanual: _self.Prism && _self.Prism.manual,\n\t\t/**\n\t\t * By default, if Prism is in a web worker, it assumes that it is in a worker it created itself, so it uses\n\t\t * `addEventListener` to communicate with its parent instance. However, if you're using Prism manually in your\n\t\t * own worker, you don't want it to do this.\n\t\t *\n\t\t * By setting this value to `true`, Prism will not add its own listeners to the worker.\n\t\t *\n\t\t * You obviously have to change this value before Prism executes. To do this, you can add an\n\t\t * empty Prism object into the global scope before loading the Prism script like this:\n\t\t *\n\t\t * ```js\n\t\t * window.Prism = window.Prism || {};\n\t\t * Prism.disableWorkerMessageHandler = true;\n\t\t * // Load Prism's script\n\t\t * ```\n\t\t *\n\t\t * @default false\n\t\t * @type {boolean}\n\t\t * @memberof Prism\n\t\t * @public\n\t\t */\n\t\tdisableWorkerMessageHandler: _self.Prism && _self.Prism.disableWorkerMessageHandler,\n\n\t\t/**\n\t\t * A namespace for utility methods.\n\t\t *\n\t\t * All function in this namespace that are not explicitly marked as _public_ are for __internal use only__ and may\n\t\t * change or disappear at any time.\n\t\t *\n\t\t * @namespace\n\t\t * @memberof Prism\n\t\t */\n\t\tutil: {\n\t\t\tencode: function encode(tokens) {\n\t\t\t\tif (tokens instanceof Token) {\n\t\t\t\t\treturn new Token(tokens.type, encode(tokens.content), tokens.alias);\n\t\t\t\t} else if (Array.isArray(tokens)) {\n\t\t\t\t\treturn tokens.map(encode);\n\t\t\t\t} else {\n\t\t\t\t\treturn tokens.replace(/&/g, '&').replace(/</g, '<').replace(/\\u00a0/g, ' ');\n\t\t\t\t}\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Returns the name of the type of the given value.\n\t\t\t *\n\t\t\t * @param {any} o\n\t\t\t * @returns {string}\n\t\t\t * @example\n\t\t\t * type(null) === 'Null'\n\t\t\t * type(undefined) === 'Undefined'\n\t\t\t * type(123) === 'Number'\n\t\t\t * type('foo') === 'String'\n\t\t\t * type(true) === 'Boolean'\n\t\t\t * type([1, 2]) === 'Array'\n\t\t\t * type({}) === 'Object'\n\t\t\t * type(String) === 'Function'\n\t\t\t * type(/abc+/) === 'RegExp'\n\t\t\t */\n\t\t\ttype: function (o) {\n\t\t\t\treturn Object.prototype.toString.call(o).slice(8, -1);\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Returns a unique number for the given object. Later calls will still return the same number.\n\t\t\t *\n\t\t\t * @param {Object} obj\n\t\t\t * @returns {number}\n\t\t\t */\n\t\t\tobjId: function (obj) {\n\t\t\t\tif (!obj['__id']) {\n\t\t\t\t\tObject.defineProperty(obj, '__id', { value: ++uniqueId });\n\t\t\t\t}\n\t\t\t\treturn obj['__id'];\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Creates a deep clone of the given object.\n\t\t\t *\n\t\t\t * The main intended use of this function is to clone language definitions.\n\t\t\t *\n\t\t\t * @param {T} o\n\t\t\t * @param {Record<number, any>} [visited]\n\t\t\t * @returns {T}\n\t\t\t * @template T\n\t\t\t */\n\t\t\tclone: function deepClone(o, visited) {\n\t\t\t\tvisited = visited || {};\n\n\t\t\t\tvar clone; var id;\n\t\t\t\tswitch (_.util.type(o)) {\n\t\t\t\t\tcase 'Object':\n\t\t\t\t\t\tid = _.util.objId(o);\n\t\t\t\t\t\tif (visited[id]) {\n\t\t\t\t\t\t\treturn visited[id];\n\t\t\t\t\t\t}\n\t\t\t\t\t\tclone = /** @type {Record<string, any>} */ ({});\n\t\t\t\t\t\tvisited[id] = clone;\n\n\t\t\t\t\t\tfor (var key in o) {\n\t\t\t\t\t\t\tif (o.hasOwnProperty(key)) {\n\t\t\t\t\t\t\t\tclone[key] = deepClone(o[key], visited);\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\n\t\t\t\t\t\treturn /** @type {any} */ (clone);\n\n\t\t\t\t\tcase 'Array':\n\t\t\t\t\t\tid = _.util.objId(o);\n\t\t\t\t\t\tif (visited[id]) {\n\t\t\t\t\t\t\treturn visited[id];\n\t\t\t\t\t\t}\n\t\t\t\t\t\tclone = [];\n\t\t\t\t\t\tvisited[id] = clone;\n\n\t\t\t\t\t\t(/** @type {Array} */(/** @type {any} */(o))).forEach(function (v, i) {\n\t\t\t\t\t\t\tclone[i] = deepClone(v, visited);\n\t\t\t\t\t\t});\n\n\t\t\t\t\t\treturn /** @type {any} */ (clone);\n\n\t\t\t\t\tdefault:\n\t\t\t\t\t\treturn o;\n\t\t\t\t}\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Returns the Prism language of the given element set by a `language-xxxx` or `lang-xxxx` class.\n\t\t\t *\n\t\t\t * If no language is set for the element or the element is `null` or `undefined`, `none` will be returned.\n\t\t\t *\n\t\t\t * @param {Element} element\n\t\t\t * @returns {string}\n\t\t\t */\n\t\t\tgetLanguage: function (element) {\n\t\t\t\twhile (element) {\n\t\t\t\t\tvar m = lang.exec(element.className);\n\t\t\t\t\tif (m) {\n\t\t\t\t\t\treturn m[1].toLowerCase();\n\t\t\t\t\t}\n\t\t\t\t\telement = element.parentElement;\n\t\t\t\t}\n\t\t\t\treturn 'none';\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Sets the Prism `language-xxxx` class of the given element.\n\t\t\t *\n\t\t\t * @param {Element} element\n\t\t\t * @param {string} language\n\t\t\t * @returns {void}\n\t\t\t */\n\t\t\tsetLanguage: function (element, language) {\n\t\t\t\t// remove all `language-xxxx` classes\n\t\t\t\t// (this might leave behind a leading space)\n\t\t\t\telement.className = element.className.replace(RegExp(lang, 'gi'), '');\n\n\t\t\t\t// add the new `language-xxxx` class\n\t\t\t\t// (using `classList` will automatically clean up spaces for us)\n\t\t\t\telement.classList.add('language-' + language);\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Returns the script element that is currently executing.\n\t\t\t *\n\t\t\t * This does __not__ work for line script element.\n\t\t\t *\n\t\t\t * @returns {HTMLScriptElement | null}\n\t\t\t */\n\t\t\tcurrentScript: function () {\n\t\t\t\tif (typeof document === 'undefined') {\n\t\t\t\t\treturn null;\n\t\t\t\t}\n\t\t\t\tif ('currentScript' in document && 1 < 2 /* hack to trip TS' flow analysis */) {\n\t\t\t\t\treturn /** @type {any} */ (document.currentScript);\n\t\t\t\t}\n\n\t\t\t\t// IE11 workaround\n\t\t\t\t// we'll get the src of the current script by parsing IE11's error stack trace\n\t\t\t\t// this will not work for inline scripts\n\n\t\t\t\ttry {\n\t\t\t\t\tthrow new Error();\n\t\t\t\t} catch (err) {\n\t\t\t\t\t// Get file src url from stack. Specifically works with the format of stack traces in IE.\n\t\t\t\t\t// A stack will look like this:\n\t\t\t\t\t//\n\t\t\t\t\t// Error\n\t\t\t\t\t// at _.util.currentScript (http://localhost/components/prism-core.js:119:5)\n\t\t\t\t\t// at Global code (http://localhost/components/prism-core.js:606:1)\n\n\t\t\t\t\tvar src = (/at [^(\\r\\n]*\\((.*):[^:]+:[^:]+\\)$/i.exec(err.stack) || [])[1];\n\t\t\t\t\tif (src) {\n\t\t\t\t\t\tvar scripts = document.getElementsByTagName('script');\n\t\t\t\t\t\tfor (var i in scripts) {\n\t\t\t\t\t\t\tif (scripts[i].src == src) {\n\t\t\t\t\t\t\t\treturn scripts[i];\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t\treturn null;\n\t\t\t\t}\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Returns whether a given class is active for `element`.\n\t\t\t *\n\t\t\t * The class can be activated if `element` or one of its ancestors has the given class and it can be deactivated\n\t\t\t * if `element` or one of its ancestors has the negated version of the given class. The _negated version_ of the\n\t\t\t * given class is just the given class with a `no-` prefix.\n\t\t\t *\n\t\t\t * Whether the class is active is determined by the closest ancestor of `element` (where `element` itself is\n\t\t\t * closest ancestor) that has the given class or the negated version of it. If neither `element` nor any of its\n\t\t\t * ancestors have the given class or the negated version of it, then the default activation will be returned.\n\t\t\t *\n\t\t\t * In the paradoxical situation where the closest ancestor contains __both__ the given class and the negated\n\t\t\t * version of it, the class is considered active.\n\t\t\t *\n\t\t\t * @param {Element} element\n\t\t\t * @param {string} className\n\t\t\t * @param {boolean} [defaultActivation=false]\n\t\t\t * @returns {boolean}\n\t\t\t */\n\t\t\tisActive: function (element, className, defaultActivation) {\n\t\t\t\tvar no = 'no-' + className;\n\n\t\t\t\twhile (element) {\n\t\t\t\t\tvar classList = element.classList;\n\t\t\t\t\tif (classList.contains(className)) {\n\t\t\t\t\t\treturn true;\n\t\t\t\t\t}\n\t\t\t\t\tif (classList.contains(no)) {\n\t\t\t\t\t\treturn false;\n\t\t\t\t\t}\n\t\t\t\t\telement = element.parentElement;\n\t\t\t\t}\n\t\t\t\treturn !!defaultActivation;\n\t\t\t}\n\t\t},\n\n\t\t/**\n\t\t * This namespace contains all currently loaded languages and the some helper functions to create and modify languages.\n\t\t *\n\t\t * @namespace\n\t\t * @memberof Prism\n\t\t * @public\n\t\t */\n\t\tlanguages: {\n\t\t\t/**\n\t\t\t * The grammar for plain, unformatted text.\n\t\t\t */\n\t\t\tplain: plainTextGrammar,\n\t\t\tplaintext: plainTextGrammar,\n\t\t\ttext: plainTextGrammar,\n\t\t\ttxt: plainTextGrammar,\n\n\t\t\t/**\n\t\t\t * Creates a deep copy of the language with the given id and appends the given tokens.\n\t\t\t *\n\t\t\t * If a token in `redef` also appears in the copied language, then the existing token in the copied language\n\t\t\t * will be overwritten at its original position.\n\t\t\t *\n\t\t\t * ## Best practices\n\t\t\t *\n\t\t\t * Since the position of overwriting tokens (token in `redef` that overwrite tokens in the copied language)\n\t\t\t * doesn't matter, they can technically be in any order. However, this can be confusing to others that trying to\n\t\t\t * understand the language definition because, normally, the order of tokens matters in Prism grammars.\n\t\t\t *\n\t\t\t * Therefore, it is encouraged to order overwriting tokens according to the positions of the overwritten tokens.\n\t\t\t * Furthermore, all non-overwriting tokens should be placed after the overwriting ones.\n\t\t\t *\n\t\t\t * @param {string} id The id of the language to extend. This has to be a key in `Prism.languages`.\n\t\t\t * @param {Grammar} redef The new tokens to append.\n\t\t\t * @returns {Grammar} The new language created.\n\t\t\t * @public\n\t\t\t * @example\n\t\t\t * Prism.languages['css-with-colors'] = Prism.languages.extend('css', {\n\t\t\t * // Prism.languages.css already has a 'comment' token, so this token will overwrite CSS' 'comment' token\n\t\t\t * // at its original position\n\t\t\t * 'comment': { ... },\n\t\t\t * // CSS doesn't have a 'color' token, so this token will be appended\n\t\t\t * 'color': /\\b(?:red|green|blue)\\b/\n\t\t\t * });\n\t\t\t */\n\t\t\textend: function (id, redef) {\n\t\t\t\tvar lang = _.util.clone(_.languages[id]);\n\n\t\t\t\tfor (var key in redef) {\n\t\t\t\t\tlang[key] = redef[key];\n\t\t\t\t}\n\n\t\t\t\treturn lang;\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Inserts tokens _before_ another token in a language definition or any other grammar.\n\t\t\t *\n\t\t\t * ## Usage\n\t\t\t *\n\t\t\t * This helper method makes it easy to modify existing languages. For example, the CSS language definition\n\t\t\t * not only defines CSS highlighting for CSS documents, but also needs to define highlighting for CSS embedded\n\t\t\t * in HTML through `<style>` elements. To do this, it needs to modify `Prism.languages.markup` and add the\n\t\t\t * appropriate tokens. However, `Prism.languages.markup` is a regular JavaScript object literal, so if you do\n\t\t\t * this:\n\t\t\t *\n\t\t\t * ```js\n\t\t\t * Prism.languages.markup.style = {\n\t\t\t * // token\n\t\t\t * };\n\t\t\t * ```\n\t\t\t *\n\t\t\t * then the `style` token will be added (and processed) at the end. `insertBefore` allows you to insert tokens\n\t\t\t * before existing tokens. For the CSS example above, you would use it like this:\n\t\t\t *\n\t\t\t * ```js\n\t\t\t * Prism.languages.insertBefore('markup', 'cdata', {\n\t\t\t * 'style': {\n\t\t\t * // token\n\t\t\t * }\n\t\t\t * });\n\t\t\t * ```\n\t\t\t *\n\t\t\t * ## Special cases\n\t\t\t *\n\t\t\t * If the grammars of `inside` and `insert` have tokens with the same name, the tokens in `inside`'s grammar\n\t\t\t * will be ignored.\n\t\t\t *\n\t\t\t * This behavior can be used to insert tokens after `before`:\n\t\t\t *\n\t\t\t * ```js\n\t\t\t * Prism.languages.insertBefore('markup', 'comment', {\n\t\t\t * 'comment': Prism.languages.markup.comment,\n\t\t\t * // tokens after 'comment'\n\t\t\t * });\n\t\t\t * ```\n\t\t\t *\n\t\t\t * ## Limitations\n\t\t\t *\n\t\t\t * The main problem `insertBefore` has to solve is iteration order. Since ES2015, the iteration order for object\n\t\t\t * properties is guaranteed to be the insertion order (except for integer keys) but some browsers behave\n\t\t\t * differently when keys are deleted and re-inserted. So `insertBefore` can't be implemented by temporarily\n\t\t\t * deleting properties which is necessary to insert at arbitrary positions.\n\t\t\t *\n\t\t\t * To solve this problem, `insertBefore` doesn't actually insert the given tokens into the target object.\n\t\t\t * Instead, it will create a new object and replace all references to the target object with the new one. This\n\t\t\t * can be done without temporarily deleting properties, so the iteration order is well-defined.\n\t\t\t *\n\t\t\t * However, only references that can be reached from `Prism.languages` or `insert` will be replaced. I.e. if\n\t\t\t * you hold the target object in a variable, then the value of the variable will not change.\n\t\t\t *\n\t\t\t * ```js\n\t\t\t * var oldMarkup = Prism.languages.markup;\n\t\t\t * var newMarkup = Prism.languages.insertBefore('markup', 'comment', { ... });\n\t\t\t *\n\t\t\t * assert(oldMarkup !== Prism.languages.markup);\n\t\t\t * assert(newMarkup === Prism.languages.markup);\n\t\t\t * ```\n\t\t\t *\n\t\t\t * @param {string} inside The property of `root` (e.g. a language id in `Prism.languages`) that contains the\n\t\t\t * object to be modified.\n\t\t\t * @param {string} before The key to insert before.\n\t\t\t * @param {Grammar} insert An object containing the key-value pairs to be inserted.\n\t\t\t * @param {Object<string, any>} [root] The object containing `inside`, i.e. the object that contains the\n\t\t\t * object to be modified.\n\t\t\t *\n\t\t\t * Defaults to `Prism.languages`.\n\t\t\t * @returns {Grammar} The new grammar object.\n\t\t\t * @public\n\t\t\t */\n\t\t\tinsertBefore: function (inside, before, insert, root) {\n\t\t\t\troot = root || /** @type {any} */ (_.languages);\n\t\t\t\tvar grammar = root[inside];\n\t\t\t\t/** @type {Grammar} */\n\t\t\t\tvar ret = {};\n\n\t\t\t\tfor (var token in grammar) {\n\t\t\t\t\tif (grammar.hasOwnProperty(token)) {\n\n\t\t\t\t\t\tif (token == before) {\n\t\t\t\t\t\t\tfor (var newToken in insert) {\n\t\t\t\t\t\t\t\tif (insert.hasOwnProperty(newToken)) {\n\t\t\t\t\t\t\t\t\tret[newToken] = insert[newToken];\n\t\t\t\t\t\t\t\t}\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\n\t\t\t\t\t\t// Do not insert token which also occur in insert. See #1525\n\t\t\t\t\t\tif (!insert.hasOwnProperty(token)) {\n\t\t\t\t\t\t\tret[token] = grammar[token];\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\n\t\t\t\tvar old = root[inside];\n\t\t\t\troot[inside] = ret;\n\n\t\t\t\t// Update references in other language definitions\n\t\t\t\t_.languages.DFS(_.languages, function (key, value) {\n\t\t\t\t\tif (value === old && key != inside) {\n\t\t\t\t\t\tthis[key] = ret;\n\t\t\t\t\t}\n\t\t\t\t});\n\n\t\t\t\treturn ret;\n\t\t\t},\n\n\t\t\t// Traverse a language definition with Depth First Search\n\t\t\tDFS: function DFS(o, callback, type, visited) {\n\t\t\t\tvisited = visited || {};\n\n\t\t\t\tvar objId = _.util.objId;\n\n\t\t\t\tfor (var i in o) {\n\t\t\t\t\tif (o.hasOwnProperty(i)) {\n\t\t\t\t\t\tcallback.call(o, i, o[i], type || i);\n\n\t\t\t\t\t\tvar property = o[i];\n\t\t\t\t\t\tvar propertyType = _.util.type(property);\n\n\t\t\t\t\t\tif (propertyType === 'Object' && !visited[objId(property)]) {\n\t\t\t\t\t\t\tvisited[objId(property)] = true;\n\t\t\t\t\t\t\tDFS(property, callback, null, visited);\n\t\t\t\t\t\t} else if (propertyType === 'Array' && !visited[objId(property)]) {\n\t\t\t\t\t\t\tvisited[objId(property)] = true;\n\t\t\t\t\t\t\tDFS(property, callback, i, visited);\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\n\t\tplugins: {},\n\n\t\t/**\n\t\t * This is the most high-level function in Prism’s API.\n\t\t * It fetches all the elements that have a `.language-xxxx` class and then calls {@link Prism.highlightElement} on\n\t\t * each one of them.\n\t\t *\n\t\t * This is equivalent to `Prism.highlightAllUnder(document, async, callback)`.\n\t\t *\n\t\t * @param {boolean} [async=false] Same as in {@link Prism.highlightAllUnder}.\n\t\t * @param {HighlightCallback} [callback] Same as in {@link Prism.highlightAllUnder}.\n\t\t * @memberof Prism\n\t\t * @public\n\t\t */\n\t\thighlightAll: function (async, callback) {\n\t\t\t_.highlightAllUnder(document, async, callback);\n\t\t},\n\n\t\t/**\n\t\t * Fetches all the descendants of `container` that have a `.language-xxxx` class and then calls\n\t\t * {@link Prism.highlightElement} on each one of them.\n\t\t *\n\t\t * The following hooks will be run:\n\t\t * 1. `before-highlightall`\n\t\t * 2. `before-all-elements-highlight`\n\t\t * 3. All hooks of {@link Prism.highlightElement} for each element.\n\t\t *\n\t\t * @param {ParentNode} container The root element, whose descendants that have a `.language-xxxx` class will be highlighted.\n\t\t * @param {boolean} [async=false] Whether each element is to be highlighted asynchronously using Web Workers.\n\t\t * @param {HighlightCallback} [callback] An optional callback to be invoked on each element after its highlighting is done.\n\t\t * @memberof Prism\n\t\t * @public\n\t\t */\n\t\thighlightAllUnder: function (container, async, callback) {\n\t\t\tvar env = {\n\t\t\t\tcallback: callback,\n\t\t\t\tcontainer: container,\n\t\t\t\tselector: 'code[class*=\"language-\"], [class*=\"language-\"] code, code[class*=\"lang-\"], [class*=\"lang-\"] code'\n\t\t\t};\n\n\t\t\t_.hooks.run('before-highlightall', env);\n\n\t\t\tenv.elements = Array.prototype.slice.apply(env.container.querySelectorAll(env.selector));\n\n\t\t\t_.hooks.run('before-all-elements-highlight', env);\n\n\t\t\tfor (var i = 0, element; (element = env.elements[i++]);) {\n\t\t\t\t_.highlightElement(element, async === true, env.callback);\n\t\t\t}\n\t\t},\n\n\t\t/**\n\t\t * Highlights the code inside a single element.\n\t\t *\n\t\t * The following hooks will be run:\n\t\t * 1. `before-sanity-check`\n\t\t * 2. `before-highlight`\n\t\t * 3. All hooks of {@link Prism.highlight}. These hooks will be run by an asynchronous worker if `async` is `true`.\n\t\t * 4. `before-insert`\n\t\t * 5. `after-highlight`\n\t\t * 6. `complete`\n\t\t *\n\t\t * Some the above hooks will be skipped if the element doesn't contain any text or there is no grammar loaded for\n\t\t * the element's language.\n\t\t *\n\t\t * @param {Element} element The element containing the code.\n\t\t * It must have a class of `language-xxxx` to be processed, where `xxxx` is a valid language identifier.\n\t\t * @param {boolean} [async=false] Whether the element is to be highlighted asynchronously using Web Workers\n\t\t * to improve performance and avoid blocking the UI when highlighting very large chunks of code. This option is\n\t\t * [disabled by default](https://prismjs.com/faq.html#why-is-asynchronous-highlighting-disabled-by-default).\n\t\t *\n\t\t * Note: All language definitions required to highlight the code must be included in the main `prism.js` file for\n\t\t * asynchronous highlighting to work. You can build your own bundle on the\n\t\t * [Download page](https://prismjs.com/download.html).\n\t\t * @param {HighlightCallback} [callback] An optional callback to be invoked after the highlighting is done.\n\t\t * Mostly useful when `async` is `true`, since in that case, the highlighting is done asynchronously.\n\t\t * @memberof Prism\n\t\t * @public\n\t\t */\n\t\thighlightElement: function (element, async, callback) {\n\t\t\t// Find language\n\t\t\tvar language = _.util.getLanguage(element);\n\t\t\tvar grammar = _.languages[language];\n\n\t\t\t// Set language on the element, if not present\n\t\t\t_.util.setLanguage(element, language);\n\n\t\t\t// Set language on the parent, for styling\n\t\t\tvar parent = element.parentElement;\n\t\t\tif (parent && parent.nodeName.toLowerCase() === 'pre') {\n\t\t\t\t_.util.setLanguage(parent, language);\n\t\t\t}\n\n\t\t\tvar code = element.textContent;\n\n\t\t\tvar env = {\n\t\t\t\telement: element,\n\t\t\t\tlanguage: language,\n\t\t\t\tgrammar: grammar,\n\t\t\t\tcode: code\n\t\t\t};\n\n\t\t\tfunction insertHighlightedCode(highlightedCode) {\n\t\t\t\tenv.highlightedCode = highlightedCode;\n\n\t\t\t\t_.hooks.run('before-insert', env);\n\n\t\t\t\tenv.element.innerHTML = env.highlightedCode;\n\n\t\t\t\t_.hooks.run('after-highlight', env);\n\t\t\t\t_.hooks.run('complete', env);\n\t\t\t\tcallback && callback.call(env.element);\n\t\t\t}\n\n\t\t\t_.hooks.run('before-sanity-check', env);\n\n\t\t\t// plugins may change/add the parent/element\n\t\t\tparent = env.element.parentElement;\n\t\t\tif (parent && parent.nodeName.toLowerCase() === 'pre' && !parent.hasAttribute('tabindex')) {\n\t\t\t\tparent.setAttribute('tabindex', '0');\n\t\t\t}\n\n\t\t\tif (!env.code) {\n\t\t\t\t_.hooks.run('complete', env);\n\t\t\t\tcallback && callback.call(env.element);\n\t\t\t\treturn;\n\t\t\t}\n\n\t\t\t_.hooks.run('before-highlight', env);\n\n\t\t\tif (!env.grammar) {\n\t\t\t\tinsertHighlightedCode(_.util.encode(env.code));\n\t\t\t\treturn;\n\t\t\t}\n\n\t\t\tif (async && _self.Worker) {\n\t\t\t\tvar worker = new Worker(_.filename);\n\n\t\t\t\tworker.onmessage = function (evt) {\n\t\t\t\t\tinsertHighlightedCode(evt.data);\n\t\t\t\t};\n\n\t\t\t\tworker.postMessage(JSON.stringify({\n\t\t\t\t\tlanguage: env.language,\n\t\t\t\t\tcode: env.code,\n\t\t\t\t\timmediateClose: true\n\t\t\t\t}));\n\t\t\t} else {\n\t\t\t\tinsertHighlightedCode(_.highlight(env.code, env.grammar, env.language));\n\t\t\t}\n\t\t},\n\n\t\t/**\n\t\t * Low-level function, only use if you know what you’re doing. It accepts a string of text as input\n\t\t * and the language definitions to use, and returns a string with the HTML produced.\n\t\t *\n\t\t * The following hooks will be run:\n\t\t * 1. `before-tokenize`\n\t\t * 2. `after-tokenize`\n\t\t * 3. `wrap`: On each {@link Token}.\n\t\t *\n\t\t * @param {string} text A string with the code to be highlighted.\n\t\t * @param {Grammar} grammar An object containing the tokens to use.\n\t\t *\n\t\t * Usually a language definition like `Prism.languages.markup`.\n\t\t * @param {string} language The name of the language definition passed to `grammar`.\n\t\t * @returns {string} The highlighted HTML.\n\t\t * @memberof Prism\n\t\t * @public\n\t\t * @example\n\t\t * Prism.highlight('var foo = true;', Prism.languages.javascript, 'javascript');\n\t\t */\n\t\thighlight: function (text, grammar, language) {\n\t\t\tvar env = {\n\t\t\t\tcode: text,\n\t\t\t\tgrammar: grammar,\n\t\t\t\tlanguage: language\n\t\t\t};\n\t\t\t_.hooks.run('before-tokenize', env);\n\t\t\tif (!env.grammar) {\n\t\t\t\tthrow new Error('The language \"' + env.language + '\" has no grammar.');\n\t\t\t}\n\t\t\tenv.tokens = _.tokenize(env.code, env.grammar);\n\t\t\t_.hooks.run('after-tokenize', env);\n\t\t\treturn Token.stringify(_.util.encode(env.tokens), env.language);\n\t\t},\n\n\t\t/**\n\t\t * This is the heart of Prism, and the most low-level function you can use. It accepts a string of text as input\n\t\t * and the language definitions to use, and returns an array with the tokenized code.\n\t\t *\n\t\t * When the language definition includes nested tokens, the function is called recursively on each of these tokens.\n\t\t *\n\t\t * This method could be useful in other contexts as well, as a very crude parser.\n\t\t *\n\t\t * @param {string} text A string with the code to be highlighted.\n\t\t * @param {Grammar} grammar An object containing the tokens to use.\n\t\t *\n\t\t * Usually a language definition like `Prism.languages.markup`.\n\t\t * @returns {TokenStream} An array of strings and tokens, a token stream.\n\t\t * @memberof Prism\n\t\t * @public\n\t\t * @example\n\t\t * let code = `var foo = 0;`;\n\t\t * let tokens = Prism.tokenize(code, Prism.languages.javascript);\n\t\t * tokens.forEach(token => {\n\t\t * if (token instanceof Prism.Token && token.type === 'number') {\n\t\t * console.log(`Found numeric literal: ${token.content}`);\n\t\t * }\n\t\t * });\n\t\t */\n\t\ttokenize: function (text, grammar) {\n\t\t\tvar rest = grammar.rest;\n\t\t\tif (rest) {\n\t\t\t\tfor (var token in rest) {\n\t\t\t\t\tgrammar[token] = rest[token];\n\t\t\t\t}\n\n\t\t\t\tdelete grammar.rest;\n\t\t\t}\n\n\t\t\tvar tokenList = new LinkedList();\n\t\t\taddAfter(tokenList, tokenList.head, text);\n\n\t\t\tmatchGrammar(text, tokenList, grammar, tokenList.head, 0);\n\n\t\t\treturn toArray(tokenList);\n\t\t},\n\n\t\t/**\n\t\t * @namespace\n\t\t * @memberof Prism\n\t\t * @public\n\t\t */\n\t\thooks: {\n\t\t\tall: {},\n\n\t\t\t/**\n\t\t\t * Adds the given callback to the list of callbacks for the given hook.\n\t\t\t *\n\t\t\t * The callback will be invoked when the hook it is registered for is run.\n\t\t\t * Hooks are usually directly run by a highlight function but you can also run hooks yourself.\n\t\t\t *\n\t\t\t * One callback function can be registered to multiple hooks and the same hook multiple times.\n\t\t\t *\n\t\t\t * @param {string} name The name of the hook.\n\t\t\t * @param {HookCallback} callback The callback function which is given environment variables.\n\t\t\t * @public\n\t\t\t */\n\t\t\tadd: function (name, callback) {\n\t\t\t\tvar hooks = _.hooks.all;\n\n\t\t\t\thooks[name] = hooks[name] || [];\n\n\t\t\t\thooks[name].push(callback);\n\t\t\t},\n\n\t\t\t/**\n\t\t\t * Runs a hook invoking all registered callbacks with the given environment variables.\n\t\t\t *\n\t\t\t * Callbacks will be invoked synchronously and in the order in which they were registered.\n\t\t\t *\n\t\t\t * @param {string} name The name of the hook.\n\t\t\t * @param {Object<string, any>} env The environment variables of the hook passed to all callbacks registered.\n\t\t\t * @public\n\t\t\t */\n\t\t\trun: function (name, env) {\n\t\t\t\tvar callbacks = _.hooks.all[name];\n\n\t\t\t\tif (!callbacks || !callbacks.length) {\n\t\t\t\t\treturn;\n\t\t\t\t}\n\n\t\t\t\tfor (var i = 0, callback; (callback = callbacks[i++]);) {\n\t\t\t\t\tcallback(env);\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\n\t\tToken: Token\n\t};\n\t_self.Prism = _;\n\n\n\t// Typescript note:\n\t// The following can be used to import the Token type in JSDoc:\n\t//\n\t// @typedef {InstanceType<import(\"./prism-core\")[\"Token\"]>} Token\n\n\t/**\n\t * Creates a new token.\n\t *\n\t * @param {string} type See {@link Token#type type}\n\t * @param {string | TokenStream} content See {@link Token#content content}\n\t * @param {string|string[]} [alias] The alias(es) of the token.\n\t * @param {string} [matchedStr=\"\"] A copy of the full string this token was created from.\n\t * @class\n\t * @global\n\t * @public\n\t */\n\tfunction Token(type, content, alias, matchedStr) {\n\t\t/**\n\t\t * The type of the token.\n\t\t *\n\t\t * This is usually the key of a pattern in a {@link Grammar}.\n\t\t *\n\t\t * @type {string}\n\t\t * @see GrammarToken\n\t\t * @public\n\t\t */\n\t\tthis.type = type;\n\t\t/**\n\t\t * The strings or tokens contained by this token.\n\t\t *\n\t\t * This will be a token stream if the pattern matched also defined an `inside` grammar.\n\t\t *\n\t\t * @type {string | TokenStream}\n\t\t * @public\n\t\t */\n\t\tthis.content = content;\n\t\t/**\n\t\t * The alias(es) of the token.\n\t\t *\n\t\t * @type {string|string[]}\n\t\t * @see GrammarToken\n\t\t * @public\n\t\t */\n\t\tthis.alias = alias;\n\t\t// Copy of the full string this token was created from\n\t\tthis.length = (matchedStr || '').length | 0;\n\t}\n\n\t/**\n\t * A token stream is an array of strings and {@link Token Token} objects.\n\t *\n\t * Token streams have to fulfill a few properties that are assumed by most functions (mostly internal ones) that process\n\t * them.\n\t *\n\t * 1. No adjacent strings.\n\t * 2. No empty strings.\n\t *\n\t * The only exception here is the token stream that only contains the empty string and nothing else.\n\t *\n\t * @typedef {Array<string | Token>} TokenStream\n\t * @global\n\t * @public\n\t */\n\n\t/**\n\t * Converts the given token or token stream to an HTML representation.\n\t *\n\t * The following hooks will be run:\n\t * 1. `wrap`: On each {@link Token}.\n\t *\n\t * @param {string | Token | TokenStream} o The token or token stream to be converted.\n\t * @param {string} language The name of current language.\n\t * @returns {string} The HTML representation of the token or token stream.\n\t * @memberof Token\n\t * @static\n\t */\n\tToken.stringify = function stringify(o, language) {\n\t\tif (typeof o == 'string') {\n\t\t\treturn o;\n\t\t}\n\t\tif (Array.isArray(o)) {\n\t\t\tvar s = '';\n\t\t\to.forEach(function (e) {\n\t\t\t\ts += stringify(e, language);\n\t\t\t});\n\t\t\treturn s;\n\t\t}\n\n\t\tvar env = {\n\t\t\ttype: o.type,\n\t\t\tcontent: stringify(o.content, language),\n\t\t\ttag: 'span',\n\t\t\tclasses: ['token', o.type],\n\t\t\tattributes: {},\n\t\t\tlanguage: language\n\t\t};\n\n\t\tvar aliases = o.alias;\n\t\tif (aliases) {\n\t\t\tif (Array.isArray(aliases)) {\n\t\t\t\tArray.prototype.push.apply(env.classes, aliases);\n\t\t\t} else {\n\t\t\t\tenv.classes.push(aliases);\n\t\t\t}\n\t\t}\n\n\t\t_.hooks.run('wrap', env);\n\n\t\tvar attributes = '';\n\t\tfor (var name in env.attributes) {\n\t\t\tattributes += ' ' + name + '=\"' + (env.attributes[name] || '').replace(/\"/g, '"') + '\"';\n\t\t}\n\n\t\treturn '<' + env.tag + ' class=\"' + env.classes.join(' ') + '\"' + attributes + '>' + env.content + '</' + env.tag + '>';\n\t};\n\n\t/**\n\t * @param {RegExp} pattern\n\t * @param {number} pos\n\t * @param {string} text\n\t * @param {boolean} lookbehind\n\t * @returns {RegExpExecArray | null}\n\t */\n\tfunction matchPattern(pattern, pos, text, lookbehind) {\n\t\tpattern.lastIndex = pos;\n\t\tvar match = pattern.exec(text);\n\t\tif (match && lookbehind && match[1]) {\n\t\t\t// change the match to remove the text matched by the Prism lookbehind group\n\t\t\tvar lookbehindLength = match[1].length;\n\t\t\tmatch.index += lookbehindLength;\n\t\t\tmatch[0] = match[0].slice(lookbehindLength);\n\t\t}\n\t\treturn match;\n\t}\n\n\t/**\n\t * @param {string} text\n\t * @param {LinkedList<string | Token>} tokenList\n\t * @param {any} grammar\n\t * @param {LinkedListNode<string | Token>} startNode\n\t * @param {number} startPos\n\t * @param {RematchOptions} [rematch]\n\t * @returns {void}\n\t * @private\n\t *\n\t * @typedef RematchOptions\n\t * @property {string} cause\n\t * @property {number} reach\n\t */\n\tfunction matchGrammar(text, tokenList, grammar, startNode, startPos, rematch) {\n\t\tfor (var token in grammar) {\n\t\t\tif (!grammar.hasOwnProperty(token) || !grammar[token]) {\n\t\t\t\tcontinue;\n\t\t\t}\n\n\t\t\tvar patterns = grammar[token];\n\t\t\tpatterns = Array.isArray(patterns) ? patterns : [patterns];\n\n\t\t\tfor (var j = 0; j < patterns.length; ++j) {\n\t\t\t\tif (rematch && rematch.cause == token + ',' + j) {\n\t\t\t\t\treturn;\n\t\t\t\t}\n\n\t\t\t\tvar patternObj = patterns[j];\n\t\t\t\tvar inside = patternObj.inside;\n\t\t\t\tvar lookbehind = !!patternObj.lookbehind;\n\t\t\t\tvar greedy = !!patternObj.greedy;\n\t\t\t\tvar alias = patternObj.alias;\n\n\t\t\t\tif (greedy && !patternObj.pattern.global) {\n\t\t\t\t\t// Without the global flag, lastIndex won't work\n\t\t\t\t\tvar flags = patternObj.pattern.toString().match(/[imsuy]*$/)[0];\n\t\t\t\t\tpatternObj.pattern = RegExp(patternObj.pattern.source, flags + 'g');\n\t\t\t\t}\n\n\t\t\t\t/** @type {RegExp} */\n\t\t\t\tvar pattern = patternObj.pattern || patternObj;\n\n\t\t\t\tfor ( // iterate the token list and keep track of the current token/string position\n\t\t\t\t\tvar currentNode = startNode.next, pos = startPos;\n\t\t\t\t\tcurrentNode !== tokenList.tail;\n\t\t\t\t\tpos += currentNode.value.length, currentNode = currentNode.next\n\t\t\t\t) {\n\n\t\t\t\t\tif (rematch && pos >= rematch.reach) {\n\t\t\t\t\t\tbreak;\n\t\t\t\t\t}\n\n\t\t\t\t\tvar str = currentNode.value;\n\n\t\t\t\t\tif (tokenList.length > text.length) {\n\t\t\t\t\t\t// Something went terribly wrong, ABORT, ABORT!\n\t\t\t\t\t\treturn;\n\t\t\t\t\t}\n\n\t\t\t\t\tif (str instanceof Token) {\n\t\t\t\t\t\tcontinue;\n\t\t\t\t\t}\n\n\t\t\t\t\tvar removeCount = 1; // this is the to parameter of removeBetween\n\t\t\t\t\tvar match;\n\n\t\t\t\t\tif (greedy) {\n\t\t\t\t\t\tmatch = matchPattern(pattern, pos, text, lookbehind);\n\t\t\t\t\t\tif (!match || match.index >= text.length) {\n\t\t\t\t\t\t\tbreak;\n\t\t\t\t\t\t}\n\n\t\t\t\t\t\tvar from = match.index;\n\t\t\t\t\t\tvar to = match.index + match[0].length;\n\t\t\t\t\t\tvar p = pos;\n\n\t\t\t\t\t\t// find the node that contains the match\n\t\t\t\t\t\tp += currentNode.value.length;\n\t\t\t\t\t\twhile (from >= p) {\n\t\t\t\t\t\t\tcurrentNode = currentNode.next;\n\t\t\t\t\t\t\tp += currentNode.value.length;\n\t\t\t\t\t\t}\n\t\t\t\t\t\t// adjust pos (and p)\n\t\t\t\t\t\tp -= currentNode.value.length;\n\t\t\t\t\t\tpos = p;\n\n\t\t\t\t\t\t// the current node is a Token, then the match starts inside another Token, which is invalid\n\t\t\t\t\t\tif (currentNode.value instanceof Token) {\n\t\t\t\t\t\t\tcontinue;\n\t\t\t\t\t\t}\n\n\t\t\t\t\t\t// find the last node which is affected by this match\n\t\t\t\t\t\tfor (\n\t\t\t\t\t\t\tvar k = currentNode;\n\t\t\t\t\t\t\tk !== tokenList.tail && (p < to || typeof k.value === 'string');\n\t\t\t\t\t\t\tk = k.next\n\t\t\t\t\t\t) {\n\t\t\t\t\t\t\tremoveCount++;\n\t\t\t\t\t\t\tp += k.value.length;\n\t\t\t\t\t\t}\n\t\t\t\t\t\tremoveCount--;\n\n\t\t\t\t\t\t// replace with the new match\n\t\t\t\t\t\tstr = text.slice(pos, p);\n\t\t\t\t\t\tmatch.index -= pos;\n\t\t\t\t\t} else {\n\t\t\t\t\t\tmatch = matchPattern(pattern, 0, str, lookbehind);\n\t\t\t\t\t\tif (!match) {\n\t\t\t\t\t\t\tcontinue;\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\n\t\t\t\t\t// eslint-disable-next-line no-redeclare\n\t\t\t\t\tvar from = match.index;\n\t\t\t\t\tvar matchStr = match[0];\n\t\t\t\t\tvar before = str.slice(0, from);\n\t\t\t\t\tvar after = str.slice(from + matchStr.length);\n\n\t\t\t\t\tvar reach = pos + str.length;\n\t\t\t\t\tif (rematch && reach > rematch.reach) {\n\t\t\t\t\t\trematch.reach = reach;\n\t\t\t\t\t}\n\n\t\t\t\t\tvar removeFrom = currentNode.prev;\n\n\t\t\t\t\tif (before) {\n\t\t\t\t\t\tremoveFrom = addAfter(tokenList, removeFrom, before);\n\t\t\t\t\t\tpos += before.length;\n\t\t\t\t\t}\n\n\t\t\t\t\tremoveRange(tokenList, removeFrom, removeCount);\n\n\t\t\t\t\tvar wrapped = new Token(token, inside ? _.tokenize(matchStr, inside) : matchStr, alias, matchStr);\n\t\t\t\t\tcurrentNode = addAfter(tokenList, removeFrom, wrapped);\n\n\t\t\t\t\tif (after) {\n\t\t\t\t\t\taddAfter(tokenList, currentNode, after);\n\t\t\t\t\t}\n\n\t\t\t\t\tif (removeCount > 1) {\n\t\t\t\t\t\t// at least one Token object was removed, so we have to do some rematching\n\t\t\t\t\t\t// this can only happen if the current pattern is greedy\n\n\t\t\t\t\t\t/** @type {RematchOptions} */\n\t\t\t\t\t\tvar nestedRematch = {\n\t\t\t\t\t\t\tcause: token + ',' + j,\n\t\t\t\t\t\t\treach: reach\n\t\t\t\t\t\t};\n\t\t\t\t\t\tmatchGrammar(text, tokenList, grammar, currentNode.prev, pos, nestedRematch);\n\n\t\t\t\t\t\t// the reach might have been extended because of the rematching\n\t\t\t\t\t\tif (rematch && nestedRematch.reach > rematch.reach) {\n\t\t\t\t\t\t\trematch.reach = nestedRematch.reach;\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\n\t/**\n\t * @typedef LinkedListNode\n\t * @property {T} value\n\t * @property {LinkedListNode<T> | null} prev The previous node.\n\t * @property {LinkedListNode<T> | null} next The next node.\n\t * @template T\n\t * @private\n\t */\n\n\t/**\n\t * @template T\n\t * @private\n\t */\n\tfunction LinkedList() {\n\t\t/** @type {LinkedListNode<T>} */\n\t\tvar head = { value: null, prev: null, next: null };\n\t\t/** @type {LinkedListNode<T>} */\n\t\tvar tail = { value: null, prev: head, next: null };\n\t\thead.next = tail;\n\n\t\t/** @type {LinkedListNode<T>} */\n\t\tthis.head = head;\n\t\t/** @type {LinkedListNode<T>} */\n\t\tthis.tail = tail;\n\t\tthis.length = 0;\n\t}\n\n\t/**\n\t * Adds a new node with the given value to the list.\n\t *\n\t * @param {LinkedList<T>} list\n\t * @param {LinkedListNode<T>} node\n\t * @param {T} value\n\t * @returns {LinkedListNode<T>} The added node.\n\t * @template T\n\t */\n\tfunction addAfter(list, node, value) {\n\t\t// assumes that node != list.tail && values.length >= 0\n\t\tvar next = node.next;\n\n\t\tvar newNode = { value: value, prev: node, next: next };\n\t\tnode.next = newNode;\n\t\tnext.prev = newNode;\n\t\tlist.length++;\n\n\t\treturn newNode;\n\t}\n\t/**\n\t * Removes `count` nodes after the given node. The given node will not be removed.\n\t *\n\t * @param {LinkedList<T>} list\n\t * @param {LinkedListNode<T>} node\n\t * @param {number} count\n\t * @template T\n\t */\n\tfunction removeRange(list, node, count) {\n\t\tvar next = node.next;\n\t\tfor (var i = 0; i < count && next !== list.tail; i++) {\n\t\t\tnext = next.next;\n\t\t}\n\t\tnode.next = next;\n\t\tnext.prev = node;\n\t\tlist.length -= i;\n\t}\n\t/**\n\t * @param {LinkedList<T>} list\n\t * @returns {T[]}\n\t * @template T\n\t */\n\tfunction toArray(list) {\n\t\tvar array = [];\n\t\tvar node = list.head.next;\n\t\twhile (node !== list.tail) {\n\t\t\tarray.push(node.value);\n\t\t\tnode = node.next;\n\t\t}\n\t\treturn array;\n\t}\n\n\n\tif (!_self.document) {\n\t\tif (!_self.addEventListener) {\n\t\t\t// in Node.js\n\t\t\treturn _;\n\t\t}\n\n\t\tif (!_.disableWorkerMessageHandler) {\n\t\t\t// In worker\n\t\t\t_self.addEventListener('message', function (evt) {\n\t\t\t\tvar message = JSON.parse(evt.data);\n\t\t\t\tvar lang = message.language;\n\t\t\t\tvar code = message.code;\n\t\t\t\tvar immediateClose = message.immediateClose;\n\n\t\t\t\t_self.postMessage(_.highlight(code, _.languages[lang], lang));\n\t\t\t\tif (immediateClose) {\n\t\t\t\t\t_self.close();\n\t\t\t\t}\n\t\t\t}, false);\n\t\t}\n\n\t\treturn _;\n\t}\n\n\t// Get current script and highlight\n\tvar script = _.util.currentScript();\n\n\tif (script) {\n\t\t_.filename = script.src;\n\n\t\tif (script.hasAttribute('data-manual')) {\n\t\t\t_.manual = true;\n\t\t}\n\t}\n\n\tfunction highlightAutomaticallyCallback() {\n\t\tif (!_.manual) {\n\t\t\t_.highlightAll();\n\t\t}\n\t}\n\n\tif (!_.manual) {\n\t\t// If the document state is \"loading\", then we'll use DOMContentLoaded.\n\t\t// If the document state is \"interactive\" and the prism.js script is deferred, then we'll also use the\n\t\t// DOMContentLoaded event because there might be some plugins or languages which have also been deferred and they\n\t\t// might take longer one animation frame to execute which can create a race condition where only some plugins have\n\t\t// been loaded when Prism.highlightAll() is executed, depending on how fast resources are loaded.\n\t\t// See https://github.com/PrismJS/prism/issues/2102\n\t\tvar readyState = document.readyState;\n\t\tif (readyState === 'loading' || readyState === 'interactive' && script && script.defer) {\n\t\t\tdocument.addEventListener('DOMContentLoaded', highlightAutomaticallyCallback);\n\t\t} else {\n\t\t\tif (window.requestAnimationFrame) {\n\t\t\t\twindow.requestAnimationFrame(highlightAutomaticallyCallback);\n\t\t\t} else {\n\t\t\t\twindow.setTimeout(highlightAutomaticallyCallback, 16);\n\t\t\t}\n\t\t}\n\t}\n\n\treturn _;\n\n}(_self));\n\nif (typeof module !== 'undefined' && module.exports) {\n\tmodule.exports = Prism;\n}\n\n// hack for components to work correctly in node.js\nif (typeof global !== 'undefined') {\n\tglobal.Prism = Prism;\n}\n\n// some additional documentation/types\n\n/**\n * The expansion of a simple `RegExp` literal to support additional properties.\n *\n * @typedef GrammarToken\n * @property {RegExp} pattern The regular expression of the token.\n * @property {boolean} [lookbehind=false] If `true`, then the first capturing group of `pattern` will (effectively)\n * behave as a lookbehind group meaning that the captured text will not be part of the matched text of the new token.\n * @property {boolean} [greedy=false] Whether the token is greedy.\n * @property {string|string[]} [alias] An optional alias or list of aliases.\n * @property {Grammar} [inside] The nested grammar of this token.\n *\n * The `inside` grammar will be used to tokenize the text value of each token of this kind.\n *\n * This can be used to make nested and even recursive language definitions.\n *\n * Note: This can cause infinite recursion. Be careful when you embed different languages or even the same language into\n * each another.\n * @global\n * @public\n */\n\n/**\n * @typedef Grammar\n * @type {Object<string, RegExp | GrammarToken | Array<RegExp | GrammarToken>>}\n * @property {Grammar} [rest] An optional grammar object that will be appended to this grammar.\n * @global\n * @public\n */\n\n/**\n * A function which will invoked after an element was successfully highlighted.\n *\n * @callback HighlightCallback\n * @param {Element} element The element successfully highlighted.\n * @returns {void}\n * @global\n * @public\n */\n\n/**\n * @callback HookCallback\n * @param {Object<string, any>} env The environment variables of the hook.\n * @returns {void}\n * @global\n * @public\n */\n","/**\n * @license React\n * scheduler.production.min.js\n *\n * Copyright (c) Facebook, Inc. and its affiliates.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n'use strict';function f(a,b){var c=a.length;a.push(b);a:for(;0<c;){var d=c-1>>>1,e=a[d];if(0<g(e,b))a[d]=b,a[c]=e,c=d;else break a}}function h(a){return 0===a.length?null:a[0]}function k(a){if(0===a.length)return null;var b=a[0],c=a.pop();if(c!==b){a[0]=c;a:for(var d=0,e=a.length,w=e>>>1;d<w;){var m=2*(d+1)-1,C=a[m],n=m+1,x=a[n];if(0>g(C,c))n<e&&0>g(x,C)?(a[d]=x,a[n]=c,d=n):(a[d]=C,a[m]=c,d=m);else if(n<e&&0>g(x,c))a[d]=x,a[n]=c,d=n;else break a}}return b}\nfunction g(a,b){var c=a.sortIndex-b.sortIndex;return 0!==c?c:a.id-b.id}if(\"object\"===typeof performance&&\"function\"===typeof performance.now){var l=performance;exports.unstable_now=function(){return l.now()}}else{var p=Date,q=p.now();exports.unstable_now=function(){return p.now()-q}}var r=[],t=[],u=1,v=null,y=3,z=!1,A=!1,B=!1,D=\"function\"===typeof setTimeout?setTimeout:null,E=\"function\"===typeof clearTimeout?clearTimeout:null,F=\"undefined\"!==typeof setImmediate?setImmediate:null;\n\"undefined\"!==typeof navigator&&void 0!==navigator.scheduling&&void 0!==navigator.scheduling.isInputPending&&navigator.scheduling.isInputPending.bind(navigator.scheduling);function G(a){for(var b=h(t);null!==b;){if(null===b.callback)k(t);else if(b.startTime<=a)k(t),b.sortIndex=b.expirationTime,f(r,b);else break;b=h(t)}}function H(a){B=!1;G(a);if(!A)if(null!==h(r))A=!0,I(J);else{var b=h(t);null!==b&&K(H,b.startTime-a)}}\nfunction J(a,b){A=!1;B&&(B=!1,E(L),L=-1);z=!0;var c=y;try{G(b);for(v=h(r);null!==v&&(!(v.expirationTime>b)||a&&!M());){var d=v.callback;if(\"function\"===typeof d){v.callback=null;y=v.priorityLevel;var e=d(v.expirationTime<=b);b=exports.unstable_now();\"function\"===typeof e?v.callback=e:v===h(r)&&k(r);G(b)}else k(r);v=h(r)}if(null!==v)var w=!0;else{var m=h(t);null!==m&&K(H,m.startTime-b);w=!1}return w}finally{v=null,y=c,z=!1}}var N=!1,O=null,L=-1,P=5,Q=-1;\nfunction M(){return exports.unstable_now()-Q<P?!1:!0}function R(){if(null!==O){var a=exports.unstable_now();Q=a;var b=!0;try{b=O(!0,a)}finally{b?S():(N=!1,O=null)}}else N=!1}var S;if(\"function\"===typeof F)S=function(){F(R)};else if(\"undefined\"!==typeof MessageChannel){var T=new MessageChannel,U=T.port2;T.port1.onmessage=R;S=function(){U.postMessage(null)}}else S=function(){D(R,0)};function I(a){O=a;N||(N=!0,S())}function K(a,b){L=D(function(){a(exports.unstable_now())},b)}\nexports.unstable_IdlePriority=5;exports.unstable_ImmediatePriority=1;exports.unstable_LowPriority=4;exports.unstable_NormalPriority=3;exports.unstable_Profiling=null;exports.unstable_UserBlockingPriority=2;exports.unstable_cancelCallback=function(a){a.callback=null};exports.unstable_continueExecution=function(){A||z||(A=!0,I(J))};\nexports.unstable_forceFrameRate=function(a){0>a||125<a?console.error(\"forceFrameRate takes a positive int between 0 and 125, forcing frame rates higher than 125 fps is not supported\"):P=0<a?Math.floor(1E3/a):5};exports.unstable_getCurrentPriorityLevel=function(){return y};exports.unstable_getFirstCallbackNode=function(){return h(r)};exports.unstable_next=function(a){switch(y){case 1:case 2:case 3:var b=3;break;default:b=y}var c=y;y=b;try{return a()}finally{y=c}};exports.unstable_pauseExecution=function(){};\nexports.unstable_requestPaint=function(){};exports.unstable_runWithPriority=function(a,b){switch(a){case 1:case 2:case 3:case 4:case 5:break;default:a=3}var c=y;y=a;try{return b()}finally{y=c}};\nexports.unstable_scheduleCallback=function(a,b,c){var d=exports.unstable_now();\"object\"===typeof c&&null!==c?(c=c.delay,c=\"number\"===typeof c&&0<c?d+c:d):c=d;switch(a){case 1:var e=-1;break;case 2:e=250;break;case 5:e=1073741823;break;case 4:e=1E4;break;default:e=5E3}e=c+e;a={id:u++,callback:b,priorityLevel:a,startTime:c,expirationTime:e,sortIndex:-1};c>d?(a.sortIndex=c,f(t,a),null===h(r)&&a===h(t)&&(B?(E(L),L=-1):B=!0,K(H,c-d))):(a.sortIndex=e,f(r,a),A||z||(A=!0,I(J)));return a};\nexports.unstable_shouldYield=M;exports.unstable_wrapCallback=function(a){var b=y;return function(){var c=y;y=b;try{return a.apply(this,arguments)}finally{y=c}}};\n","'use strict';\n\nif (process.env.NODE_ENV === 'production') {\n module.exports = require('./cjs/scheduler.production.min.js');\n} else {\n module.exports = require('./cjs/scheduler.development.js');\n}\n","var parse = require('inline-style-parser');\n\n/**\n * Parses inline style to object.\n *\n * @example\n * // returns { 'line-height': '42' }\n * StyleToObject('line-height: 42;');\n *\n * @param {String} style - The inline style.\n * @param {Function} [iterator] - The iterator function.\n * @return {null|Object}\n */\nfunction StyleToObject(style, iterator) {\n var output = null;\n if (!style || typeof style !== 'string') {\n return output;\n }\n\n var declaration;\n var declarations = parse(style);\n var hasIterator = typeof iterator === 'function';\n var property;\n var value;\n\n for (var i = 0, len = declarations.length; i < len; i++) {\n declaration = declarations[i];\n property = declaration.property;\n value = declaration.value;\n\n if (hasIterator) {\n iterator(property, value, declaration);\n } else if (value) {\n output || (output = {});\n output[property] = value;\n }\n }\n\n return output;\n}\n\nmodule.exports = StyleToObject;\nmodule.exports.default = StyleToObject; // ESM support\n","module.exports = extend\n\nvar hasOwnProperty = Object.prototype.hasOwnProperty;\n\nfunction extend() {\n var target = {}\n\n for (var i = 0; i < arguments.length; i++) {\n var source = arguments[i]\n\n for (var key in source) {\n if (hasOwnProperty.call(source, key)) {\n target[key] = source[key]\n }\n }\n }\n\n return target\n}\n","// The module cache\nvar __webpack_module_cache__ = {};\n\n// The require function\nfunction __webpack_require__(moduleId) {\n\t// Check if module is in cache\n\tvar cachedModule = __webpack_module_cache__[moduleId];\n\tif (cachedModule !== undefined) {\n\t\treturn cachedModule.exports;\n\t}\n\t// Create a new module (and put it into the cache)\n\tvar module = __webpack_module_cache__[moduleId] = {\n\t\t// no module.id needed\n\t\t// no module.loaded needed\n\t\texports: {}\n\t};\n\n\t// Execute the module function\n\t__webpack_modules__[moduleId](module, module.exports, __webpack_require__);\n\n\t// Return the exports of the module\n\treturn module.exports;\n}\n\n","// getDefaultExport function for compatibility with non-harmony modules\n__webpack_require__.n = (module) => {\n\tvar getter = module && module.__esModule ?\n\t\t() => (module['default']) :\n\t\t() => (module);\n\t__webpack_require__.d(getter, { a: getter });\n\treturn getter;\n};","// define getter functions for harmony exports\n__webpack_require__.d = (exports, definition) => {\n\tfor(var key in definition) {\n\t\tif(__webpack_require__.o(definition, key) && !__webpack_require__.o(exports, key)) {\n\t\t\tObject.defineProperty(exports, key, { enumerable: true, get: definition[key] });\n\t\t}\n\t}\n};","__webpack_require__.g = (function() {\n\tif (typeof globalThis === 'object') return globalThis;\n\ttry {\n\t\treturn this || new Function('return this')();\n\t} catch (e) {\n\t\tif (typeof window === 'object') return window;\n\t}\n})();","__webpack_require__.o = (obj, prop) => (Object.prototype.hasOwnProperty.call(obj, prop))","// define __esModule on exports\n__webpack_require__.r = (exports) => {\n\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n\t}\n\tObject.defineProperty(exports, '__esModule', { value: true });\n};","const data = {\n \"categories\": [\n {\n \"title\": \"Proxmox VE Tools\",\n }, {\n \"title\": \"Home Assistant\",\n }, {\n \"title\": \"Automation\",\n }, {\n \"title\": \"MQTT\",\n }, {\n \"title\": \"Database\",\n }, {\n \"title\": \"Zigbee - Zwave - Matter\",\n }, {\n \"title\": \"Monitoring - Analytics\",\n }, {\n \"title\": \"Docker - Kubernetes\",\n }, {\n \"title\": \"Operating System\",\n }, {\n \"title\": \"TurnKey\",\n }, {\n \"title\": \"Server - Networking\",\n }, {\n \"title\": \"Media - Photo\",\n }, {\n \"title\": \"NVR - DVR\",\n }, {\n \"title\": \"Ad Blocker - DNS\",\n }, {\n \"title\": \"Document - Notes\",\n }, {\n \"title\": \"Dashboards\",\n }, {\n \"title\": \"File - Code\",\n }, {\n \"title\": \"Miscellaneous\",\n }],\n \"items\": [\n/* Proxmox VE Tools */\n {\n \"category\": \"Proxmox VE Tools\",\n \"title\": \"Proxmox VE Post Install\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/proxmoxve/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Proxmox VE Post Install </h1>\n \n This script provides options for managing Proxmox VE repositories, including disabling the Enterprise Repo, adding or correcting PVE sources, enabling the No-Subscription Repo, adding the test Repo, disabling the subscription nag, updating Proxmox VE, and rebooting the system.\n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/post-pve-install.sh)\"\n ~~~\n \n It is recommended to answer “yes” (y) to all options presented during the process.`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Kernel Clean\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/proxmoxve/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE Kernel Clean </h1>\n Cleaning unused kernel images is beneficial for reducing the length of the GRUB menu and freeing up disk space. By removing old, unused kernels, the system is able to conserve disk space and streamline the boot process.\n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/kernel-clean.sh)\"\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Kernel Pin\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/proxmoxve/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE Kernel Pin </h1>\n Kernel Pin is an essential tool for effortlessly managing kernel pinning and unpinning.\n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/kernel-pin.sh)\"\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Processor Microcode\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/processor.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Proxmox VE Processor Microcode </h1>\n <h3 align=\"center\"> For Intel or AMD Processors </h3>\n \n Processor Microcode is a layer of low-level software that runs on the processor and provides patches or updates to its firmware. Microcode updates can fix hardware bugs, improve performance, and enhance security features of the processor.\n\n It's important to note that the availability of firmware update mechanisms, such as Intel's Management Engine (ME) or AMD's Platform Security Processor (PSP), may vary depending on the processor and its specific implementation. Therefore, it's recommended to consult the documentation for your processor to confirm whether firmware updates can be applied through the operating system. \n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/microcode.sh)\"\n ~~~\n \n\n After a reboot, you can check whether any microcode updates are currently in effect by running the following command.\n\n ~~~bash\n journalctl -k | grep -E \"microcode\" | head -n 1\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE CPU Scaling Governor\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/cpu.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE CPU Scaling Governor </h1>\n\n The CPU scaling governor determines how the CPU frequency is adjusted based on the workload, with the goal of either conserving power or improving performance. By scaling the frequency up or down, the operating system can optimize the CPU usage and conserve energy when possible. [Generic Scaling Governors](https://www.kernel.org/doc/html/latest/admin-guide/pm/cpufreq.html?#generic-scaling-governors)\n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/scaling-governor.sh)\"\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE LXC Filesystem Trim\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/lxc.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE LXC Filesystem Trim </h1>\n This maintains SSD performance by managing unused blocks. Thin-provisioned storage systems also require management to prevent unnecessary storage use. VMs automate fstrim, while LXC containers need manual or automated fstrim processes for optimal performance.\n \n This is designed to work with SSDs on ext4 filesystems only. <a href=\"https://github.com/tteck/Proxmox/discussions/2505#discussion-6226037\" target=\"_blank\" rel=\"noopener noreferrer\">More Info</a>\n\n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/fstrim.sh)\"\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE LXC Cleaner\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/lxc.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE LXC Cleaner </h1>\n This script provides options to delete logs and cache, and repopulate apt lists for Ubuntu and Debian systems.\n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/clean-lxcs.sh)\"\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE LXC Updater\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/lxc.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE LXC Updater </h1>\n This script has been created to simplify and speed up the process of updating all LXC containers across various Linux distributions, such as Ubuntu, Debian, Devuan, Alpine Linux, CentOS-Rocky-Alma, Fedora, and ArchLinux. It's designed to automatically skip templates and specific containers during the update, enhancing its convenience and usability.<br> \n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/update-lxcs.sh)\"\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Cron LXC Updater\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/lxc.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE Cron LXC Updater </h1>\n This script will add/remove a crontab schedule that updates all LXCs every Sunday at midnight.<br> \n \n To exclude LXCs from updating, edit crontab (<code class=\"highlighter-rouge\">crontab -e</code>) and add CTID as shown in the example (-s 103 111)\n\n example: <code class=\"highlighter-rouge\">0 0 * * 0 PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin /bin/bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/update-lxcs-cron.sh)\" -s 103 111 >>/var/log/update-lxcs-cron.log 2>/dev/null</code>\n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/cron-update-lxcs.sh)\"\n ~~~`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Monitor-All\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/monitor.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE Monitor-All </h1>\n This script will add Monitor-All to Proxmox VE, which will monitor the status of all your instances, both containers and virtual machines, excluding templates and user-defined ones, and automatically restart or reset them if they become unresponsive. This is particularly useful if you're experiencing problems with Home Assistant becoming non-responsive every few days/weeks. Monitor-All also maintains a log of the entire process, which can be helpful for troubleshooting and monitoring purposes.<br>\n <br>\n <h4>🛈 Virtual machines without the QEMU guest agent installed must be excluded.</h4>\n <h4>🛈 Prior to generating any new CT/VM not found in this repository, it's necessary to halt Proxmox VE Monitor-All by running <code class=\"highlighter-rouge\">systemctl stop ping-instances</code>.</h4>\n \n All commands are run from the <b>Proxmox VE shell.</b>.\n \n <b>To add or remove Monitor-All in Proxmox VE:</b>\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/monitor-all.sh)\"\n ~~~\n <br>\n <br>\n To make setup changes, first stop the service: <code class=\"highlighter-rouge\">systemctl stop ping-instances</code>\n\n To edit pause time: \n ~~~bash\n nano /usr/local/bin/ping-instances.sh\n ~~~\n\n To add excluded instances:\n ~~~bash\n nano /etc/systemd/system/ping-instances.service\n ~~~\n \n After changes have been saved, <code class=\"highlighter-rouge\">systemctl daemon-reload</code> and start the service: <code class=\"highlighter-rouge\">systemctl start ping-instances</code>\n\n Monitor-All logs : <code class=\"highlighter-rouge\">cat /var/log/ping-instances.log</code>`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Host Backup\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/bash-dark.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Proxmox VE Host Backup </h1>\n This script serves as a versatile backup utility, enabling users to specify both the backup path and the directory they want to work in. This flexibility empowers users to select the specific files and directories they wish to back up, making it compatible with a wide range of hosts, not limited to Proxmox.\n \n Run the command below in the <b>Proxmox VE Shell</b>, or any host.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/host-backup.sh)\"\n ~~~\n \n A backup is rendered ineffective when it remains stored on the host`\n },\n /*{\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Dark Theme\",\n \"content\": `<p align=\"center\"><img src=\"https://camo.githubusercontent.com/f6f33a09f8c1207dfb3dc1cbd754c2f3393562c11b1c999751ad9a91a656834a/68747470733a2f2f692e696d6775722e636f6d2f536e6c437948462e706e67\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Proxmox VE Discord Dark Theme </h1>\n \n A dark theme for the Proxmox VE Web UI is a custom theme created by [Weilbyte](https://github.com/Weilbyte/PVEDiscordDark) that changes the look and feel of the Proxmox web-based interface to a dark color scheme. This theme can improve the visual experience and make the interface easier on the eyes, especially when used in low-light environments.\n \n Run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash <(curl -s https://raw.githubusercontent.com/Weilbyte/PVEDiscordDark/master/PVEDiscordDark.sh ) install\n ~~~\n \n To uninstall the theme, simply run the script with the <code class=\"highlighter-rouge\">uninstall</code> command.`\n },*/\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox Backup Server Post Install\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/proxmoxve/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Proxmox Backup Server Post Install </h1>\n The script will give options to Disable the Enterprise Repo, Add/Correct PBS Sources, Enable the No-Subscription Repo, Add Test Repo, Disable Subscription Nag, Update Proxmox Backup Server and Reboot PBS.\n \n Run the command below in the <b>Proxmox Backup Server Shell</b>. ⚠️ **Proxmox Backup Server ONLY**\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/post-pbs-install.sh)\"\n ~~~\n \n It is recommended to answer “yes” (y) to all options presented during the process.`\n },\n {\n \"category\": \"Proxmox VE Tools\",\n\n \"title\": \"Proxmox VE Netdata\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/netdata.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Proxmox VE Netdata </h1>\n \n [Netdata](https://www.netdata.cloud/) is an open-source, real-time performance monitoring tool designed to provide insights into the performance and health of systems and applications. It is often used by system administrators, DevOps professionals, and developers to monitor and troubleshoot issues on servers and other devices.\n \n To install/uninstall Netdata on Proxmox VE, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/netdata.sh)\"\n ~~~\n \n **Netdata Interface: IP:19999**`\n \n },\n\n\n\n\n\n\n\n\n\n\n/* Home Assistant */\n {\n \"category\": \"Home Assistant\",\n\n \"title\": \"Home Assistant OS VM\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/haos.png?raw=true\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Home Assistant OS VM </h1>\n <h3 align=\"center\"> Option to create VM using Stable, Beta or Dev Image </h3>\n \n This script automates the process of creating a Virtual Machine (VM) using the official KVM (qcow2) disk image provided by the Home Assistant Team. It involves finding, downloading, and extracting the image, defining user-defined settings, importing and attaching the disk, setting the boot order, and starting the VM. It supports various storage types, and does not involve any hidden installations.\n\n <h4>🛈 The disk must have a minimum size of 32GB and its size cannot be changed during the creation of the VM.</h4>\n \n To create a new Proxmox VE Home Assistant OS VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/haos-vm.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 4GB RAM - 32GB Storage - 2vCPU - Stable Image ⚡</h3>\n \n After the script completes, click on the VM, then on the **_Summary_** tab to find the VM IP.\n \n **Home Assistant Interface: IP:8123**`\n },\n {\n \"category\": \"Home Assistant\",\n\n \"title\": \"PiMox HAOS VM\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/pimox.png?raw=true\" width=\"100\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\"> PiMox HAOS VM </h1>\n \n <h3 align=\"center\"> Option to create VM using Stable, Beta or Dev Image </h3>\n \n The script automates the manual process of finding, downloading and extracting the aarch64 (qcow2) disk image provided by the Home Assistant Team, creating a VM with user defined settings, importing and attaching the disk, setting the boot order and starting the VM.\n \n To create a new PiMox HAOS VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/pimox-haos-vm.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 4GB RAM - 32GB Storage - 2vCPU - Stable Image ⚡</h3>\n \n After the script completes, click on the VM, then on the **_Console_** tab to find the VM IP.\n \n **Home Assistant Interface: IP:8123**`\n },\n {\n \"category\": \"Home Assistant\",\n\n \"title\": \"Home Assistant Container LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/docker.svg\" height=\"100\"/>\n <img src=\"https://avatars.githubusercontent.com/u/13844975?s=200&v=4\" width=\"100\" height=\"100\"/><img src=\"https://avatars1.githubusercontent.com/u/22225832?s=400&v=4\" alt=\"GitHub - portainer/portainer-docs: Portainer documentation\" width=\"100\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Home Assistant Container LXC </h1>\n\n A standalone container-based installation of Home Assistant Core means that the software is installed inside a Docker container, separate from the host operating system. This allows for flexibility and scalability, as well as improved security, as the container can be easily moved or isolated from other processes on the host.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE Home Assistant Container LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Containers, Remove Images or Install HACS, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/homeassistant.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 16GB Storage - 2vCPU ⚡</h3>\n \n **Home Assistant Interface: IP:8123**\n \n **Portainer Interface: (https) IP:9443**\n \n ⚙️ **Path to HA /config**\n ~~~bash\n /var/lib/docker/volumes/hass_config/_data\n ~~~`\n \n },\n {\n \"category\": \"Home Assistant\",\n\n \"title\": \"Home Assistant Core LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://avatars.githubusercontent.com/u/13844975?s=200&v=4\" width=\"100\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Home Assistant Core LXC </h1>\n \n A standalone installation of Home Assistant Core refers to a setup where the Home Assistant Core software is installed directly on a device or operating system, without the use of Docker containers. This provides a simpler, but less flexible and scalable solution, as the software is tightly coupled with the underlying system.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n <h4>🛈 Use Ubuntu 24.04 ONLY</h4>\n <h4>🛈 Requires PVE 8.2.2 with kernel 6.8.4-3-pve or newer</h4>\n \n To create a new Proxmox VE Home Assistant Core LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update, Install HACS or Filebrowser, run the command below (or type update) in the <b>LXC Console</b>. <br>\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/homeassistant-core.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n\n **Home Assistant Interface: IP:8123**\n \n ⚙️ **Path to HA /config**\n ~~~bash\n /root/.homeassistant\n ~~~`\n \n },\n {\n \"category\": \"Home Assistant\",\n\n \"title\": \"Podman Home Assistant Container LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/podman.svg\" height=\"100\"/>\n <img src=\"https://avatars.githubusercontent.com/u/13844975?s=200&v=4\" height=\"100\"/><img/></p>\n <h1 align=\"center\" id=\"heading\">Podman Home Assistant Container LXC</h1>\n\n <h3 align=\"center\" id=\"heading\">NOT WORKING ON ZFS</h3>\n \n A standalone Podman container-based installation of Home Assistant Core means that the Home Assistant Core software is installed inside a container managed by Podman, separate from the host operating system. This provides a flexible and scalable solution for running the software, as the container can be easily moved between host systems or isolated from other processes for security. Podman is a popular open-source tool for managing containers that is similar to Docker, but designed for use on Linux systems without a daemon.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE Podman Home Assistant Container LXC, run the command below in the <b>Proxmox VE Shell</b>. <br> \n To Update Podman, Install HACS or FileBrowser, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/podman-homeassistant.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 16GB Storage - 2vCPU ⚡</h3>\n \n **Home Assistant Interface: IP:8123**\n \n ⚙️ **Path to HA /config**\n ~~~bash\n /var/lib/containers/storage/volumes/hass_config/_data\n ~~~`\n\n },\n\n\n\n\n\n\n\n\n\n\n/* Automation */\n {\n \"category\": \"Automation\",\n\n \"title\": \"ioBroker LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/ioBroker/ioBroker/blob/master/img/logos/ioBroker_Logo_256px.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> ioBroker LXC </h1>\n \n <a href=\"https://www.iobroker.net/#en/intro\" target=\"_blank\" rel=\"noopener noreferrer\">ioBroker</a> is an open-source platform for building and managing smart home automation systems. It provides a centralized control and management interface for connected devices, sensors, and other IoT devices. ioBroker integrates with a wide range of popular smart home systems, devices, and services, making it easy to automate tasks and processes, monitor and control devices, and collect and analyze data from a variety of sources. With its flexible architecture and easy-to-use interface, ioBroker is designed to make it simple for users to build and customize their own smart home automation systems, regardless of their technical background or experience.\n \n To create a new Proxmox VE ioBroker LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/iobroker.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **ioBroker Interface: IP:8081**`\n \n },\n {\n \"category\": \"Automation\",\n\n \"title\": \"openHAB LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/openhab.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">openHAB LXC</h1>\n\n <a href=\"https://www.openhab.org/\" target=\"_blank\" rel=\"noopener noreferrer\">openHAB</a> is a popular open-source home automation platform that provides a vendor and technology agnostic solution for integrating and automating various smart home devices and services. It supports a wide range of devices and protocols, making it easy to bring together different systems and devices into a unified smart home ecosystem. With its user-friendly interface and powerful automation capabilities, openHAB makes it easy to create custom automations and monitor and control your smart home devices and systems, all from a single interface.\n \n To create a new Proxmox VE openHAB LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/openhab.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **openHAB Interface: IP:8080**`\n \n },\n {\n \"category\": \"Automation\",\n\n \"title\": \"Homebridge LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://homebridge.io/assets/images/logo.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Homebridge LXC</h1>\n\n <a href=\"https://homebridge.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Homebridge</a> is a popular open-source software platform that enables you to integrate smart home devices and services that do not natively support Apple's HomeKit protocol into the HomeKit ecosystem. This allows you to control and automate these devices using Siri, the Home app, or other HomeKit-enabled apps, making it easy to bring together a variety of different devices into a unified smart home system. With Homebridge, you can expand the capabilities of your smart home, unlocking new possibilities for automating and controlling your devices and systems.\n \n To create a new Proxmox VE Homebridge LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/homebridge.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Homebridge Interface: IP:8581**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n \n },\n {\n \"category\": \"Automation\",\n\n \"title\": \"ESPHome LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://esphome.io/_images/logo.svg?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">ESPHome LXC</h1>\n \n <a href=\"https://esphome.io/\" target=\"_blank\" rel=\"noopener noreferrer\">ESPHome</a> is a platform for controlling ESP8266/ESP32-based devices using configuration files and integrating them with Home Automation systems. It provides a simple and flexible way to set up and manage the functionality of these devices, including defining and automating actions, monitoring sensors, and connecting to networks and other services. ESPHome is designed to be user-friendly and easy to use, and supports a wide range of features and integrations, making it a popular choice for home automation projects and IoT applications.\n \n To create a new Proxmox VE ESPHome LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update ESPHome, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/esphome.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **ESPHome Interface: IP:6052**`\n \n },\n {\n \"category\": \"Automation\",\n\n \"title\": \"Node-Red LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/custom_integrations/nodered/icon.png?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Node-Red LXC</h1>\n\n <a href=\"https://nodered.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Node-RED</a> is a visual programming tool that allows developers and non-developers alike to easily wire together hardware devices, APIs, and online services to create custom applications. It provides a visual interface for building workflows, making it easy to create and modify complex integrations without having to write any code. Node-RED is used in a wide range of applications, from simple automations to complex integrations, and is known for its simplicity, versatility, and ease of use.\n \n To create a new Proxmox VE Node-RED LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Node-Red or Install Themes run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/node-red.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Node-Red Interface: IP:1880**`\n \n },\n {\n \"category\": \"Automation\",\n\n \"title\": \"n8n LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://docs.n8n.io/_images/n8n-docs-icon.svg\" height=\"70\"/></p>\n <h1 align=\"center\" id=\"heading\">n8n LXC</h1>\n \n <a href=\"https://n8n.io/\" target=\"_blank\" rel=\"noopener noreferrer\">n8n</a> is a workflow automation tool that enables users to automate various tasks and processes by connecting various data sources, systems, and services. It provides a visual interface for building workflows, allowing users to easily define and automate complex sequences of actions, such as data processing, conditional branching, and API calls. n8n supports a wide range of integrations, making it a versatile tool for automating a variety of use cases, from simple data processing workflows to complex business processes. With its extendable architecture, n8n is designed to be easily customizable and can be adapted to meet the specific needs of different users and industries.\n \n To create a new Proxmox VE n8n LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update n8n, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/n8n.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 3GB Storage - 2vCPU ⚡</h3>\n \n **n8n Interface: IP:5678**`\n \n },\n {\n \"category\": \"Automation\",\n\n \"title\": \"FHEM LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://avatars.githubusercontent.com/u/45183393?s=100&v=4\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">FHEM LXC</h1>\n\n <a href=\"https://fhem.de/\" target=\"_blank\" rel=\"noopener noreferrer\">FHEM</a> stands for \"Freundliche Hausautomation und Energie-Messung,\" which translates to \"Friendly Home Automation and Energy Measurement\" in English. The software can interface with a wide range of devices, including lighting systems, thermostats, weather stations, and media devices, among others.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n \n To create a new Proxmox VE FHEM LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/fhem.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **FHEM Interface: IP:8083**`\n \n },\n\n\n\n\n\n\n\n\n\n\n/* MQTT */\n {\n \"category\": \"MQTT\",\n\n \"title\": \"MQTT LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/eclipsemosquitto.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">MQTT LXC</h1>\n \n <a href=\"https://mosquitto.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Eclipse Mosquitto</a> is an open-source message broker that implements the MQTT (Message Queuing Telemetry Transport) protocol. It is a lightweight and simple-to-use message broker that allows IoT devices and applications to communicate with each other by exchanging messages in real-time. Mosquitto is widely used in IoT applications, due to its low resource requirements and its compatibility with a wide range of devices and platforms\n \n To create a new Proxmox VE MQTT LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/mqtt.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n [Post Install](https://github.com/tteck/Proxmox/discussions/2921)`\n \n },\n {\n \"category\": \"MQTT\",\n\n \"title\": \"EMQX LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/hassio-addons/repository/blob/master/emqx/icon.png?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">EMQX LXC</h1>\n\n <a href=\"https://www.emqx.io/\" target=\"_blank\" rel=\"noopener noreferrer\">EMQX</a> is an open-source MQTT broker that features a high-performance, real-time message processing engine. It is designed to handle large-scale IoT deployments, providing fast and reliable message delivery for connected devices. EMQX is known for its scalability, reliability, and low latency, making it a popular choice for IoT and M2M applications. It also offers a wide range of features and plugins for enhanced security, monitoring, and management.\n \n To create a new Proxmox VE EMQX LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/emqx.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n \n **EMQX Interface: IP:18083** \n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n\n **password** <code class=\"highlighter-rouge\">public</code>\n\n ⚙️ **Setup**\n \n Access Control ➡ Authentication ➡ Create ➡ Next ➡ Next ➡ Create ➡ Users ➡ Add ➡ Username / Password (to authenicate with MQTT) ➡ Save. You're now ready to enjoy a high-performance MQTT Broker.`\n \n },\n {\n \"category\": \"MQTT\",\n\n \"title\": \"HiveMQ CE LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://www.hivemq.com/_app/immutable/assets/tw-hmq-logo.buita3kF.svg?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">HiveMQ CE LXC</h1>\n\n <a href=\"https://www.hivemq.com/\" target=\"_blank\" rel=\"noopener noreferrer\">HiveMQ CE</a> is a Java-based open source MQTT broker that fully supports MQTT 3.x and MQTT 5.\n \n To create a new Proxmox VE HiveMQ CE LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/hivemq.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n \n To check if HiveMQ is listening to the default port for MQTT\n ~~~bash\n lsof -i :1883\n ~~~`\n },\n {\n \"category\": \"MQTT\",\n\n \"title\": \"RabbitMQ LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/rabbitmq/rabbitmq-website/main/static/img/rabbitmq-logo.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">RabbitMQ LXC</h1>\n\n <a href=\"https://www.rabbitmq.com/\" target=\"_blank\" rel=\"noopener noreferrer\">RabbitMQ</a> is a reliable and mature messaging and streaming broker, which is easy to deploy on cloud environments, on-premises, and on your local machine.\n \n To create a new Proxmox VE RabbitMQ LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update RabbitMQ, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/rabbitmq.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n \n **RabbitMQ Interface: IP:15672** \n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">proxmox</code>\n\n **password** <code class=\"highlighter-rouge\">proxmox</code>`\n \n },\n\n\n\n\n\n\n\n\n\n/* Database */\n {\n \"category\": \"Database\",\n\n \"title\": \"Mariadb LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/mariadb.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Mariadb LXC</h1>\n \n <a href=\"https://mariadb.org/\" target=\"_blank\" rel=\"noopener noreferrer\">MariaDB</a> is a fork of the popular MySQL database management system that is developed and maintained by the open-source community. It is also commercially supported, offering enterprise-level features and support for organizations that require them. MariaDB aims to maintain high compatibility with MySQL, ensuring a drop-in replacement capability.\n \n To create a new Proxmox VE Mariadb LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/mariadb.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n [Post Install](https://github.com/tteck/Proxmox/discussions/2915)`\n },\n {\n \"category\": \"Database\",\n\n \"title\": \"PostgreSQL LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/postgresql.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">PostgreSQL LXC</h1>\n <h3 align=\"center\"> Option to Install Adminer</h3>\n \n <a href=\"https://www.postgresql.org/\" target=\"_blank\" rel=\"noopener noreferrer\">PostgreSQL</a> (often referred to as Postgres) is an open-source relational database management system that is known for its extensibility and strict adherence to SQL standards. It is a free and powerful database solution, suitable for a wide range of applications, from small projects to large enterprise systems. PostgreSQL is widely used for its reliability, feature-richness, and robustness.\n \n To create a new Proxmox VE PostgreSQL LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/postgresql.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n\n ⚙️ <a href=\"https://www.adminer.org/\" target=\"_blank\" rel=\"noopener noreferrer\">**Adminer**</a> is a full-featured database management tool\n \n **Adminer Interface: IP/adminer/**\n \n [Post Install](https://github.com/tteck/Proxmox/discussions/2916)`\n },\n {\n \"category\": \"Database\",\n\n \"title\": \"InfluxDB LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/influx.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">InfluxDB LXC</h1>\n <h3 align=\"center\"> Options to Install InfluxDB v1 or v2 and Telegraf</h3>\n * Choosing InfluxDB v1 will result in Chronograf being installed automatically.\n \n <a href=\"https://www.influxdata.com/\" target=\"_blank\" rel=\"noopener noreferrer\">InfluxDB</a> is designed to handle high write and query loads, and is optimized for storing and analyzing time-stamped data, such as metrics, events, and logs. InfluxDB supports SQL-like query language and has a built-in HTTP API for data ingestion and retrieval. It's commonly used for IoT and industrial applications where time-series data is involved.\n \n <a href=\"https://www.influxdata.com/time-series-platform/telegraf/\" target=\"_blank\" rel=\"noopener noreferrer\">Telegraf</a> is a server agent that collects, processes, and aggregates metrics and events data from different sources, such as systems, databases, and APIs, and outputs the data to various outputs, such as InfluxDB, Prometheus, Elasticsearch, and many others.\n \n To create a new Proxmox VE InfluxDB LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/influxdb.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n\n InfluxDB V1 (Chronograf) Interface: IP:8888\n\n InfluxDB V2 Interface: IP:8086\n\n ⚙️ **InfluxDB Configuration**\n \n ~~~yaml\n nano /etc/influxdb/influxdb.conf\n ~~~\n \n ⚙️ **Telegraf Configuration**\n \n ~~~yaml\n nano /etc/telegraf/telegraf.conf\n ~~~`\n \n },\n {\n \"category\": \"Database\",\n\n \"title\": \"Apache-CouchDB LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://couchdb.apache.org/image/couch@2x.png\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Apache-CouchDB LXC</h1>\n \n <a href=\"https://couchdb.apache.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Apache-CouchDB</a> Seamless multi-master sync, that scales from Big Data to Mobile, with an Intuitive HTTP/JSON API and designed for Reliability.\n \n To create a new Proxmox VE Apache-CouchDB LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/apache-couchdb.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 4GB RAM - 10GB Storage - 2vCPU ⚡</h3>\n\n To Show Login Credentials\n\n ~~~bash\n cat CouchDB.creds\n ~~~\n\n **Apache-CouchDB Interface: IP:5984/_utils/**`\n \n },\n {\n \"category\": \"Database\",\n\n \"title\": \"Apache-Cassandra LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/apachecassandra.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Apache-Cassandra LXC</h1>\n \n <a href=\"https://cassandra.apache.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Apache-Cassandra</a> is an open source NoSQL distributed database trusted by thousands of companies for scalability and high availability without compromising performance.\n \n To create a new Proxmox VE Apache-Cassandra LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/apache-cassandra.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n\n ⚙️ **Apache-Cassandra Configuration**\n \n ~~~yaml\n nano /etc/cassandra/cassandra.yaml\n ~~~`\n \n },\n {\n \"category\": \"Database\",\n\n \"title\": \"Redis LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/redis.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Redis LXC</h1>\n \n <a href=\"https://redis.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Redis</a> is an open-source, in-memory data store used by millions of developers as a cache, vector database, document database, streaming engine, and message broker.\n \n To create a new Proxmox VE Redis LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/redis.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n\n ⚙️ **Redis Configuration**\n \n ~~~yaml\n nano /etc/redis/redis.conf\n ~~~`\n \n },\n {\n \"category\": \"Database\",\n\n \"title\": \"MongoDB LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/mongodb-spring-green.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">MongoDB LXC</h1>\n \n <a href=\"https://www.mongodb.com/\" target=\"_blank\" rel=\"noopener noreferrer\">MongoDB</a> is a NoSQL database that uses a document-oriented data model, storing data in JSON-like documents with dynamic schemas. This design offers flexibility and scalability, making it ideal for handling large volumes of data. MongoDB supports indexing, replication, and load balancing, ensuring high performance and availability, and can distribute data across multiple servers, making it well-suited for big data applications.\n \n To create a new Proxmox VE MongoDB LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/mongodb.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 4GB Storage - 1vCPU ⚡</h3`\n \n },\n {\n \"category\": \"Database\",\n\n \"title\": \"Pocketbase LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://pocketbase.io/images/logo.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Pocketbase LXC</h1>\n \n <a href=\"https://pocketbase.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Pocketbase</a> is an open source backend consisting of embedded database (SQLite) with realtime subscriptions, built-in auth management, convenient dashboard UI and simple REST-ish API.\n \n To create a new Proxmox VE Pocketbase LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/pocketbase.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 8GB Storage - 1vCPU ⚡</h3>\n\n **Pocketbase Interface: IP:8080/_/**`\n \n },\n\n\n\n\n\n\n\n\n\n\n/* Zigbee - Zwave - Matter */\n {\n \"category\": \"Zigbee - Zwave - Matter\",\n\n \"title\": \"Zigbee2MQTT LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/Koenkk/zigbee2mqtt/blob/master/images/logo_bee_only.png?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Zigbee2MQTT LXC</h1>\n\n <h3 align=\"center\"> Option to switch to Edge/dev branch</h3>\n \n <a href=\"https://www.zigbee2mqtt.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Zigbee2MQTT</a> is an open-source software project that allows you to use Zigbee-based smart home devices (such as those sold under the Philips Hue and Ikea Tradfri brands) with MQTT-based home automation systems, like Home Assistant, Node-RED, and others. The software acts as a bridge between your Zigbee devices and MQTT, allowing you to control and monitor these devices from your home automation system.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE Zigbee2MQTT LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Zigbee2MQTT, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/zigbee2mqtt.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU - Privileged ⚡</h3>\n \n As an alternative option, you can use Alpine Linux and the Zigbee2MQTT package to create a Zigbee2MQTT LXC container with faster creation time and minimal system resource usage. <br>\n\n To create a new Proxmox VE Alpine-Zigbee2MQTT LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n ~~~bash\n bash -c \"$(wget -qO - https://github.com/tteck/Proxmox/raw/main/ct/alpine-zigbee2mqtt.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 256MiB RAM - 300MiB Storage - 1vCPU - Privileged ⚡</h3>\n\n [Post Install](https://github.com/tteck/Proxmox/discussions/2917)`\n },\n {\n \"category\": \"Zigbee - Zwave - Matter\",\n\n \"title\": \"deCONZ LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://phoscon.de/img/phoscon-logo128x.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> deCONZ LXC </h1>\n \n <a href=\"https://www.phoscon.de/en/conbee2/software#deconz\" target=\"_blank\" rel=\"noopener noreferrer\">deCONZ</a> is a software for managing and controlling Zigbee-based smart home devices. It allows for setting up, configuring and visualizing the status of connected devices, as well as for triggering actions and automations. It works as a bridge between the Zigbee network and other home automation systems and can be used as a standalone solution or integrated into existing setups.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE deCONZ LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/deconz.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU - Privileged ⚡</h3>\n \n **deCONZ Interface: IP:80**`\n \n },\n {\n \"category\": \"Zigbee - Zwave - Matter\",\n\n \"title\": \"Z-Wave JS UI LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/zwave.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Z-Wave JS UI LXC </h1>\n \n <a href=\"https://github.com/zwave-js/zwave-js-ui#\" target=\"_blank\" rel=\"noopener noreferrer\">Z-Wave JS UI</a> is an open-source software that serves as a gateway between Z-Wave devices and MQTT (Message Queuing Telemetry Transport) protocol, allowing users to control and monitor their Z-Wave devices via a user interface. The software provides a configurable platform to manage Z-Wave networks and integrate with other smart home systems through MQTT.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n \n To create a new Proxmox VE Z-Wave JS UI LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Z-Wave JS UI, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/zwave-js-ui.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU - Privileged ⚡</h3>\n \n **Z-Wave JS UI Interface: IP:8091**`\n \n },\n {\n \"category\": \"Zigbee - Zwave - Matter\",\n\n \"title\": \"Matterbridge LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Luligu/matterbridge/main/frontend/public/matterbridge%20624x624.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Matterbridge LXC </h1>\n \n <a href=\"https://github.com/Luligu/matterbridge\" target=\"_blank\" rel=\"noopener noreferrer\">Matterbridge</a> allows you to have all your Matter devices up and running in a couple of minutes without having to deal with the pairing process of each single device.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n \n To create a new Proxmox VE Matterbridge LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Matterbridge, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/matterbridge.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Matterbridge Interface: IP:8283**`\n \n },\n\n\n\n\n\n\n\n\n\n/* Monitoring - Analytics */\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Uptime Kuma LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/louislam/uptime-kuma/blob/master/public/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Uptime Kuma LXC </h1>\n \n <a href=\"https://github.com/louislam/uptime-kuma#uptime-kuma\" target=\"_blank\" rel=\"noopener noreferrer\">Uptime Kuma</a> is a monitoring and alerting system that tracks the availability and performance of servers, websites, and other internet-connected devices. It can be self-hosted and is open-source, offering a visually appealing interface for monitoring and receiving notifications about downtime events.\n \n To create a new Proxmox VE Uptime Kuma LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Uptime Kuma, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/uptimekuma.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Uptime Kuma Interface: IP:3001**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Zabbix LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/zabbix.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Zabbix LXC </h1>\n \n <a href=\"https://www.zabbix.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Zabbix</a> is an all-in-one monitoring solution with a variety of enterprise-grade features available right out of the box.\n \n To create a new Proxmox VE Zabbix LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/zabbix.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 4GB RAM - 6GB Storage - 2vCPU ⚡</h3>\n \n username: <code class=\"highlighter-rouge\">Admin</code> password: <code class=\"highlighter-rouge\">zabbix</code>\n\n Database credentials: <code class=\"highlighter-rouge\">cat zabbix.creds</code>\n\n **Zabbix Interface: IP:5454**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Pi.Alert LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/leiweibau/Pi.Alert/main/front/img/favicons/glass_black_white.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Pi.Alert LXC </h1>\n \n <a href=\"https://github.com/leiweibau/Pi.Alert/\" target=\"_blank\" rel=\"noopener noreferrer\">Pi.Alert</a> is a WIFI / LAN intruder detector. Checks the devices connected and alert you with unknown devices. It also warns of the disconnection of \"always connected\" devices.\n \n To create a new Proxmox VE Pi.Alert LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Pi.Alert, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/pialert.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 3GB Storage - 1vCPU ⚡</h3>\n \n **Pi.Alert Interface: IP/pialert/**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Umami LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/umami.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Umami LXC </h1>\n \n <a href=\"https://umami.is/\" target=\"_blank\" rel=\"noopener noreferrer\">Umami</a> makes it easy to collect, analyze, and understand your web data — while maintaining visitor privacy and data ownership.\n \n To create a new Proxmox VE Umami LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Umami, run the command below (or type update) in the <b>LXC Console</b>.\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/umami.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 12GB Storage - 1vCPU ⚡</h3>\n \n **Umami Interface: IP:3000**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Tianji LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/msgbyte/tianji/master/website/static/img/logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Tianji LXC </h1>\n \n <a href=\"https://tianji.msgbyte.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Tianji</a> is an open source project that integrates website analysis, uptime monitor, server status, problem notification, telemetry and more features in one application.\n \n To create a new Proxmox VE Tianji LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Tianji, run the command below (or type update) in the <b>LXC Console</b>.\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/tianji.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 12GB Storage - 1vCPU ⚡</h3>\n \n **Tianji Interface: IP:12345**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Glances\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/nicolargo/glances/develop/docs/_static/Glances%20Logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Glances </h1>\n \n <a href=\"https://nicolargo.github.io/glances/\" target=\"_blank\" rel=\"noopener noreferrer\">Glances</a> is an open-source system cross-platform monitoring tool. It allows real-time monitoring of various aspects of your system such as CPU, memory, disk, network usage etc.\n \n To Install Glances, ⚠️ run the command below in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/glances.sh)\"\n ~~~\n \n **Glances Interface: IP:61208**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"WatchYourLAN LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/aceberg/WatchYourLAN/main/assets/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> WatchYourLAN LXC </h1>\n \n <a href=\"https://github.com/aceberg/WatchYourLAN\" target=\"_blank\" rel=\"noopener noreferrer\">WatchYourLAN</a> is a lightweight network IP scanner with web GUI.\n \n To create a new Proxmox VE WatchYourLAN LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update WatchYourLAN, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/watchyourlan.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **WatchYourLAN Interface: IP:8840**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"SmokePing LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/dperson/smokeping/master/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> SmokePing LXC </h1>\n \n <a href=\"https://oss.oetiker.ch/smokeping/\" target=\"_blank\" rel=\"noopener noreferrer\">SmokePing</a> is a deluxe latency measurement tool. It can measure, store and display latency, latency distribution and packet loss.\n \n To create a new Proxmox VE SmokePing LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/smokeping.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **SmokePing Interface: IP/smokeping**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Notifiarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://notifiarr.com/images/logo/notifiarr.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Notifiarr LXC </h1>\n \n <a href=\"https://notifiarr.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Notifiarr</a> is a purpose built system to bring many applications together to manage and customize notifications via Discord. You can monitor many aspects of your network(s), be notified of downtime, be notified of health issues, etc\n \n To create a new Proxmox VE Notifiarr LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Notifiarr, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qO - https://github.com/tteck/Proxmox/raw/main/ct/notifiarr.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n Manually edit <code class=\"highlighter-rouge\">/etc/notifiarr/notifiarr.conf</code> to enter the API key from Notifiarr.com, and create a password for the UI.\n\n **Notifiarr Interface: IP:5454**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"OpenObserve LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/tteck/Proxmox/main/misc/images/openobsecure.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> OpenObserve LXC </h1>\n \n <a href=\"https://openobserve.ai/\" target=\"_blank\" rel=\"noopener noreferrer\">OpenObserve</a> is a simple yet sophisticated log search, infrastructure monitoring, and APM solution.\n \n To create a new Proxmox VE OpenObserve LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update OpenObserve, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/openobserve.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 3GB Storage - 1vCPU ⚡</h3>\n \n **Credentials:** <code class=\"highlighter-rouge\">cat /opt/openobserve/data/.env</code>\n\n **OpenObserve Interface: IP:5080**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n \n \"title\": \"Change Detection LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/dgtlmoon/changedetection.io/blob/master/changedetectionio/static/images/avatar-256x256.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Change Detection LXC </h1>\n \n <a href=\"https://changedetection.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Change Detection</a> is a service that allows you to monitor changes to web pages and receive notifications when changes occur. It can be used for a variety of purposes such as keeping track of online price changes, monitoring news websites for updates, or tracking changes to online forums.\n \n To create a new Proxmox VE Change Detection LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Change Detection, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/changedetection.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Change Detection Interface: IP:5000**`\n \n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Prometheus LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/prometheus.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Prometheus LXC </h1>\n \n <a href=\"https://prometheus.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Prometheus</a> is widely used to monitor the performance and health of various infrastructure components and applications, and trigger alerts based on predefined rules. It has a multi-dimensional data model and supports various data sources and exporters, making it an extremely flexible and scalable monitoring solution.\n \n To create a new Proxmox VE Prometheus LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Prometheus, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/prometheus.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Prometheus Interface: IP:9090**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"PeaNUT LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Brandawg93/PeaNUT/main/src/app/icon.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> PeaNUT LXC </h1>\n \n <a href=\"https://github.com/Brandawg93/PeaNUT/\" target=\"_blank\" rel=\"noopener noreferrer\">PeaNUT</a> is a Tiny Dashboard for Network UPS Tools.<br>\n\n <h4>🛈 configure the files in <code class=\"highlighter-rouge\">/etc/nut</code> then update the PeaNUT service environment variables in <code class=\"highlighter-rouge\">/etc/systemd/system/peanut.service</code>.</h4>\n\n To create a new Proxmox VE PeaNUT LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update PeaNUT, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/peanut.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **PeaNUT Interface: IP:3000**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"MySpeed LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/gnmyt/myspeed/development/web/public/assets/img/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> MySpeed LXC </h1>\n \n <a href=\"https://myspeed.dev/\" target=\"_blank\" rel=\"noopener noreferrer\">MySpeed</a> is a speed test analysis software that records your internet speed for up to 30 days.\n \n To create a new Proxmox VE MySpeed LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update MySpeed, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/myspeed.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **MySpeed Interface: IP:5216**`\n \n },\n {\n \"category\": \"Monitoring - Analytics\",\n\n \"title\": \"Grafana LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://external-content.duckduckgo.com/iu/?u=https%3A%2F%2Fdocs.checkmk.com%2Flatest%2Fimages%2Fgrafana_logo.png&f=1&nofb=1\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Grafana LXC </h1>\n \n <a href=\"https://grafana.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Grafana</a> is a data visualization and monitoring platform that enables users to query, visualize, alert on and understand metrics, logs, and other data sources. It integrates with various data sources, including Prometheus, InfluxDB, Elasticsearch, and many others, to present a unified view of the data and enable users to create insightful and interactive dashboards.\n \n To create a new Proxmox VE Grafana LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/grafana.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n As an alternative option, you can use Alpine Linux and the Grafana package to create a Grafana LXC container with faster creation time and minimal system resource usage. <br>\n \n To create a new Proxmox VE Alpine-Grafana LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n ~~~bash\n bash -c \"$(wget -qO - https://github.com/tteck/Proxmox/raw/main/ct/alpine-grafana.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 256Mib RAM - 500MiB Storage - 1vCPU ⚡</h3>\n\n **Grafana Interface: IP:3000**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n \n },\n\n\n\n\n\n\n\n\n\n\n/* Docker - Kubernetes */\n {\n \"category\": \"Docker - Kubernetes\",\n\n \"title\": \"Docker LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/docker.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Docker LXC </h1>\n <h3 align=\"center\"> Options to Install Portainer and/or Docker Compose V2 </h3>\n \n <a href=\"https://www.docker.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Docker</a> is an open-source project for automating the deployment of applications as portable, self-sufficient containers.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE Docker LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/docker.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n As an alternative option, you can use Alpine Linux and the Docker package to create a Docker LXC container with faster creation time and minimal system resource usage. <br>\n \n To create a new Proxmox VE Alpine-Docker LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n ~~~bash\n bash -c \"$(wget -qO - https://github.com/tteck/Proxmox/raw/main/ct/alpine-docker.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n <h4>🛈 Run Compose V2 by replacing the hyphen (-) with a space, using docker compose, instead of docker-compose.</h4>\n \n **Portainer Interface: (https) IP:9443**`\n\n },\n {\n \"category\": \"Docker - Kubernetes\",\n\n \"title\": \"Dockge LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/louislam/dockge/master/frontend/public/icon.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Dockge LXC </h1>\n <h3 align=\"center\"> Options to add Immich and/or Home Assistant </h3>\n \n <a href=\"https://github.com/louislam/dockge\" target=\"_blank\" rel=\"noopener noreferrer\">Dockge</a> is a fancy, easy-to-use and reactive self-hosted docker compose.yaml stack-oriented manager.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE Dockge LXC, run the command below in the <b>Proxmox VE Shell</b>.\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/dockge.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 18GB Storage - 2vCPU ⚡</h3>\n \n **Dockge Interface: IP:5001**\n\n To update Dockge\n ~~~bash\n cd /opt/dockge\n docker compose pull\n docker compose up -d\n ~~~`\n },\n /*{\n \"category\": \"Docker - Kubernetes\",\n\n \"title\": \"Umbrel LXC\",\n \n \"content\": `<p align=\"center\"><img src=\"https://uploads-ssl.webflow.com/62966b49981ba146f4842f45/62966b49981ba15b44842fe4_umbrel-logo-compact-purple.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Umbrel LXC </h1>\n \n <a href=\"https://umbrel.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Umbrel</a> is an open-source project that provides a secure and easy-to-use software solution for hosting your own personal server, enabling you to have full control over your data and online services. The OS provides a comprehensive web interface and pre-configured applications for various tasks, making self-hosting accessible for non-technical users.\n \n 🛈 *If the LXC is created Privileged, the script will automatically set up USB passthrough.*\n\n To create a new Proxmox VE Umbrel LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/umbrel.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Umbrel Interface: IP** (a reboot is required before app installs)`\n \n },*/\n {\n \"category\": \"Docker - Kubernetes\",\n\n \"title\": \"CasaOS LXC\",\n \n \"content\": `<p align=\"center\"><img src=\"https://www.casaos.io/images/casa_9c491a0f.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> CasaOS LXC </h1>\n \n <a href=\"https://www.casaos.io/\" target=\"_blank\" rel=\"noopener noreferrer\">CasaOS</a> is a software that aims to make it easy for users to create a personal cloud system at home. It uses the Docker ecosystem to provide a simple, user-friendly experience for managing various applications and services.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE CasaOS LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/casaos.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **CasaOS Interface: IP**`\n \n },\n {\n \"category\": \"Docker - Kubernetes\",\n\n \"title\": \"Runtipi LXC\",\n \n \"content\": `<p align=\"center\"><img src=\"https://runtipi.io/_next/static/media/tipi.c0b9b68e.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Runtipi LXC </h1>\n \n <a href=\"https://runtipi.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Runtipi</a> lets you install all your favorite self-hosted apps without the hassle of configuring and managing each service. One-click installs and updates for more than 180 popular apps.\n \n </h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n\n To create a new Proxmox VE Runtipi LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Runtipi, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/runtipi.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Runtipi Interface: IP**`\n \n },\n /*{\n \"category\": \"Docker - Kubernetes\",\n\n \"title\": \"k0s Kubernetes LXC\",\n \n \"content\": `<p align=\"center\"><img src=\"https://k0sproject.io/images/k0s-logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> k0s Kubernetes LXC </h1>\n <h3 align=\"center\"> Option to Install Helm Package Manager</h3>\n \n [k0s](https://k0sproject.io/) is a lightweight, easy-to-deploy, and certified Kubernetes distribution designed to simplify the process of deploying and managing a Kubernetes cluster. It focuses on simplicity and ease-of-use while providing a secure and production-ready environment for running applications.\n \n \n To create a new Proxmox VE k0s Kubernetes LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/k0s.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n\n ⚙️ **Config Path**\n\n ~~~yaml\n /etc/k0s/k0s.yaml\n ~~~`\n \n },*/\n \n {\n \"category\": \"Docker - Kubernetes\",\n\n \"title\": \"Podman LXC\",\n \n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/podman.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Podman LXC </h1>\n\n <h3 align=\"center\" id=\"heading\">NOT WORKING ON ZFS</h3>\n \n <a href=\"https://podman.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Podman</a> is an open-source, daemonless, and portable container engine that allows users to manage containers on Linux systems without the need for a daemon or system service to be running in the background. It provides an API and a command-line interface that can be used to create, run, and manage containers and their associated networks, volumes, and images. It is built on top of the Open Container Initiative (OCI) runtime specification, making it compatible with other OCI-compliant container engines.\n \n To create a new Proxmox VE Podman LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/podman.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>`\n \n },\n\n\n\n\n\n\n\n\n\n\n/* Operating System */\n {\n \"category\": \"Operating System\",\n\n \"title\": \"Debian LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://www.debian.org/Pics/debian-logo-1024x576.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Debian LXC </h1>\n Debian Linux is a distribution that emphasizes free software. It supports many hardware platforms.\n\n To create a new Proxmox VE Debian LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/debian.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>`\n \n },\n {\n \"category\": \"Operating System\",\n\n \"title\": \"Debian 12 VM\",\n \"content\": `<p align=\"center\"><img src=\"https://www.debian.org/Pics/debian-logo-1024x576.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Debian 12 VM </h1>\n Debian Linux is a distribution that emphasizes free software. It supports many hardware platforms.\n\n To create a new Proxmox VE Debian 12 VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/debian-vm.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 2GB Storage - 2vCPU ⚡</h3>\n \n More Info at https://github.com/tteck/Proxmox/discussions/1988`\n \n },\n {\n \"category\": \"Operating System\",\n\n \"title\": \"Ubuntu LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://assets.ubuntu.com/v1/29985a98-ubuntu-logo32.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Ubuntu LXC </h1>\n <h3 align=\"center\" id=\"heading\"> Option to select version </h3>\n Ubuntu is a distribution based on Debian, designed to have regular releases and a consistent user experience.\n \n To create a new Proxmox VE Ubuntu LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/ubuntu.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU - 22.04 ⚡</h3>`\n \n },\n {\n \"category\": \"Operating System\",\n\n \"title\": \"Ubuntu 22.04 VM\",\n \"content\": `<p align=\"center\"><img src=\"https://assets.ubuntu.com/v1/29985a98-ubuntu-logo32.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Ubuntu 22.04 VM </h1>\n Ubuntu is a distribution based on Debian, designed to have regular releases and a consistent user experience.\n\n To create a new Proxmox VE Ubuntu 22.04 VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/ubuntu2204-vm.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 2GB Storage - 2vCPU ⚡</h3>\n \n More Info at https://github.com/tteck/Proxmox/discussions/2072`\n \n },\n {\n \"category\": \"Operating System\",\n\n \"title\": \"Ubuntu 24.04 VM\",\n \"content\": `<p align=\"center\"><img src=\"https://assets.ubuntu.com/v1/29985a98-ubuntu-logo32.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Ubuntu 24.04 VM </h1>\n Ubuntu is a distribution based on Debian, designed to have regular releases and a consistent user experience.\n\n To create a new Proxmox VE Ubuntu 24.04 VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/ubuntu2404-vm.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 2GB Storage - 2vCPU ⚡</h3>\n \n More Info at https://github.com/tteck/Proxmox/discussions/2072`\n \n },\n {\n \"category\": \"Operating System\",\n\n \"title\": \"Alpine LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/alpinelinux.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Alpine LXC </h1>\n A security-oriented, lightweight Linux distribution based on musl and BusyBox.<br>\n By default, the root password is set to <code class=\"highlighter-rouge\">alpine</code>. If you choose to use advanced settings, you will need to define a password, autologin is currently unavailable.\n\n To create a new Proxmox VE Alpine LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/alpine.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 100MiB Storage - 1vCPU ⚡</h3>\n\n ⚙️ **Default Password** <code class=\"highlighter-rouge\">alpine</code>\n\n ⚙️ **To Update Alpine**\n \n ~~~bash\n apk update && apk upgrade\n ~~~`\n },\n\n\n\n\n\n\n\n\n\n\n/* TurnKey */\n {\n \"category\": \"TurnKey\",\n\n \"title\": \"TurnKey LXC Appliances\",\n \"content\": `<p align=\"center\"><img src=\"https://blog.desdelinux.net/wp-content/uploads/2017/01/TurnKey-Linux.png \" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> TurnKey LXC Appliances </h1>\n\n <a href=\"https://www.turnkeylinux.org/\" target=\"_blank\" rel=\"noopener noreferrer\">TurnKey LXC Appliances</a> is an open-source project that provides a collection of free, ready-to-use virtual appliances and installation images for various software applications and services. These appliances are pre-configured and come with all the necessary software and settings to simplify deployment and management. The goal of TurnKey Linux is to make it easier for users to set up and run popular software applications without the need for extensive manual configuration.\n \n To create a new Proxmox VE TurnKey LXC Appliance , run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/turnkey/turnkey.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">Resource and network settings are adjustable post LXC creation.</h3>\n \n The script creates a <code class=\"highlighter-rouge\">*.creds</code> file in the Proxmox root directory with the password of the newly created TurnKey LXC Appliance.\n\n ⚙️ **Retrieve Password** <code class=\"highlighter-rouge\">cat turnkey-*name*.creds</code>`\n \n },\n {\n \"category\": \"TurnKey\",\n \n \"title\": \"All Templates LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/proxmox.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> All Templates LXC </h1>\n \n A script designed to allow for the creation of one of the many free LXC templates. Great for creating system LXCs.<br>\n The script creates a *.creds file in the Proxmox root directory with the password of the newly created LXC.<br>\n Please take note that if you plan to use this script for creating TurnKey LXCs, you'll need to modify the hostname after creation.\n \n To create a new Proxmox VE Template LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/all-templates.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU- onboot 0 - DHCP - Unprivileged ⚡</h3><br>\n Resource and network settings are adjustable post LXC creation.`\n \n },\n\n\n\n\n\n\n\n\n\n\n/* Server - Networking */\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Cloudflared LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/cloudflare.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Cloudflared LXC </h1>\n \n <a href=\"https://www.cloudflare.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Cloudflared</a> is a command-line tool that allows you to securely access resources on the Cloudflare network, such as websites and APIs, from your local computer. It works by creating a secure tunnel between your computer and the Cloudflare network, allowing you to access resources as if they were on your local network. \n \n To create a new Proxmox VE Cloudflared LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/cloudflared.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Proxmox Backup Server LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/home-assistant/brands/master/core_integrations/proxmoxve/icon.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Proxmox Backup Server LXC </h1>\n \n <a href=\"https://www.proxmox.com/en/proxmox-backup-server/overview\" target=\"_blank\" rel=\"noopener noreferrer\">Proxmox Backup Server</a> is an enterprise backup solution, for backing up and restoring VMs, containers, and physical hosts. By supporting incremental, fully deduplicated backups, Proxmox Backup Server significantly reduces network load and saves valuable storage space.. \n \n To create a new Proxmox VE Proxmox Backup Server LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/pbs.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 10GB Storage - 2vCPU ⚡</h3>\n\n **Proxmox Backup Server Interface: IP:8007**\n \n Set a root password if using autologin. This will be the PBS password.\n ~~~bash\n sudo passwd root\n ~~~`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Apt-Cacher-NG LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/linux.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Apt-Cacher-NG LXC </h1>\n \n <a href=\"https://www.unix-ag.uni-kl.de/~bloch/acng/\" target=\"_blank\" rel=\"noopener noreferrer\">Apt-Cacher-NG</a> is a caching proxy. Specialized for package files from Linux distributors, primarily for Debian (and Debian based) distributions.\n \n To create a new Proxmox VE Apt-Cacher-NG LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/apt-cacher-ng.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Apt-Cacher-NG Interface: IP:3142/acng-report.html**`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Zoraxy LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://zoraxy.arozos.com/img/icon.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Zoraxy LXC </h1>\n \n <a href=\"https://zoraxy.arozos.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Zoraxy</a> is an all in one homelab network routing solution. \n \n To create a new Proxmox VE Zoraxy LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/zoraxy.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n\n **Zoraxy Interface: IP:8000**`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Nginx Proxy Manager LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/nginxproxymanager.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Nginx Proxy Manager LXC </h1>\n \n <a href=\"https://nginxproxymanager.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Nginx Proxy Manager</a> is a tool that provides a web-based interface to manage Nginx reverse proxies. It enables users to easily and securely expose their services to the internet by providing features such as HTTPS encryption, domain mapping, and access control. It eliminates the need for manual configuration of Nginx reverse proxies, making it easy for users to quickly and securely expose their services to the public.\n \n To create a new Proxmox VE Nginx Proxy Manager LXC Container, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Nginx Proxy Manager, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~yaml\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/nginxproxymanager.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n\n 🚨 Since there are hundreds of Certbot instances, it's necessary to install the specific Certbot of your preference.\n \n Forward port <code class=\"highlighter-rouge\">80</code> and <code class=\"highlighter-rouge\">443</code> from your router to your Nginx Proxy Manager LXC IP.\n \n Add the command below to your <code class=\"highlighter-rouge\">configuration.yaml</code> in Home Assistant.\n ~~~yaml\n http:\n use_x_forwarded_for: true\n trusted_proxies:\n - 192.168.100.27 ###(Nginx Proxy Manager LXC IP)###\n ~~~\n \n **Nginx Proxy Manager Interface: IP:81**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin@example.com</code>\n \n **password** <code class=\"highlighter-rouge\">changeme</code>`\n\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"YunoHost LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://yunohost.org/_images/ynh_logo_white_300dpi.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> YunoHost LXC </h1>\n \n <a href=\"https://yunohost.org/#/\" target=\"_blank\" rel=\"noopener noreferrer\">YunoHost</a> is an operating system aiming for the simplest administration of a server, and therefore democratize self-hosting, while making sure it stays reliable, secure, ethical and lightweight. \n \n To create a new Proxmox VE YunoHost LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/yunohost.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 20GB Storage - 2vCPU ⚡</h3>\n \n **YunoHost Interface: IP/**`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"UniFi Network Server LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://external-content.duckduckgo.com/iu/?u=https%3A%2F%2Fblog.ui.com%2Fwp-content%2Fuploads%2F2016%2F10%2Funifi-app-logo-300x108.png&f=1&nofb=1\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> UniFi Network Server LXC </h1>\n \n <a href=\"https://www.ui.com/\" target=\"_blank\" rel=\"noopener noreferrer\">UniFi Network Server</a> is a software that helps manage and monitor UniFi networks (Wi-Fi, Ethernet, etc.) by providing an intuitive user interface and advanced features. It allows network administrators to configure, monitor, and upgrade network devices, as well as view network statistics, client devices, and historical events. The aim of the application is to make the management of UniFi networks easier and more efficient.\n \n <h4>🛈 CPU with AVX Instruction Set required</h4>\n \n To create a new Proxmox VE UniFi Network Server LXC, run the command below in the <b>Proxmox VE Shell</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/unifi.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **UniFi Interface: (https)IP:8443**`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Omada Controller LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://www.enterpriseitpro.net/wp-content/uploads/2020/12/logo-omada.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Omada Controller LXC </h1>\n \n <a href=\"https://www.tp-link.com/us/support/download/omada-software-controller/\" target=\"_blank\" rel=\"noopener noreferrer\">Omada Controller</a> is a software application used to manage TP-Link's Omada EAP (Enterprise Access Point) devices. It allows administrators to centrally manage a large number of EAPs, monitor network performance, and control user access to the network. The software provides an intuitive interface for network configuration, firmware upgrades, and network monitoring. By using the Omada Controller, administrators can streamline the management process, reduce manual intervention, and improve the overall security and reliability of the network.\n \n To create a new Proxmox VE Omada Controller LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Omada, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/omada.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Omada Interface: (https)IP:8043**`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"WireGuard LXC\",\n \n \"content\": `<p align=\"center\"><img src=\"https://external-content.duckduckgo.com/iu/?u=https%3A%2F%2Fcdn.icon-icons.com%2Ficons2%2F2699%2FPNG%2F512%2Fwireguard_logo_icon_168760.png&f=1&nofb=1\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> WireGuard LXC </h1>\n \n <a href=\"https://www.wireguard.com/\" target=\"_blank\" rel=\"noopener noreferrer\">WireGuard</a> is a free and open-source virtual private network (VPN) software that uses modern cryptography to secure the data transmitted over a network. It is designed to be fast, secure, and easy to use. WireGuard supports various operating systems, including Linux, Windows, macOS, Android, and iOS. It operates at the network layer and is capable of being used with a wide range of protocols and configurations. Unlike other VPN protocols, WireGuard is designed to be simple and fast, with a focus on security and speed. It is known for its ease of setup and configuration, making it a popular choice for personal and commercial use.\n \n To create a new Proxmox VE WireGuard LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/wireguard.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **WGDashboard Interface: IP:10086**\n\n ⚙️ **Initial Login**\n\n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Cronicle Primary LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/jhuckaby/Cronicle/blob/master/htdocs/images/logo-128.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Cronicle Primary LXC </h1>\n \n <a href=\"https://github.com/jhuckaby/Cronicle\" target=\"_blank\" rel=\"noopener noreferrer\">Cronicle</a> is a task scheduling and management software that allows users to schedule and run tasks automatically on multiple servers. It has a web-based user interface that provides a convenient and centralized way to manage tasks and view their execution status. With Cronicle, users can schedule tasks to run at specific times, or on demand, and assign tasks to specific worker servers. The software provides real-time statistics and a live log viewer to help users monitor the progress of tasks. Cronicle is designed for use in large-scale environments, making it a valuable tool for automation and management of complex and time-sensitive tasks.\n \n To create a new Proxmox VE Cronicle Primary LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Cronicle or Install Cronicle Worker, run the command below (or type update) in a <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/cronicle.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Cronicle Primary Interface: IP:3012**\n\n ⚙️ Config Path\n ~~~bash\n /opt/cronicle/conf/config.json\n ~~~\n\n Primary and Worker Private Keys Must Match.`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"MeshCentral LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/Ylianst/MeshCentral/blob/master/public/favicon-303x303.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> MeshCentral LXC </h1>\n \n <a href=\"https://meshcentral.com/\" target=\"_blank\" rel=\"noopener noreferrer\">MeshCentral</a> is a web-based computer management platform that provides remote control and management capabilities for computers. It allows administrators to manage and control computers over a local network or the internet through a single, centralized web-based interface. With MeshCentral, users can monitor the status of computers, perform remote administration tasks, and control the power state of machines. The software supports various operating systems and provides real-time updates and alerts to keep administrators informed of the status of their systems. MeshCentral is designed to provide an easy-to-use, scalable, and secure solution for remote computer management, making it a valuable tool for IT administrators, helpdesk support, and remote workers.\n \n To create a new Proxmox VE MeshCentral LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/meshcentral.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **MeshCentral Interface: IP**`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Headscale LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/headscale.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Headscale LXC </h1>\n \n <a href=\"https://headscale.net/\" target=\"_blank\" rel=\"noopener noreferrer\">Headscale</a> is an An open source, self-hosted implementation of the Tailscale control server.\n \n To create a new Proxmox VE Headscale LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Headscale, run the command below (or type update) in a <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/headscale.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n ⚙️ Config Path\n ~~~bash\n /etc/headscale/config.yaml\n ~~~`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"iVentoy LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://www.iventoy.com/static/img/iventoy.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> iVentoy LXC </h1>\n \n <a href=\"https://www.iventoy.com/\" target=\"_blank\" rel=\"noopener noreferrer\">iVentoy</a> is an enhanced version of the PXE server.\n \n To create a new Proxmox VE iVentoy LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/iventoy.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU - Privileged ⚡</h3>\n \n iVentoy Interface: IP:26000/`\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Tailscale\",\n \"content\": `<p align=\"center\"><img src=\"https://avatars.githubusercontent.com/u/48932923?v=4&s=100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Tailscale</h1>\n \n <a href=\"https://tailscale.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Tailscale</a> is a software-defined networking solution that enables secure communication between devices over the internet. It creates a virtual private network (VPN) that enables devices to communicate with each other as if they were on the same local network. Tailscale works even when the devices are separated by firewalls or subnets, and provides secure and encrypted communication between devices. With Tailscale, users can connect devices, servers, computers, and cloud instances to create a secure network, making it easier to manage and control access to resources. Tailscale is designed to be easy to set up and use, providing a streamlined solution for secure communication between devices over the internet.\n \n To Install Tailscale on an existing LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/add-tailscale-lxc.sh)\"\n ~~~\n After the script finishes, reboot the LXC then run <code class=\"highlighter-rouge\">tailscale up</code> in the LXC console\n \n <a href=\"https://login.tailscale.com/start\" target=\"_blank\" rel=\"noopener noreferrer\">**Tailscale Login**</a>`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"NetBird\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/netbirdio/netbird/main/docs/media/logo-full.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> NetBird</h1>\n \n <a href=\"https://netbird.io/\" target=\"_blank\" rel=\"noopener noreferrer\">NetBird</a> combines a configuration-free peer-to-peer private network and a centralized access control system in a single platform, making it easy to create secure private networks for your organization or home.\n \n To Install NetBird on an existing LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/add-netbird-lxc.sh)\"\n ~~~\n After the script finishes, reboot the LXC then run <code class=\"highlighter-rouge\">netbird up</code> in the LXC console\n \n <a href=\"https://app.netbird.io/?utm_source=landing&utm_medium=home+page&utm_content=navbar+login+link\" target=\"_blank\" rel=\"noopener noreferrer\">**NetBird Login**</a>`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Caddy LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/caddy.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Caddy LXC</h1>\n \n <a href=\"https://caddyserver.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Caddy</a> is a powerful, extensible platform to serve your sites, services, and apps, written in Go.\n \n To create a new Proxmox VE Caddy LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/caddy.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1CPU ⚡</h3>`\n \n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Traefik LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/traefik-gopher.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Traefik LXC</h1>\n \n <a href=\"https://traefik.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Traefik</a> (pronounced traffic) is an open-source edge router and reverse proxy that simplifies managing microservices. It automatically discovers services, dynamically updates routing rules without downtime, provides load balancing, handles SSL termination, and supports various middleware for added functionality. Ideal for cloud-native environments, it integrates seamlessly with platforms like Docker and Kubernetes.\n \n To create a new Proxmox VE Traefik LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/traefik.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1CPU ⚡</h3>\n\n **Traefik Interface: IP:8080**`\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"BunkerWeb LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/bunkerity/bunkerweb/v1.5.7/misc/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> BunkerWeb LXC</h1>\n \n <a href=\"https://www.bunkerweb.io/\" target=\"_blank\" rel=\"noopener noreferrer\">BunkerWeb</a> is a next-generation, open-source Web Application Firewall (WAF).\n \n To create a new Proxmox VE BunkerWeb LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update BunkerWeb, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/bunkerweb.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2CPU ⚡</h3>\n\n **BunkerWeb Interface: IP/setup**`\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"FlareSolverr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/FlareSolverr/FlareSolverr/master/resources/flaresolverr_logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> FlareSolverr LXC</h1>\n \n <a href=\"https://github.com/FlareSolverr/FlareSolverr\" target=\"_blank\" rel=\"noopener noreferrer\">FlareSolverr</a> is a proxy server to bypass Cloudflare and DDoS-GUARD protection.\n \n To create a new Proxmox VE FlareSolverr LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update FlareSolverr, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/flaresolverr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 4GB Storage - 1CPU ⚡</h3>\n\n **FlareSolverr Interface: IP:8191**`\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"CrowdSec\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/crowdsecurity/crowdsec-docs/main/crowdsec-docs/static/img/crowdsec_no_txt.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> CrowdSec</h1>\n\n <a href=\"https://crowdsec.net/\" target=\"_blank\" rel=\"noopener noreferrer\">CrowdSec</a> is a free and open-source intrusion prevention system (IPS) designed to provide network security against malicious traffic. It is a collaborative IPS that analyzes behaviors and responses to attacks by sharing signals across a community of users. CrowdSec leverages the collective intelligence of its users to detect and respond to security threats in real-time. With CrowdSec, network administrators can set up protection against a wide range of threats, including malicious traffic, bots, and denial-of-service (DoS) attacks. The software is designed to be easy to use and integrate with existing security systems, making it a valuable tool for enhancing the security of any network.\n \n To Install CrowdSec, ⚠️ run the command below in the <b>LXC console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/crowdsec.sh)\"\n ~~~\n \n [**Control center for your CrowdSec machines.**](https://app.crowdsec.net/product-tour)`\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Keycloak LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://www.keycloak.org/resources/images/logo.svg?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Keycloak LXC</h1>\n\n <a href=\"https://www.keycloak.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Keycloak</a> is an open-source identity and access management solution that provides centralized authentication and authorization for modern applications and services. It enables organizations to secure their applications and services with a single sign-on (SSO) solution, reducing the need for users to remember multiple login credentials. Keycloak supports various authentication protocols, including SAML, OAuth, and OpenID Connect, and integrates with a wide range of applications and services. With Keycloak, administrators can manage user identities, define security policies, and monitor access to their applications and services. The software is designed to be scalable, flexible, and easy to use, making it a valuable tool for enhancing the security and usability of modern applications and services.\n \n To create a new Proxmox VE Keycloak LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/keycloak.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2CPU ⚡</h3>\n\n **Keycloak Interface: IP:8080/admin**\n \n [Post Install](https://github.com/tteck/Proxmox/discussions/2922)`\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"OpenWrt VM\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/openwrt.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> OpenWrt VM </h1>\n \n <a href=\"https://openwrt.org/\" target=\"_blank\" rel=\"noopener noreferrer\">OpenWrt</a>is a powerful open-source firmware that can transform a wide range of networking devices into highly customizable and feature-rich routers, providing users with greater control and flexibility over their network infrastructure.\n \n To create a new Proxmox VE OpenWrt VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/openwrt.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 256MiB RAM - 512MiB Storage - 1CPU ⚡</h3>`\n\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"lldap LXC\",\n \"content\": `\n \n <h1 align=\"center\" id=\"heading\"> lldap LXC </h1>\n \n <a href=\"https://github.com/lldap/lldap\" target=\"_blank\" rel=\"noopener noreferrer\">lldap</a> is a lightweight authentication server that provides an opinionated, simplified LDAP interface for authentication.\n \n To create a new Proxmox VE V, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/lldap.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 4GB Storage - 1CPU ⚡</h3>\n\n ⚙️ **Initial Login**\n\n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">password</code>`\n },\n {\n \"category\": \"Server - Networking\",\n\n \"title\": \"Mikrotik RouterOS CHR VM\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/mikrotik.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Mikrotik RouterOS CHR VM </h1>\n \n <a href=\"https://help.mikrotik.com/docs/display/ROS/Cloud+Hosted+Router%2C+CHR\" target=\"_blank\" rel=\"noopener noreferrer\">Mikrotik RouterOS CHR</a> is a Linux-based operating system that transforms a computer into a router. It provides a wide range of features for network routing, firewall, bandwidth management, wireless access point, backhaul link, hotspot gateway, VPN server, and many others. RouterOS is a versatile solution that supports various network configurations, including those with multiple WAN links, hotspots, and VPNs. It is highly customizable, allowing administrators to configure and manage their networks according to their specific requirements. With RouterOS, network administrators can monitor and control the performance and security of their networks, ensuring reliable and secure communication for their users. The software is designed to be easy to use and provides a wide range of tools for network management, making it a valuable solution for small and large networks alike.\n \n To create a new Proxmox VE Mikrotik RouterOS CHR VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/mikrotik-routeros.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 128MiB Storage - 2CPU ⚡</h3>\n\n Initial setup is done via VM console. Go to the IP address to manage.\n\n ⚙️ **Initial Login**\n\n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">no password</code>`\n },\n\n\n\n\n\n\n\n\n\n\n/* Media - Photo */\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Audiobookshelf LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/audiobookshelf.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Audiobookshelf LXC </h1>\n \n <a href=\"https://www.audiobookshelf.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Audiobookshelf</a> is a Self-hosted audiobook and podcast server.\n \n To create a new Proxmox VE Audiobookshelf LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/audiobookshelf.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Audiobookshelf Interface: IP:13378**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"LazyLibrarian LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://gitlab.com/uploads/-/system/project/avatar/9317860/ll.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">LazyLibrarian LXC </h1>\n \n <a href=\"https://gitlab.com/LazyLibrarian/LazyLibrarian\" target=\"_blank\" rel=\"noopener noreferrer\">LazyLibrarian</a> is a SickBeard, CouchPotato, Headphones-like application for ebooks, audiobooks and magazines.\n \n To create a new Proxmox VE LazyLibrarian LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update LazyLibrarian, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/lazylibrarian.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **LazyLibrarian Interface: IP:5299**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"MeTube LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/alexta69/metube/master/ui/src/assets/icons/android-chrome-192x192.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">MeTube LXC </h1>\n \n <a href=\"https://github.com/alexta69/metube\" target=\"_blank\" rel=\"noopener noreferrer\">MeTube</a> allows you to download videos from YouTube and dozens of other sites.\n \n To create a new Proxmox VE MeTube LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update MeTube, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/metube.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 10GB Storage - 1vCPU ⚡</h3>\n \n **MeTube Interface: IP:8081**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Threadfin LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Threadfin/Threadfin/main/html/img/threadfin.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Threadfin LXC </h1>\n \n <a href=\"https://github.com/Threadfin/Threadfin\" target=\"_blank\" rel=\"noopener noreferrer\">Threadfin</a> is a M3U proxy for Kernel, Plex, Jellyfin, or Emby, based on xTeVe.\n \n To create a new Proxmox VE Threadfin LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Threadfin, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/threadfin.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Threadfin Interface: IP:34400/web**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Plex Media Server LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/plex-white.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Plex Media Server LXC </h1>\n <h3 align=\"center\" id=\"heading\">With Privileged/Unprivileged Hardware Acceleration Support</h3>\n \n To create a new Proxmox VE Plex Media Server LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Plex Media Server or add Extras, run the command below (or type update) in the <b>LXC Console</b>.\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/plex.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Plex Media Server Interface: IP:32400/web**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Tautulli LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/tautulli.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Tautulli LXC </h1>\n\n <a href=\"https://tautulli.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Tautulli</a> allows you to monitor and track your Plex Media Server usage, such as viewing statistics and analysis of your media library. It can be used to monitor user activity, get notifications about new media added to your library, and even generate reports on your media usage.\n \n To create a new Proxmox VE Tautulli LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/tautulli.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Tautulli Interface: IP:8181**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Emby Media Server LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/emby/icon.png?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\"> Emby Media Server LXC </h1>\n <h3 align=\"center\" id=\"heading\">With Privileged/Unprivileged Hardware Acceleration Support</h3>\n \n <a href=\"https://emby.media/\" target=\"_blank\" rel=\"noopener noreferrer\">Emby</a> brings together your personal videos, music, photos, and live television.\n \n To create a new Proxmox VE Emby Media Server LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Emby, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/emby.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Emby Media Server Interface: IP:8096**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Jellyfin Media Server LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/jellyfin/icon.png?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\"> Jellyfin Media Server LXC </h1>\n <h3 align=\"center\" id=\"heading\">With Privileged/Unprivileged Hardware Acceleration Support</h3>\n\n To create a new Proxmox VE Jellyfin Media Server LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/jellyfin.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Jellyfin Media Server Interface: IP:8096**\n \n FFmpeg path: <code class=\"highlighter-rouge\">/usr/lib/jellyfin-ffmpeg/ffmpeg</code>`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Jellyseerr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/jellyseerr.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\"> Jellyseerr LXC </h1>\n \n <a href=\"https://github.com/Fallenbagel/jellyseerr\" target=\"_blank\" rel=\"noopener noreferrer\">Jellyseerr</a> is a free and open source software application for managing requests for your media library. It is a a fork of Overseerr built to bring support for Jellyfin & Emby media servers.\n \n To create a new Proxmox VE Jellyseerr LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Jellyseerr, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/jellyseerr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Jellyseerr Interface: IP:5055**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Overseerr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/overseerr.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\"> Overseerr LXC </h1>\n \n <a href=\"https://overseerr.dev/\" target=\"_blank\" rel=\"noopener noreferrer\">Overseerr</a> is a request management and media discovery tool built to work with your existing Plex ecosystem.\n \n To create a new Proxmox VE Overseerr LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Overseerr, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/overseerr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Overseerr Interface: IP:5055**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Ombi LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/ombi.svg\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\"> Ombi LXC </h1>\n \n <a href=\"https://ombi.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Ombi</a> is a self-hosted web application designed to empower shared Plex, Emby or Jellyfin users with automated content request capabilities. By integrating with various TV Show and Movie DVR tools, Ombi ensures a smooth and comprehensive experience for your users, allowing them to effortlessly request content on their own.\n \n To create a new Proxmox VE Ombi LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/ombi.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Ombi Interface: IP:5000**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"ownCloud VM\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/owncloud.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> ownCloud VM </h1>\n\n <a href=\"https://www.turnkeylinux.org/owncloud\" target=\"_blank\" rel=\"noopener noreferrer\">TurnKey ownCloud</a> is an open-source file sharing server and collaboration platform that can store your personal content, like documents and pictures, in a centralized location.\n \n To create a new Proxmox VE TurnKey ownCloud VM, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/vm/owncloud-vm.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3> \n \n **ownCloud Interface: IP**`\n\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Nextcloud LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/nextcloud.svg\" height=\"150\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Nextcloud LXC </h1>\n \n <a href=\"https://github.com/nextcloud/nextcloudpi#features\" target=\"_blank\" rel=\"noopener noreferrer\">NextCloudPi</a> is a popular self-hosted solution for file collaboration and data storage. It is built on the NextCloud software, which is an open-source platform for data management.\n \n To create a new Proxmox VE NextCloudPi LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/nextcloudpi.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n\n **NextCloudPi Interface: (https)IP/**<br><br>\n \n <a href=\"https://nextcloud.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Alpine Nextcloud Hub</a> integrates the four key Nextcloud products Files, Talk, Groupware and Office into a single platform, optimizing the flow of collaboration.\n \n To create a new Proxmox VE Alpine Nextcloud Hub LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Alpine Nextcloud or Show Alpine Nextcloud Login Credentials, run the command below in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qO - https://github.com/tteck/Proxmox/raw/main/ct/alpine-nextcloud.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 2GB Storage - 2vCPU ⚡</h3>\n\n **Alpine Nextcloud Hub Interface: (https)IP/**<br><br>\n \n <a href=\"https://www.turnkeylinux.org/nextcloud\" target=\"_blank\" rel=\"noopener noreferrer\">TurnKey Nextcloud</a> helps store your files, folders, contacts, photo galleries, calendars and more on a server of your choosing. Access that folder from your mobile device, your desktop, or a web browser. Access your data wherever you are, when you need it.\n \n To create a new Proxmox VE TurnKey Nextcloud LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/turnkey/turnkey.sh)\"\n ~~~\n\n **TurnKey Nextcloud Interface: (https)IP/**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Owncast LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/owncast/owncast/develop/web/public/img/favicon/android-icon-144x144.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Owncast LXC </h1>\n \n <a href=\"https://owncast.online/\" target=\"_blank\" rel=\"noopener noreferrer\">Owncast</a> is a free and open source live video and web chat server for use with existing popular broadcasting software.\n \n To create a new Proxmox VE Owncast LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/owncast.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 2GB Storage - 2vCPU ⚡</h3>\n \n **Owncast Interface: IP:8080** <br>\n **Owncast Admin Interface: IP:8080/admin**\n \n ⚙️ **Initial Admin Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">abc123</code>`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"OpenMediaVault LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/openmediavault.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> OpenMediaVault LXC </h1>\n \n <a href=\"https://www.openmediavault.org/\" target=\"_blank\" rel=\"noopener noreferrer\">OpenMediaVault</a> is a next-generation network-attached storage (NAS) solution based on Debian Linux. It provides a web-based interface for managing and storing digital data, making it easy to use and set up. OpenMediaVault supports various storage protocols, including SMB/CIFS, NFS, and FTP, and provides a wide range of features for data management, such as user and group management, disk quotas, and data backup and recovery. The software is designed to be flexible and scalable, making it a valuable solution for both personal and enterprise use. OpenMediaVault provides a stable and reliable platform for managing and storing digital data, making it a popular choice for those who want to host their own data and ensure its security and privacy. With OpenMediaVault, users can access their data from anywhere and easily share it with others, making it a valuable tool for collaboration and data management.\n \n To create a new Proxmox VE OpenMediaVault LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/omv.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **OpenMediaVault Interface: IP**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">openmediavault</code>`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Navidrome LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/navidrome/navidrome/master/resources/logo-192x192.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Navidrome LXC </h1>\n \n <a href=\"https://www.navidrome.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Navidrome</a> is a music server solution that makes your music collection accessible from anywhere. It provides a modern web-based user interface and compatibility with a range of third-party mobile apps for both iOS and Android devices. With Navidrome, users can access their music collection from anywhere, whether at home or on the go. The software supports a variety of music formats, making it easy for users to play their favorite songs and albums. Navidrome provides a simple and user-friendly interface for managing and organizing music collections, making it a valuable tool for music lovers who want to access their music from anywhere. The software is designed to be easy to set up and use, making it a popular choice for those who want to host their own music server and enjoy their music collection from anywhere.\n \n To create a new Proxmox VE Navidrome LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Navidrome, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/navidrome.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n To change Navidrome music folder path, edit: <code class=\"highlighter-rouge\">/var/lib/navidrome/navidrome.toml</code>\n \n **Navidrome Interface: IP:4533**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Petio LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/petio-team/petio/master/frontend/public/p-seamless.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Petio LXC </h1>\n \n <a href=\"https://petio.tv/\" target=\"_blank\" rel=\"noopener noreferrer\">Petio</a> is a third party companion app available to Plex server owners to allow their users to request, review and discover content.\n \n To create a new Proxmox VE Petio LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Petio, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/petio.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Petio Interface: IP:7777**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"PhotoPrism LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/photoprism.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> PhotoPrism LXC </h1>\n \n <a href=\"https://photoprism.app/\" target=\"_blank\" rel=\"noopener noreferrer\">PhotoPrism</a> is an AI-Powered Photos App for the Decentralized Web. It makes use of the latest technologies to tag and find pictures automatically without getting in your way.\n \n To create a new Proxmox VE PhotoPrism LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update PhotoPrism, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/photoprism.sh)\"\n ~~~\n\n Please note that Ubuntu 22.04 and Debian 12 are supported, while older Linux distributions may not be compatible.\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **PhotoPrism Interface: IP:2342**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">changeme</code>\n \n [PhotoSync](https://www.photosync-app.com/home.html)`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Medusa LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://cdn.jsdelivr.net/gh/pymedusa/medusa.github.io@4360d494/images/logo/new-logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Medusa LXC </h1>\n \n <a href=\"https://pymedusa.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Medusa</a> is an automatic Video Library Manager for TV Shows. It watches for new episodes of your favorite shows, and when they are posted it does its magic: automatic torrent/nzb searching, downloading, and processing at the qualities you want.\n \n To create a new Proxmox VE Medusa LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Medusa, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/medusa.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 6GB Storage - 2vCPU ⚡</h3>\n \n **Medusa Interface: IP:8081**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Bazarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://www.bazarr.media/assets/img/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Bazarr LXC </h1>\n \n <a href=\"https://www.bazarr.media/\" target=\"_blank\" rel=\"noopener noreferrer\">Bazarr</a> is a companion application to Sonarr and Radarr that manages and downloads subtitles based on your requirements.\n \n To create a new Proxmox VE Bazarr LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/bazarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Bazarr Interface: IP:6767**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Lidarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Lidarr/Lidarr/develop/Logo/256.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Lidarr LXC </h1>\n \n <a href=\"https://lidarr.audio/\" target=\"_blank\" rel=\"noopener noreferrer\">Lidarr</a> is a music management tool designed for Usenet and BitTorrent users. It allows users to manage and organize their music collection with ease. Lidarr integrates with popular Usenet and BitTorrent clients, such as Sonarr and Radarr, to automate the downloading and organizing of music files. The software provides a web-based interface for managing and organizing music, making it easy to search and find songs, albums, and artists. Lidarr also supports metadata management, including album art, artist information, and lyrics, making it easy for users to keep their music collection organized and up-to-date. The software is designed to be easy to use and provides a simple and intuitive interface for managing and organizing music collections, making it a valuable tool for music lovers who want to keep their collection organized and up-to-date. With Lidarr, users can enjoy their music collection from anywhere, making it a powerful tool for managing and sharing music files.\n \n To create a new Proxmox VE Lidarr LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/lidarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Lidarr Interface: IP:8686**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Prowlarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Prowlarr/Prowlarr/develop/Logo/256.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Prowlarr LXC </h1>\n \n <a href=\"https://github.com/Prowlarr/Prowlarr\" target=\"_blank\" rel=\"noopener noreferrer\">Prowlarr</a> is a software tool designed to integrate with various PVR (Personal Video Recorder) apps. It is built on a popular *arr .net/ReactJS base stack and serves as an indexer manager and proxy. Prowlarr makes it easy to manage and organize TV show and movie collections, by integrating with popular PVR apps and automating the downloading and organizing of media files. The software provides a web-based interface for managing and organizing TV shows and movies, making it easy to search and find content. Prowlarr also supports metadata management, including show and movie information, making it easy for users to keep their media collection organized and up-to-date. The software is designed to be easy to use and provides a simple and intuitive interface for managing and organizing media collections, making it a valuable tool for media enthusiasts who want to keep their collection organized and up-to-date. With Prowlarr, users can enjoy their media collection from anywhere, making it a powerful tool for managing and sharing media files.\n \n To create a new Proxmox VE Prowlarr LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/prowlarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Prowlarr Interface: IP:9696**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Radarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Radarr/Radarr/develop/Logo/256.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Radarr LXC </h1>\n \n <a href=\"https://radarr.video/\" target=\"_blank\" rel=\"noopener noreferrer\">Radarr</a> is a movie management tool designed for Usenet and BitTorrent users. It allows users to manage and organize their movie collection with ease. Radarr integrates with popular Usenet and BitTorrent clients, such as Sonarr and Lidarr, to automate the downloading and organizing of movie files. The software provides a web-based interface for managing and organizing movies, making it easy to search and find titles, genres, and release dates. Radarr also supports metadata management, including movie posters and information, making it easy for users to keep their movie collection organized and up-to-date. The software is designed to be easy to use and provides a simple and intuitive interface for managing and organizing movie collections, making it a valuable tool for movie enthusiasts who want to keep their collection organized and up-to-date. With Radarr, users can enjoy their movie collection from anywhere, making it a powerful tool for managing and sharing movie files.\n \n To create a new Proxmox VE Radarr LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/radarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Radarr Interface: IP:7878**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Readarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Readarr/Readarr/develop/Logo/256.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Readarr LXC </h1>\n \n <a href=\"https://readarr.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Readarr</a> is an eBook and audiobook management tool designed for Usenet and BitTorrent users. It allows users to manage and organize their eBook and audiobook collection with ease. Readarr integrates with popular Usenet and BitTorrent clients, such as Sonarr and Lidarr, to automate the downloading and organizing of eBook and audiobook files. The software provides a web-based interface for managing and organizing eBooks and audiobooks, making it easy to search and find titles, authors, and genres. Readarr also supports metadata management, including cover art and information, making it easy for users to keep their eBook and audiobook collection organized and up-to-date. The software is designed to be easy to use and provides a simple and intuitive interface for managing and organizing eBook and audiobook collections, making it a valuable tool for book and audiobook enthusiasts who want to keep their collection organized and up-to-date. With Readarr, users can enjoy their eBook and audiobook collection from anywhere, making it a powerful tool for managing and sharing book and audiobook files.\n \n To create a new Proxmox VE Radarr LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/readarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Readarr Interface: IP:8787**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Sonarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Sonarr/Sonarr/develop/Logo/256.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Sonarr LXC </h1>\n \n <a href=\"https://sonarr.tv/\" target=\"_blank\" rel=\"noopener noreferrer\">Sonarr</a> is a personal video recorder (PVR) software designed for Usenet and BitTorrent users. It allows users to manage and organize their TV show collection with ease. Sonarr integrates with popular Usenet and BitTorrent clients, such as NZBget and Transmission, to automate the downloading and organizing of TV show files. The software provides a web-based interface for managing and organizing TV shows, making it easy to search and find titles, seasons, and episodes. Sonarr also supports metadata management, including TV show posters and information, making it easy for users to keep their TV show collection organized and up-to-date. The software is designed to be easy to use and provides a simple and intuitive interface for managing and organizing TV show collections, making it a valuable tool for TV show enthusiasts who want to keep their collection organized and up-to-date. With Sonarr, users can enjoy their TV show collection from anywhere, making it a powerful tool for managing and sharing TV show files.\n \n To create a new Proxmox VE Sonarr LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Sonarr, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/sonarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Sonarr Interface: IP:8989**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Unmanic LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Unmanic/unmanic/master/icon.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Unmanic LXC </h1>\n \n <a href=\"https://docs.unmanic.app/\" target=\"_blank\" rel=\"noopener noreferrer\">Unmanic</a> is a simple tool for optimising your file library. You can use it to convert your files into a single, uniform format, manage file movements based on timestamps, or execute custom commands against a file based on its file size.\n \n To create a new Proxmox VE Unmanic LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Unmanic, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/unmanic.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU - Privileged ⚡</h3>\n \n **Unmanic Interface: IP:8888**`\n \n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Tdarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://home.tdarr.io/static/media/logo3-min.246d6df44c7f16ddebaf.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Tdarr LXC </h1>\n \n <a href=\"https://tdarr.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Tdarr</a> is a media transcoding application designed to automate the transcode and remux management of a media library. It uses conditional-based processing to determine the required encoding and remux operations for each file in the library. The software integrates with popular media management tools, such as Sonarr and Radarr, to ensure that newly added media files are automatically processed and optimized for the user's desired playback device. Tdarr provides a web-based interface for monitoring and managing the transcoding process, and also supports real-time logging and reporting. The software is designed to be flexible and configurable, with a wide range of encoding and remux options available to users. Tdarr is an ideal solution for media enthusiasts who want to optimize their library for seamless playback on a variety of devices, while also streamlining the management and maintenance of their media library.\n \n To create a new Proxmox VE Tdarr LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/tdarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Tdarr Interface: IP:8265**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"ErsatzTV LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/ErsatzTV/ErsatzTV/main/artwork/ersatztv-logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">ErsatzTV LXC </h1>\n \n <a href=\"https://ersatztv.org/\" target=\"_blank\" rel=\"noopener noreferrer\">ErsatzTV</a> is software for configuring and streaming custom live channels using your media library.\n \n To create a new Proxmox VE ErsatzTV LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update ErsatzTV, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/ersatztv.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 5GB Storage - 1vCPU ⚡</h3>\n \n **ErsatzTV Interface: IP:8409**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"Whisparr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Whisparr/Whisparr/develop/Logo/256.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Whisparr LXC </h1>\n \n <a href=\"https://github.com/Whisparr/Whisparr\" target=\"_blank\" rel=\"noopener noreferrer\">Whisparr</a> is an adult movie collection manager for Usenet and BitTorrent users.\n \n To create a new Proxmox VE Whisparr LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/whisparr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Whisparr Interface: IP:6969**`\n },\n {\n \"category\": \"Media - Photo\",\n\n \n \"title\": \"MediaMTX LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/bluenviron/mediamtx/main/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">MediaMTX LXC </h1>\n \n <a href=\"https://github.com/bluenviron/mediamtx\" target=\"_blank\" rel=\"noopener noreferrer\">MediaMTX</a> is a ready-to-use SRT / WebRTC / RTSP / RTMP / LL-HLS media server and media proxy that allows you to read, publish, proxy, record and playback video and audio streams.\n \n To create a new Proxmox VE MediaMTX LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/mediamtx.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **MediaMTX Interface: NONE** <a href=\"https://github.com/bluenviron/mediamtx/blob/main/README.md\" target=\"_blank\" rel=\"noopener noreferrer\">Instructions</a>`\n },\n {\n \"category\": \"Media - Photo\",\n\n \"title\": \"Calibre-Web LXC\",\n \"content\": `<p align=\"center\"><img src=\"\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Calibre-Web LXC </h1>\n \n <a href=\"https://github.com/janeczku/calibre-web\" target=\"_blank\" rel=\"noopener noreferrer\">Calibre-Web</a> is a web app for browsing, reading and downloading eBooks stored in a Calibre database.\n \n To create a new Proxmox VE Calibre-Web LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Calibre-Web or Add Calibre-Web Extras, run the command below in the <b>LXC Console</b>\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/calibre-web.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Calibre-Web Interface: IP:8083** <br>\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin123</code>`\n },\n\n\n\n\n\n\n\n\n\n\n/* NVR - DVR */\n {\n \"category\": \"NVR - DVR\",\n\n \"title\": \"Scrypted LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://www.scrypted.app/images/web_hi_res_512.png?raw=true\" height=\"100\"/></p>\n <h1 align=\"center\" id=\"heading\">Scrypted LXC</h1>\n \n <a href=\"https://www.scrypted.app/\" target=\"_blank\" rel=\"noopener noreferrer\">Scrypted</a> focuses on providing a seamless experience for managing and utilizing cameras in a smart home setup. It offers features like camera management, event triggering, video and image storage, and integration with other smart home devices and services. Scrypted is designed to make it easy to set up and use cameras in a home automation system, providing a simple and user-friendly interface for monitoring and automating camera-related tasks.\n \n <h4>🛈 If the LXC is created Privileged, the script will automatically set up USB passthrough.</h4>\n \n To create a new Proxmox VE Scrypted LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/scrypted.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Scrypted Interface: (https)IP:10443**`\n \n }, \n {\n \"category\": \"NVR - DVR\",\n\n \n \"title\": \"Frigate LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/frigate.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Frigate LXC </h1>\n <h3 align=\"center\" id=\"heading\">With Privileged/Unprivileged Hardware Acceleration Support</h3>\n \n <a href=\"https://frigate.video/\" target=\"_blank\" rel=\"noopener noreferrer\">Frigate</a> is an open source NVR built around real-time AI object detection. All processing is performed locally on your own hardware, and your camera feeds never leave your home.\n \n To create a new Proxmox VE Frigate LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/frigate.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 20GB Storage - 4vCPU - Privileged ⚡</h3>\n \n **Frigate Interface: IP:5000**\n \n **go2rtc Interface: IP:1984**`\n },\n {\n \"category\": \"NVR - DVR\",\n\n \"title\": \"AgentDVR LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://ispycontent.azureedge.net/img/ispy2.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> AgentDVR LXC </h1>\n\n <a href=\"https://www.ispyconnect.com/\" target=\"_blank\" rel=\"noopener noreferrer\">AgentDVR</a> a new video surveillance solution for the Internet Of Things.\n \n To create a new Proxmox VE AgentDVR LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/agentdvr.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU - Privileged ⚡</h3>\n \n **AgentDVR Interface: IP:8090**`\n \n },\n {\n \"category\": \"NVR - DVR\",\n\n \"title\": \"Shinobi NVR LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://gitlab.com/uploads/-/system/project/avatar/6947723/mstile-150x150.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Shinobi NVR LXC </h1>\n\n <a href=\"https://shinobi.video/\" target=\"_blank\" rel=\"noopener noreferrer\">Shinobi</a> is an open-source, self-hosted network video recording (NVR) software. It allows you to manage and monitor security cameras and record video footage. Shinobi can be run on various platforms including Linux, macOS, and Raspberry Pi, and offers features such as real-time streaming, motion detection, and email notifications.\n \n To create a new Proxmox VE Shinobi NVR LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Shinobi, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/shinobi.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU - Privileged ⚡</h3>\n \n **Shinobi Interface: IP:8080**\n\n **Shinobi Admin Interface: IP:8080/super**\n \n ⚙️ **Initial Admin Login**\n \n **username** <code class=\"highlighter-rouge\">admin@shinobi.video</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n \n },\n {\n \"category\": \"NVR - DVR\",\n\n \"title\": \"MotionEye NVR LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/motioneye/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> MotionEye NVR LXC </h1>\n\n MotionEye is an open-source, self-hosted network video recording (NVR) software designed to manage and monitor IP cameras. It runs on various platforms such as Linux, Raspberry Pi, and Docker, and offers features such as real-time video streaming, motion detection, and customizable camera views.\n \n To create a new Proxmox VE MotionEye NVR LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update MotionEye, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/motioneye.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **MotionEye Interface: IP:8765**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\"> </code>`\n \n},\n {\n \"category\": \"NVR - DVR\",\n\n \n \"title\": \"Channels DVR Server LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://getchannels.com/a/images/channels-logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\">Channels DVR Server LXC </h1>\n \n <a href=\"https://getchannels.com/dvr-server/\" target=\"_blank\" rel=\"noopener noreferrer\">Channels DVR Server</a> runs on your computer or NAS device at home. There's no cloud to worry about. Your tv shows and movies will always be available.\n \n To create a new Proxmox VE Channels DVR Server LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/channels.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 8GB Storage - 2vCPU - Privileged ⚡</h3>\n \n **Channels DVR Server Interface: IP:8089**`\n },\n\n\n\n\n\n\n\n\n\n\n/* Ad Blocker - DNS */\n {\n \"category\": \"Ad Blocker - DNS\",\n\n \"title\": \"Pi-hole LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/pi_hole/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Pi-hole LXC </h1>\n <h3 align=\"center\" id=\"heading\">With an option to add Unbound</h3> \n <a href=\"https://pi-hole.net/\" target=\"_blank\" rel=\"noopener noreferrer\">Pi-hole</a> is a free, open-source network-level advertisement and Internet tracker blocking application. It runs on a Raspberry Pi or other Linux-based systems and acts as a DNS sinkhole, blocking unwanted traffic before it reaches a user's device. Pi-hole can also function as a DHCP server, providing IP addresses and other network configuration information to devices on a network. The software is highly configurable and supports a wide range of customizations, such as allowing or blocking specific domains, setting up blocklists and whitelists, and customizing the appearance of the web-based interface. The main purpose of Pi-hole is to protect users' privacy and security by blocking unwanted and potentially malicious content, such as ads, trackers, and malware. It is designed to be easy to set up and use, and can be configured through a web-based interface or through a terminal-based command-line interface.\n \n To create a new Proxmox VE Pi-hole LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Pi-hole, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/pihole.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n ⚠️ **Reboot Pi-hole LXC after install**\n \n **Pi-hole Interface: IP/admin**\n \n ⚙️ **To set your password:**\n \n ~~~yaml\n pihole -a -p\n ~~~`\n },\n {\n \"category\": \"Ad Blocker - DNS\",\n\n \"title\": \"Technitium DNS LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://avatars.githubusercontent.com/u/12230362?s=100&v=4\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Technitium DNS LXC </h1>\n\n <a href=\"https://technitium.com/dns/\" target=\"_blank\" rel=\"noopener noreferrer\">Technitium DNS Server</a> is a free, open-source and privacy-focused DNS (Domain Name System) server software for Windows, Linux, and macOS. It is designed to provide a secure, fast, and reliable DNS resolution service to its users. The server can be configured through a web-based interface, and it supports a variety of advanced features, such as automatic IP updates, IPv6 support, caching of DNS queries, and the ability to block unwanted domains. It is also designed to be highly secure, with built-in measures to prevent common types of DNS attacks and data leaks. Technitium DNS Server is aimed at providing an alternative to traditional DNS servers, which often have privacy and security concerns associated with them, and it is ideal for users who are looking for a more secure and private DNS resolution service.\n \n To create a new Proxmox VE Technitium DNS LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Technitium DNS, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/technitiumdns.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Technitium DNS Interface: IP:5380**`\n \n },\n {\n \"category\": \"Ad Blocker - DNS\",\n\n \"title\": \"AdGuard Home LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/home-assistant/brands/blob/master/core_integrations/adguard/icon.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> AdGuard Home LXC </h1>\n\n <a href=\"https://adguard.com/en/adguard-home/overview.html\" target=\"_blank\" rel=\"noopener noreferrer\">AdGuard Home</a> is an open-source, self-hosted network-wide ad blocker. It blocks advertisements, trackers, phishing and malware websites, and provides protection against online threats. AdGuard Home is a DNS-based solution, which means it blocks ads and malicious content at the network level, before it even reaches your device. It runs on your home network and can be easily configured and managed through a web-based interface. It provides detailed statistics and logs, allowing you to see which websites are being blocked, and why. AdGuard Home is designed to be fast, lightweight, and easy to use, making it an ideal solution for home users who want to block ads, protect their privacy, and improve the speed and security of their online experience.\n \n To create a new Proxmox VE AdGuard Home LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Manually Update AdGuard Home, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/adguard.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n **AdGuard Home Setup Interface: IP:3000 (After Setup use only IP)**\n \n <sub>(For the Home Assistant Integration, use port <code class=\"highlighter-rouge\">80</code> not <code class=\"highlighter-rouge\">3000</code>)</sub>`\n \n },\n {\n \"category\": \"Ad Blocker - DNS\",\n\n \"title\": \"Blocky LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/0xERR0R/blocky/main/docs/blocky.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Blocky LXC </h1>\n \n <a href=\"https://0xerr0r.github.io/blocky/\" target=\"_blank\" rel=\"noopener noreferrer\">Blocky</a> is a software tool designed for blocking unwanted ads and trackers on local networks. It functions as a DNS proxy and runs on the Go programming language. Blocky intercepts requests to advertisements and other unwanted content and blocks them before they reach the end user. This results in a cleaner, faster, and more secure online experience for users connected to the local network. Blocky is open-source, easy to configure and can be run on a variety of devices, making it a versatile solution for small to medium-sized local networks.\n \n To create a new Proxmox VE Blocky LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/blocky.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n \n ⚙️ **Blocky Config Path**\n \n ~~~yaml\n /opt/blocky/config.yml\n ~~~`\n },\n\n\n\n\n\n\n\n\n\n\n/* Document - Notes */\n {\n \"category\": \"Document - Notes\",\n\n \"title\": \"Paperless-ngx LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/paperless-ngx/paperless-ngx/blob/main/resources/logo/web/svg/square.svg?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Paperless-ngx LXC </h1>\n \n <a href=\"https://paperless-ngx.readthedocs.io/en/latest/#\" target=\"_blank\" rel=\"noopener noreferrer\">Paperless-ngx</a> is a software tool designed for digitizing and organizing paper documents. It provides a web-based interface for scanning, uploading, and organizing paper documents, making it easier to manage, search, and access important information. Paperless-ngx uses the OCR (Optical Character Recognition) technology to extract text from scanned images and makes it searchable, thus increasing the efficiency of document management.\n \n To create a new Proxmox VE Paperless-ngx LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Paperless-ngx or Show Login Credentials, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/paperless-ngx.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Paperless-ngx Interface: IP:8000**`\n \n },\n {\n \"category\": \"Document - Notes\",\n\n \"title\": \"Stirling-PDF LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Stirling-Tools/Stirling-PDF/main/docs/stirling-pdf.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Stirling-PDF LXC </h1>\n \n <a href=\"https://github.com/Stirling-Tools/Stirling-PDF\" target=\"_blank\" rel=\"noopener noreferrer\">Stirling-PDF</a> is a powerful locally hosted web based PDF manipulation tool that allows you to perform various operations on PDF files, such as splitting merging, converting, reorganizing, adding images, rotating, compressing, and more.\n \n To create a new Proxmox VE Stirling-PDF LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Stirling-PDF, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/stirling-pdf.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Stirling-PDF Interface: IP:8080**`\n \n },\n {\n \"category\": \"Document - Notes\",\n\n \"title\": \"Trilium Next LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/zadam/trilium/master/images/app-icons/png/128x128.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Trilium Next LXC </h1>\n \n <a href=\"https://github.com/TriliumNext/Notes\" target=\"_blank\" rel=\"noopener noreferrer\">Trilium</a> is an open-source note-taking and personal knowledge management application. It allows users to organize and manage their notes, ideas, and information in a single place, using a hierarchical tree-like structure. Trilium offers a range of features, including rich text formatting, links, images, and attachments, making it easy to create and structure notes. The software is designed to be flexible and customizable, with a range of customization options and plugins available, including themes, export options, and more. Trilium is a self-hosted solution, and can be run on a local machine or a cloud-based server, providing users with full control over their notes and information.\n \n To create a new Proxmox VE Trilium Next LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Trilium Next, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/trilium.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Trilium Next Interface: IP:8080**`\n \n },\n {\n \"category\": \"Document - Notes\",\n\n \"title\": \"Wiki.js LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://static.requarks.io/logo/wikijs-butterfly.svg?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Wiki.js LXC </h1>\n \n <a href=\"https://js.wiki/\" target=\"_blank\" rel=\"noopener noreferrer\">Wiki.js</a> is a free, open-source, and modern wiki application built using Node.js. It is designed to be fast, easy to use, and flexible, with a range of features for collaboration, knowledge management, and content creation. Wiki.js supports Markdown syntax for editing pages, and includes features such as version control, page history, and access control, making it easy to manage content and collaborate with others. The software is fully customizable, with a range of themes and extensions available, and can be deployed on a local server or in the cloud, making it an ideal choice for small teams and organizations looking to create and manage a wiki. Wiki.js provides a modern, user-friendly interface, and supports a range of data sources, including local file systems, databases, and cloud storage services. \n \n To create a new Proxmox VE Wiki.js LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Wiki.js, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/wikijs.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Wiki.js Interface: IP:3000**`\n },\n {\n \"category\": \"Document - Notes\",\n\n \n \"title\": \"NocoDB LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/nocodb.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> NocoDB LXC </h1>\n \n <a href=\"https://www.nocodb.com/\" target=\"_blank\" rel=\"noopener noreferrer\">NocoDB</a> is a document-oriented database management system. It uses the NoSQL (Not Only SQL) data model, which allows for more flexible and scalable data storage than traditional relational databases. NoCoDB stores data in JSON format, making it easier to manage and query complex data structures, and supports a range of data types, including strings, numbers, arrays, and objects. The software provides a web-based interface for managing and querying data, and includes features such as real-time data synchronization, auto-indexing, and full-text search. NoCoDB is designed to be scalable, and can be used for a range of applications, from small projects to large enterprise systems. The software is free and open-source, and is designed to be easy to use and integrate with other applications.\n \n To create a new Proxmox VE NocoDB LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update NocoDB, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/nocodb.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **NocoDB Interface: IP:8080/dashboard**`\n \n },\n {\n \"category\": \"Document - Notes\",\n \n \"title\": \"Kavita LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Kareadita/Kavita/develop/Logo/kavita.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"Kavita\"> Kavita LXC </h1>\n \n <a href=\"https://www.kavitareader.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Kavita</a> is a fast, feature rich, cross platform reading server. Built with a focus for manga, and the goal of being a full solution for all your reading needs.\n \n To create a new Proxmox VE Kavita LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Kavita, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/kavita.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Kavita Interface: IP:5000**`\n \n },\n\n\n\n\n\n\n\n\n\n\n/* Dashboards */\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Heimdall Dashboard LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/heimdall.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Heimdall Dashboard LXC </h1>\n \n <a href=\"https://heimdall.site/\" target=\"_blank\" rel=\"noopener noreferrer\">Heimdall Dashboard</a> is a self-hosted, web-based dashboard for managing and monitoring the health of applications and servers. It allows you to keep track of the status of your systems from a single, centralized location, and receive notifications when things go wrong. With Heimdall Dashboard, you have full control over your data and can customize it to meet your specific needs. Self-hosting the dashboard gives you the flexibility to run it on your own infrastructure, making it a suitable solution for organizations that prioritize data security and privacy.\n \n To create a new Proxmox VE Heimdall Dashboard LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Heimdall Dashboard, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/heimdall-dashboard.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Heimdall Dashboard Interface: IP:7990**`\n \n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Homarr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/homarr.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Homarr LXC </h1>\n \n <a href=\"https://homarr.dev/\" target=\"_blank\" rel=\"noopener noreferrer\">Homarr</a> is a sleek, modern dashboard that puts all of your apps and services at your fingertips.\n \n To create a new Proxmox VE Homarr LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Homarr, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/homarr.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Homarr Interface: IP:3000**`\n \n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Linkwarden LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/linkwarden/linkwarden/main/assets/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Linkwarden LXC </h1>\n \n <a href=\"https://linkwarden.app/\" target=\"_blank\" rel=\"noopener noreferrer\">Linkwarden</a> is a fully self-hostable, open-source collaborative bookmark manager to collect, organize and archive webpages.\n \n To create a new Proxmox VE Linkwarden LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/linkwarden.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Linkwarden Interface: IP:3000**\n \n Show Database/Adminer Credentials: <code class=\"highlighter-rouge\">cat linkwarden.creds</code>`\n \n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Homepage LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/benphelps/homepage/raw/main/images/banner_light%402x.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Homepage LXC </h1>\n \n <a href=\"https://github.com/benphelps/homepage\" target=\"_blank\" rel=\"noopener noreferrer\">Homepage</a> is a self-hosted dashboard solution for centralizing and organizing data and information.\n \n To create a new Proxmox VE Homepage LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Homepage, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/homepage.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 3GB Storage - 2vCPU ⚡</h3>\n \n [Configuration](https://gethomepage.dev/latest/configs/) (bookmarks.yaml, services.yaml, widgets.yaml) path: <code class=\"highlighter-rouge\">/opt/homepage/config/</code>\n \n **Homepage Interface: IP:3000**`\n \n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Fenrus LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/revenz/Fenrus/master/wwwroot/fenrus.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Fenrus LXC </h1>\n \n <a href=\"https://github.com/revenz/Fenrus\" target=\"_blank\" rel=\"noopener noreferrer\">Fenrus</a> is a personal home page for quick access to all your personal apps/sites.\n \n To create a new Proxmox VE Fenrus LXC, run the command below in the <b>Proxmox VE Shell</b>.\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/fenrus.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MB RAM - 4GB Storage - 1vCPU ⚡</h3>\n\n **Fenrus Interface: IP:5000**`\n \n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"OliveTin\",\n \"content\": `<p align=\"center\"><img src=\"https://www.olivetin.app/resources/images/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> OliveTin </h1>\n\n <a href=\"https://www.olivetin.app/\" target=\"_blank\" rel=\"noopener noreferrer\">OliveTin</a> provides a secure and straightforward way to execute pre-determined shell commands through a web-based interface.\n \n To Install OliveTin, ⚠️ run the command below in the LXC console.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/olivetin.sh)\"\n ~~~\n \n **OliveTin Interface: IP:1337**\n \n ⚙️ **Config Path**\n \n ~~~yaml\n /etc/OliveTin/config.yaml\n ~~~`\n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Mafl LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/hywax/mafl/main/docs/public/logotype.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Mafl LXC </h1>\n \n <a href=\"https://mafl.hywax.space/\" target=\"_blank\" rel=\"noopener noreferrer\">Mafl</a> is an intuitive service for organizing your homepage. Customize Mafl to your individual needs and work even more efficiently!\n \n To create a new Proxmox VE Mafl LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Mafl, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/mafl.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 6GB Storage - 1vCPU ⚡</h3>\n\n **Mafl Interface: IP:3000**\n\n Services, icons, language and other settings are set in a single config.yml\n ~~~bash\n nano /opt/mafl/data/config.yml\n ~~~`\n \n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Homer LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/bastienwirtz/homer/main/public/assets/icons/logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Homer LXC </h1>\n \n <a href=\"https://github.com/bastienwirtz/homer#---------homer\" target=\"_blank\" rel=\"noopener noreferrer\">Homer</a> is a simple and lightweight static homepage generator that allows you to create and manage a home page for your server. It uses a YAML configuration file to define the layout and content of your homepage, making it easy to set up and customize. The generated homepage is static, meaning it does not require any server-side processing, making it fast and efficient to serve. Homer is designed to be a flexible and low-maintenance solution for organizing and accessing your services and information from a single, centralized location.\n \n To create a new Proxmox VE Homer LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Homer, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/homer.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n **Homer Interface: IP:8010**\n \n ⚙️ **Config Path**\n \n ~~~yaml\n /opt/homer/assets/config.yml\n ~~~`\n \n },\n {\n \"category\": \"Dashboards\",\n\n \"title\": \"Dashy LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/Lissy93/dashy/raw/master/public/web-icons/dashy-logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Dashy LXC </h1>\n \n <a href=\"https://dashy.to/\" target=\"_blank\" rel=\"noopener noreferrer\">Dashy</a> is a solution that helps you organize your self-hosted services by centralizing access to them through a single interface.\n \n To create a new Proxmox VE Dashy LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Dashy, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/dashy.sh)\"\n ~~~\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 6GB Storage - 2vCPU ⚡</h3>\n \n **Dashy Interface: IP:4000**`\n },\n\n\n\n\n\n\n\n\n\n\n/* File - Code */\n {\n \"category\": \"File - Code\",\n\n \"title\": \"File Browser\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/filebrowser.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> File Browser </h1>\n\n <a href=\"https://filebrowser.org/features\" target=\"_blank\" rel=\"noopener noreferrer\">File Browser</a> offers a user-friendly web interface for managing files within a designated directory. It allows you to perform various actions such as uploading, deleting, previewing, renaming, and editing files.\n \n To Install, or uninstall File Browser, ⚠️ run the command below in the <b>LXC console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/filebrowser.sh)\"\n ~~~\n \n **File Browser Interface: IP:8080**\n \n ⚙️ **Initial Login (not required for No Authentication)**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n\n **password** <code class=\"highlighter-rouge\">changeme</code>\n \n ⚙️ **To Update File Browser**\n \n ~~~yaml\n curl -fsSL https://raw.githubusercontent.com/filebrowser/get/master/get.sh | bash\n ~~~`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"SFTPGo LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/drakkan/sftpgo/main/img/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> SFTPGo LXC </h1>\n\n <a href=\"https://github.com/drakkan/sftpgo\" target=\"_blank\" rel=\"noopener noreferrer\">SFTPGo</a> is a fully featured and highly configurable SFTP server with optional HTTP/S, FTP/S and WebDAV support. Several storage backends are supported: local filesystem, encrypted local filesystem, S3 (compatible) Object Storage, Google Cloud Storage, Azure Blob Storage, SFTP.\n \n To create a new Proxmox VE SFTPGo LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/sftpgo.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n\n **SFTPGo Interface: IP:8080/web/admin**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"VS Code Server\",\n \"content\": `<p align=\"center\"><img src=\"https://user-images.githubusercontent.com/674621/71187801-14e60a80-2280-11ea-94c9-e56576f76baf.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> VS Code Server </h1>\n\n <a href=\"https://code.visualstudio.com/docs/remote/vscode-server\" target=\"_blank\" rel=\"noopener noreferrer\">VS Code Server</a> is a service you can run on a remote development machine, like your desktop PC or a virtual machine (VM). It allows you to securely connect to that remote machine from anywhere through a vscode.dev URL, without the requirement of SSH.\n \n To Install VS Code Server, ⚠️ run the command below in the <b>LXC console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/code-server.sh)\"\n ~~~\n \n **VS Code Server Interface: IP:8680**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Deluge LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://dev.deluge-torrent.org/chrome/common/deluge_logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Deluge LXC </h1>\n\n <a href=\"https://www.deluge-torrent.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Deluge</a> is a free, open-source, lightweight BitTorrent client. It supports various platforms including Windows, Linux, and macOS, and offers features such as peer exchange, DHT, and magnet links.\n \n To create a new Proxmox VE Deluge LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/deluge.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n\n ⚙️ **Initial Login**\n\n **password** <code class=\"highlighter-rouge\">deluge</code>\n\n **Deluge Interface: IP:8112**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Forgejo LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/forgejo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Forgejo LXC </h1>\n\n <a href=\"https://forgejo.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Forgejo</a> is an open-source, self-hosted Git service that allows individuals and teams to manage their code repositories.\n \n To create a new Proxmox VE Forgejo LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Forgejo, run the command below (or type update) in the LXC Console.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/forgejo.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 10GB Storage - 2vCPU ⚡</h3>\n\n **Forgejo Interface: IP:3000**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Transmission LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/transmission/transmission/main/web/assets/img/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Transmission LXC </h1>\n\n <a href=\"https://transmissionbt.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Transmission</a> is a free, open-source BitTorrent client known for its fast download speeds and ease of use. It supports various platforms such as Windows, Linux, and macOS and has features like web interface, peer exchange, and encrypted transfers.\n \n To create a new Proxmox VE Transmission LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/transmission.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n\n ⚙️ **Initial Login**\n\n **user/password** <code class=\"highlighter-rouge\">transmission</code>\n\n **Transmission Interface: IP:9091/transmission**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Autobrr LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/autobrr/autobrr/master/.github/images/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Autobrr LXC </h1>\n\n <a href=\"https://autobrr.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Autobrr</a> is a torrent downloading tool that automates the process of downloading torrents. It is designed to be modern and user-friendly, providing users with a convenient and efficient way to download torrent files. With Autobrr, you can schedule and manage your torrent downloads, and have the ability to automatically download torrents based on certain conditions, such as time of day or availability of seeds. This can save you time and effort, allowing you to focus on other tasks while your torrents are being downloaded in the background.\n \n To create a new Proxmox VE Autobrr LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Autobrr, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/autobrr.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n\n **Autobrr Interface: IP:7474**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"PairDrop LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/pairdrop.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> PairDrop LXC </h1>\n\n <a href=\"https://github.com/schlagmichdoch/PairDrop\" target=\"_blank\" rel=\"noopener noreferrer\">PairDrop:</a> Local file sharing in your browser.\n \n To create a new Proxmox VE PairDrop LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update PairDrop, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/pairdrop.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 4GB Storage - 1vCPU ⚡</h3>\n\n **PairDrop Interface: IP:3000**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Aria2 LXC\",\n \"content\": `<p align=\"center\"><img src=\"\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Aria2 LXC </h1>\n \n <h3 align=\"center\" id=\"heading\">Option to add AriaNG (GUI)</h3>\n\n <a href=\"https://aria2.github.io/\" target=\"_blank\" rel=\"noopener noreferrer\">Aria2</a> is a lightweight multi-protocol & multi-source, cross platform download utility operated in command-line. It supports HTTP/HTTPS, FTP, SFTP, BitTorrent and Metalink.\n \n To create a new Proxmox VE Aria2 LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/aria2.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n\n **AriaNG Interface: IP:6880**\n \n Within the LXC console, run <code class=\"highlighter-rouge\">cat rpc.secret</code> to display the rpc-secret. Copy this token and paste it into the Aria2 RPC Secret Token box within the AriaNG Settings. Then, click the reload AriaNG button.`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"qBittorrent LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/qbittorrent/qBittorrent/master/src/icons/qbittorrent.ico\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> qBittorrent LXC </h1>\n\n <a href=\"https://www.qbittorrent.org/\" target=\"_blank\" rel=\"noopener noreferrer\">qBittorrent</a> offers a user-friendly interface that allows users to search for and download torrent files easily. It also supports magnet links, which allow users to start downloading files without the need for a torrent file.\n \n To create a new Proxmox VE qBittorrent LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/qbittorrent.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3> \n \n **qBittorrent Interface: IP:8090**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">changeme</code>`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Real-Debrid Torrent Client LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://fcdn.real-debrid.com/0820/images/logo.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Real-Debrid Torrent Client LXC </h1>\n\n <a href=\"https://github.com/rogerfar/rdt-client\" target=\"_blank\" rel=\"noopener noreferrer\">RDTClient</a> is a web interface to manage your torrents on Real-Debrid, AllDebrid or Premiumize.\n \n To create a new Proxmox VE Real-Debrid Torrent Client LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Real-Debrid Torrent Client, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/rdtclient.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3> \n \n **Real-Debrid Torrent Client Interface: IP:6500**` \n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Jackett LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Jackett/Jackett/master/src/Jackett.Common/Content/jacket_medium.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Jackett LXC </h1>\n\n <a href=\"https://github.com/Jackett/Jackett\" target=\"_blank\" rel=\"noopener noreferrer\">Jackett</a> supports a wide range of trackers, including popular ones like The Pirate Bay, RARBG, and Torrentz2, as well as many private trackers. It can be integrated with several BitTorrent clients, including qBittorrent, Deluge, and uTorrent, among others.\n \n To create a new Proxmox VE Jackett LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/jackett.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n **Jackett Interface: IP:9117**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Pingvin Share LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/stonith404/pingvin-share/blob/main/frontend/public/img/logo.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Pingvin Share LXC </h1>\n\n <a href=\"https://github.com/stonith404/pingvin-share\" target=\"_blank\" rel=\"noopener noreferrer\">Pingvin Share</a> is self-hosted file sharing platform and an alternative for WeTransfer.\n \n To create a new Proxmox VE Pingvin Share LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Pingvin Share, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/pingvin.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3> \n \n **Pingvin Share Interface: IP:3000**`\n\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Gitea LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/gitea.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Gitea LXC </h1>\n\n <a href=\"https://about.gitea.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Gitea</a> is a self-hosted software development service that supports Git repositories, code review, CI/CD, project management and more. It offers flexible deployment options, compatibility with GitHub Actions, and integrations with various tools and databases.\n \n To create a new Proxmox VE Gitea LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Gitea, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/gitea.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 8GB Storage - 1vCPU - SQLite3 ⚡</h3> \n \n **Gitea Interface: IP:3000**`\n\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Gokapi LXC\",\n \"content\": `\n \n <h1 align=\"center\" id=\"heading\"> Gokapi LXC </h1>\n\n <a href=\"https://github.com/Forceu/Gokapi\" target=\"_blank\" rel=\"noopener noreferrer\">Gokapi</a> is a lightweight server to share files, which expire after a set amount of downloads or days.\n \n To create a new Proxmox VE Gokapi LXC, run the command below in the <b>Proxmox VE Shell</b>.<br>\n To Update Gokapi, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/gokapi.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 4GB Storage - 1vCPU ⚡</h3> \n \n **Gokapi Interface: IP:53842/setup**`\n\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"SABnzbd LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/sabnzbd/sabnzbd/develop/icons/logo-arrow.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> SABnzbd LXC </h1>\n\n <a href=\"https://sabnzbd.org/\" target=\"_blank\" rel=\"noopener noreferrer\">SABnzbd</a> is a free, open-source software program for downloading binary files from Usenet newsgroups. It is designed to be easy to use, and provides a number of features to simplify the downloading process, such as automatic error detection and repair, download scheduling, and integration with other applications. SABnzbd is a binary newsreader, which means it is specifically designed for downloading binary files, such as images, music, and video, from Usenet newsgroups. With its user-friendly interface and powerful features, SABnzbd makes it easy to manage your Usenet downloads and keep your download queue organized.\n \n To create a new Proxmox VE SABnzbd LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update SABnzbd, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/sabnzbd.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n\n **SABnzbd Interface: IP:7777**`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Webmin System Administration\",\n \"content\": `<p align=\"center\"><img src=\"https://user-images.githubusercontent.com/4426533/218263860-f7baf9d6-cb19-4ddc-86dc-ac1b7a3c3a8a.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Webmin System Administration </h1>\n \n <a href=\"https://webmin.com/\" target=\"_blank\" rel=\"noopener noreferrer\">Webmin</a> provides a graphical user interface (GUI) for tasks such as user account management, package management, file system configuration, network configuration, and more.\n \n To Install Webmin System Administration, ⚠️ run the command below in the <b>LXC console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/misc/webmin.sh)\"\n ~~~\n \n **Webmin Interface: (https)IP:10000**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">root</code>\n \n **password** <code class=\"highlighter-rouge\">root</code>\n \n ⚙️ **To Update Webmin**\n \n <code class=\"highlighter-rouge\">Update from the Webmin UI</code>\n \n ⚙️ **To Uninstall Webmin**\n \n ~~~yaml\n bash /etc/webmin/uninstall.sh\n ~~~`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Syncthing LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/syncthing/syncthing/6afaa9f20c8eb9c7af5abbe2f2d90fa2571aa7ad/assets/logo-only.svg?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Syncthing LXC </h1>\n \n <a href=\"https://syncthing.net/\" target=\"_blank\" rel=\"noopener noreferrer\">Syncthing</a> is an open-source file syncing tool that allows users to keep their files in sync across multiple devices by using peer-to-peer synchronization. It doesn't rely on any central server, so all data transfers are directly between devices.\n \n To create a new Proxmox VE Syncthing LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/syncthing.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 8GB Storage - 2vCPU ⚡</h3>\n \n **Syncthing Interface: IP:8384**`\n \n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Daemon Sync Server LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://external-content.duckduckgo.com/iu/?u=https%3A%2F%2Fimg.informer.com%2Ficons_mac%2Fpng%2F128%2F350%2F350335.png&f=1&nofb=1\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Daemon Sync Server LXC </h1>\n \n Sync files from app to server, share photos & videos, back up your data and stay secure inside local network.\n \n To create a new Proxmox VE Daemon Sync Server LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/daemonsync.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 8GB Storage - 1vCPU ⚡</h3>\n \n **Daemon Sync Server Interface: IP:8084**\n \n Search: <code class=\"highlighter-rouge\">DAEMON Sync</code> in your favorite app store`\n },\n {\n \"category\": \"File - Code\",\n\n \"title\": \"Kubo LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://user-images.githubusercontent.com/157609/250148884-d6d12db8-fdcf-4be3-8546-2550b69845d8.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Kubo LXC </h1>\n \n <a href=\"https://github.com/ipfs/kubo\" target=\"_blank\" rel=\"noopener noreferrer\">Kubo</a> was the first IPFS implementation and is the most widely used one today. Implementing the Interplanetary Filesystem - the Web3 standard for content-addressing, interoperable with HTTP. Thus powered by IPLD's data models and the libp2p for network communication. Kubo is written in Go.\n \n To create a new Proxmox VE Kubo LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update kubo, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/kubo.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 4GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **Kubo Interface: IP:5001/webui**`\n },\n\n\n\n\n\n\n\n\n\n/* Miscellaneous */\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Tandoor Recipes LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/tandoor.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Tandoor Recipes LXC </h1>\n \n <a href=\"https://tandoor.dev/\" target=\"_blank\" rel=\"noopener noreferrer\">Tandoor Recipes</a> is an application for managing recipes, planning meals, building shopping lists and much much more!\n \n To create a new Proxmox VE Tandoor Recipes LXC, run the following in the <b>Proxmox VE Shell</b>.<br>\n To Update Tandoor Recipes, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/tandoor.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 10GB Storage - 2vCPU ⚡</h3>\n \n **Tandoor Recipes Interface - IP:8002**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"FlowiseAI LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://flowiseai.com/_ipx/w_256,q_75/_next/static/media/logo-color-high.e60de2f8.png\n \" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> FlowiseAI LXC </h1>\n \n <a href=\"https://flowiseai.com/\" target=\"_blank\" rel=\"noopener noreferrer\">FlowiseAI</a> is an open source low-code tool for developers to build customized LLM orchestration flow & AI agents\n \n To create a new Proxmox VE FlowiseAI LXC, run the following in the <b>Proxmox VE Shell</b>.<br>\n To Update FlowiseAI, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/flowiseai.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 10GB Storage - 2vCPU ⚡</h3>\n \n **FlowiseAI Interface - IP:3000**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"OctoPrint LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/octoprint.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> OctoPrint LXC </h1>\n \n <a href=\"https://octoprint.org/\" target=\"_blank\" rel=\"noopener noreferrer\">OctoPrint</a> is a free and open-source web-based 3D printer control software that allows you to remotely control and monitor your 3D printer from a web interface. It was designed to be compatible with a wide range of 3D printers.\n \n To create a new Proxmox VE OctoPrint LXC, run the following in the <b>Proxmox VE Shell</b>.<br>\n To Update OctoPrint, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/octoprint.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU - Privileged ⚡</h3>\n \n **OctoPrint Interface - IP:5000**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Spoolman LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Donkie/Spoolman/master/client/public/favicon.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Spoolman LXC </h1>\n \n <a href=\"https://github.com/Donkie/Spoolman\" target=\"_blank\" rel=\"noopener noreferrer\">Spoolman</a> is a self-hosted web service designed to help you efficiently manage your 3D printer filament spools and monitor their usage.\n \n To create a new Proxmox VE Spoolman LXC, run the following in the <b>Proxmox VE Shell</b>.<br>\n To Update Spoolman, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/spoolman.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Spoolman Interface - IP:7912**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Vaultwarden LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/dani-garcia/vaultwarden/main/resources/vaultwarden-icon-white.svg\" width=\"100\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Vaultwarden LXC </h1>\n \n <a href=\"https://www.vaultwarden.net/\" target=\"_blank\" rel=\"noopener noreferrer\">Vaultwarden</a> is a self-hosted password manager which provides secure and encrypted password storage. It uses client-side encryption and provides access to passwords through a web interface and mobile apps.\n \n To create a new Proxmox VE Vaultwarden LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Vaultwarden, Web-Vault or Set the Admin Token, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/vaultwarden.sh)\"\n ~~~\n <h4>⚠️ Vaultwarden needs to be behind a proxy (Nginx Proxy Manager) to obtain HTTPS and to allow clients to connect.</h4>\n \n The script builds from source, which takes time and resources. After the build, the script will automatically set resources to Normal Settings. \n \n <h3 align=\"center\" id=\"heading\">⚡ Build Settings: 4GB RAM - 6GB Storage - 4vCPU ⚡</h3>\n <h3 align=\"center\" id=\"heading\">⚡ Normal Settings: 512Mib RAM - 6GB Storage - 1vCPU ⚡</h3>\n\n As an alternative option, you can use Alpine Linux and the Vaultwarden package to create a Vaultwarden LXC container with significantly faster creation time and minimal system resource usage. <br>\n \n To create a new Proxmox VE Alpine-Vaultwarden LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Alpine-Vaultwarden, or Set the Admin Token, run the command below in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qO - https://github.com/tteck/Proxmox/raw/main/ct/alpine-vaultwarden.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 256Mib RAM - 300MiB Storage - 1vCPU ⚡</h3>\n\n **Vaultwarden Interface: IP:8000**\n \n **Vaultwarden Admin Interface: IP:8000/admin**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n \n \"title\": \"Actual Budget LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/actualbudget/actual/master/packages/desktop-client/public/maskable-512x512.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Actual Budget LXC </h1>\n \n <a href=\"https://actualbudget.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Actual Budget</a> is a super fast and privacy-focused app for managing your finances. At its heart is the well proven and much loved Envelope Budgeting methodology.\n \n To create a new Proxmox VE Actual Budget LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Actual Budget, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/actualbudget.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 4GB Storage - 1vCPU ⚡</h3>\n \n **Actual Budget Interface: IP:5006** <a href=\"https://github.com/tteck/Proxmox/discussions/2727\" target=\"_blank\" rel=\"noopener noreferrer\">More Info</a>`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"CommaFeed LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/Athou/commafeed/master/commafeed-client/public/app-icon-144.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> CommaFeed LXC </h1>\n \n <a href=\"https://www.commafeed.com/\" target=\"_blank\" rel=\"noopener noreferrer\">CommaFeed</a> is a Google Reader inspired self-hosted RSS reader.\n \n To create a new Proxmox VE CommaFeed LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update CommaFeed, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/commafeed.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n\n **CommaFeed Interface: IP:8082**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Traccar LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://avatars.githubusercontent.com/u/37892282?s=100&v=4\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Traccar LXC </h1>\n \n <a href=\"https://www.traccar.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Traccar</a> is an open source GPS tracking system. It supports more than 200 GPS protocols and more than 2000 models of GPS tracking devices.\n \n To create a new Proxmox VE Traccar LXC, run the command below in the <b>Proxmox VE Shell</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/traccar.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 1GB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Traccar Interface: IP:8082**`\n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Readeck LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://codeberg.org/readeck/readeck/raw/branch/main/web/media/logo-square.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Readeck LXC </h1>\n \n <a href=\"https://readeck.org/\" target=\"_blank\" rel=\"noopener noreferrer\">Readeck</a> helps you keep all that web content you’ll want to revisit in an hour, tomorrow, or in 20 years.\n \n To create a new Proxmox VE Readeck LXC, run the following in the <b>Proxmox VE Shell</b>. <br>\n To Update Readeck, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/readeck.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **Readeck Interface - IP:8000**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"grocy LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://grocy.info/img/grocy_logo.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> grocy LXC </h1>\n \n <a href=\"https://grocy.info/\" target=\"_blank\" rel=\"noopener noreferrer\">grocy</a> is a web-based self-hosted groceries & household management solution for your home. It helps you keep track of your groceries and household items, manage your shopping list, and keep track of your pantry, recipes, meal plans, and more.\n \n To create a new Proxmox VE grocy LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update grocy, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/grocy.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **grocy Interface: IP**\n \n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"MagicMirror Server LXC\",\n \n \"content\": `<p align=\"center\"><img src=\"https://github.com/MichMich/MagicMirror/raw/master/.github/header.png\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> MagicMirror Server LXC </h1>\n \n <a href=\"https://docs.magicmirror.builders/\" target=\"_blank\" rel=\"noopener noreferrer\">MagicMirror²</a> is a smart mirror software that allows you to build your own personal smart mirror. It uses modular components that you can customize to display information such as the weather, news, calendar, to-do list, and more. The platform is open source, allowing for community contributions and customization.\n \n To create a new MagicMirror Server LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update MagicMirror, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/magicmirror.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 3GB Storage - 1vCPU ⚡</h3>\n \n **MagicMirror Interface: IP:8080**\n \n ⚙️ **[Configuration](https://docs.magicmirror.builders/configuration/introduction.html#configuring-your-magicmirror)**\n ~~~yaml\n /opt/magicmirror/config/config.js\n ~~~`\n\n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"TasmoAdmin LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/tasmota.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> TasmoAdmin LXC </h1>\n \n <a href=\"https://github.com/TasmoAdmin/TasmoAdmin#readme\" target=\"_blank\" rel=\"noopener noreferrer\">TasmoAdmin</a> is an administrative platform for devices flashed with Tasmota.\n \n To create a new Proxmox VE TasmoAdmin LXC, run the following in the <b>Proxmox VE Shell</b>. <br>\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/tasmoadmin.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n \n **TasmoAdmin Interface - IP:9999**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"RTSPtoWeb LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://brands.home-assistant.io/_/rtsp_to_webrtc/logo.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> RTSPtoWeb LXC </h1>\n \n <a href=\"https://github.com/deepch/RTSPtoWeb\" target=\"_blank\" rel=\"noopener noreferrer\">RTSPtoWeb</a> converts your RTSP streams to formats consumable in a web browser like MSE (Media Source Extensions), WebRTC, or HLS. It's fully native Golang without the use of FFmpeg or GStreamer\n \n To create a new Proxmox VE RTSPtoWeb LXC, run the following in the <b>Proxmox VE Shell</b>. <br>\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/rtsptoweb.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **RSTPtoWEB Interface - IP:8083**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"go2rtc LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/AlexxIT/go2rtc/blob/master/assets/logo.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> go2rtc LXC </h1>\n \n <a href=\"https://github.com/AlexxIT/go2rtc\" target=\"_blank\" rel=\"noopener noreferrer\">go2rtc</a> is the ultimate camera streaming application with support RTSP, WebRTC, HomeKit, FFmpeg, RTMP, etc.\n \n To create a new Proxmox VE go2rtc LXC, run the following in the <b>Proxmox VE Shell</b>. <br>\n To Update go2rtc, run the command below (or type update) in the <b>LXC Console</b>.\n \n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/go2rtc.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n \n **go2rtc Interface - IP:1984**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Gotify LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/gotify.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Gotify LXC </h1>\n \n <a href=\"https://gotify.net/\" target=\"_blank\" rel=\"noopener noreferrer\">Gotify</a> is a simple server for sending and receiving messages\n \n To create a new Proxmox VE Gotify LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/gotify.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n **Gotify Interface: IP**\n\n ⚙️ **Initial Login**\n \n **username** <code class=\"highlighter-rouge\">admin</code>\n \n **password** <code class=\"highlighter-rouge\">admin</code>`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"ntfy LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/loganmarchione/homelab-svg-assets/main/assets/ntfy.svg\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> ntfy LXC </h1>\n \n <a href=\"https://ntfy.sh/\" target=\"_blank\" rel=\"noopener noreferrer\">ntfy</a> (pronounced notify) is a simple HTTP-based pub-sub notification service. It allows you to send notifications to your phone or desktop via scripts from any computer, and/or using a REST API. It's infinitely flexible, and 100% free software.\n \n To create a new Proxmox VE ntfy LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/ntfy.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n **ntfy Interface: IP**`\n \n },\n {\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Whoogle LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/tteck/Proxmox/blob/main/misc/images/whoogle.png?raw=true\" height=\"100\"/></p>\n \n <h1 align=\"center\" id=\"heading\"> Whoogle LXC </h1>\n \n Get Google search results, but without any ads, javascript, AMP links, cookies, or IP address tracking.\n \n To create a new Proxmox VE Whoogle LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Whoogle, run the command below (or type update) in the <b>LXC Console</b>.\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/whoogle.sh)\"\n ~~~\n \n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n **Whoogle Interface: IP:5000**`\n \n},\n{\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Hyperion LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://github.com/hyperion-project/hyperion.ng/raw/master/doc/logo_dark.png?raw=true\" height=\"100\"/></p>\n\n <h1 align=\"center\" id=\"heading\"> Hyperion LXC </h1>\n\n Hyperion is an opensource Ambient Lighting implementation. It supports many LED devices and video grabbers.\n\n To create a new Proxmox VE Hyperion LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Hyperion, run the command below (or type update) in the <b>LXC Console</b>.\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/hyperion.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 512MiB RAM - 2GB Storage - 1vCPU ⚡</h3>\n\n **Hyperion Interface: IP:8090**`\n\n},\n{\n \"category\": \"Miscellaneous\",\n\n \"title\": \"HyperHDR LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/awawa-dev/HyperHDR/master/resources/icons/hyperhdr-icon-256px.png\" height=\"100\"/></p>\n\n <h1 align=\"center\" id=\"heading\"> HyperHDR LXC </h1>\n\n <a href=\"https://github.com/awawa-dev/HyperHDR\" target=\"_blank\" rel=\"noopener noreferrer\">HyperHDR</a> is a highly optimized open source ambient lighting implementation based on modern digital video and audio stream analysis.\n\n To create a new Proxmox VE HyperHDR LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/hyperhdr.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU - Privileged ⚡</h3>\n\n **HyperHDR Interface: IP:8090**`\n\n},\n{\n \"category\": \"Miscellaneous\",\n\n \"title\": \"Wastebin LXC\",\n \"content\": `<p align=\"center\"><img src=\"https://raw.githubusercontent.com/matze/wastebin/master/assets/favicon.png?raw=true\" height=\"100\"/></p>\n\n <h1 align=\"center\" id=\"heading\"> Wastebin LXC </h1>\n\n <a href=\"https://github.com/matze/wastebin\" target=\"_blank\" rel=\"noopener noreferrer\">Wastebin</a> is a minimal pastebin with a design shamelessly copied from bin.\n\n To create a new Proxmox VE Wastebin LXC, run the command below in the <b>Proxmox VE Shell</b>. <br>\n To Update Wastebin, run the command below (or type update) in the <b>LXC Console</b>.\n\n ~~~bash\n bash -c \"$(wget -qLO - https://github.com/tteck/Proxmox/raw/main/ct/wastebin.sh)\"\n ~~~\n\n <h3 align=\"center\" id=\"heading\">⚡ Default Settings: 2GB RAM - 4GB Storage - 2vCPU ⚡</h3>\n\n **Wastebin Interface: IP:8088**`\n\n}\n\n ]\n}\n \nexport default data;\n","/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('unist').Point} Point\n * @typedef {import('unist').Position} Position\n */\n\n/**\n * @typedef NodeLike\n * @property {string} type\n * @property {PositionLike | null | undefined} [position]\n *\n * @typedef PositionLike\n * @property {PointLike | null | undefined} [start]\n * @property {PointLike | null | undefined} [end]\n *\n * @typedef PointLike\n * @property {number | null | undefined} [line]\n * @property {number | null | undefined} [column]\n * @property {number | null | undefined} [offset]\n */\n\n/**\n * Serialize the positional info of a point, position (start and end points),\n * or node.\n *\n * @param {Node | NodeLike | Position | PositionLike | Point | PointLike | null | undefined} [value]\n * Node, position, or point.\n * @returns {string}\n * Pretty printed positional info of a node (`string`).\n *\n * In the format of a range `ls:cs-le:ce` (when given `node` or `position`)\n * or a point `l:c` (when given `point`), where `l` stands for line, `c` for\n * column, `s` for `start`, and `e` for end.\n * An empty string (`''`) is returned if the given value is neither `node`,\n * `position`, nor `point`.\n */\nexport function stringifyPosition(value) {\n // Nothing.\n if (!value || typeof value !== 'object') {\n return ''\n }\n\n // Node.\n if ('position' in value || 'type' in value) {\n return position(value.position)\n }\n\n // Position.\n if ('start' in value || 'end' in value) {\n return position(value)\n }\n\n // Point.\n if ('line' in value || 'column' in value) {\n return point(value)\n }\n\n // ?\n return ''\n}\n\n/**\n * @param {Point | PointLike | null | undefined} point\n * @returns {string}\n */\nfunction point(point) {\n return index(point && point.line) + ':' + index(point && point.column)\n}\n\n/**\n * @param {Position | PositionLike | null | undefined} pos\n * @returns {string}\n */\nfunction position(pos) {\n return point(pos && pos.start) + '-' + point(pos && pos.end)\n}\n\n/**\n * @param {number | null | undefined} value\n * @returns {number}\n */\nfunction index(value) {\n return value && typeof value === 'number' ? value : 1\n}\n","/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('unist').Position} Position\n * @typedef {import('unist').Point} Point\n * @typedef {object & {type: string, position?: Position | undefined}} NodeLike\n */\n\nimport {stringifyPosition} from 'unist-util-stringify-position'\n\n/**\n * Message.\n */\nexport class VFileMessage extends Error {\n /**\n * Create a message for `reason` at `place` from `origin`.\n *\n * When an error is passed in as `reason`, the `stack` is copied.\n *\n * @param {string | Error | VFileMessage} reason\n * Reason for message, uses the stack and message of the error if given.\n *\n * > 👉 **Note**: you should use markdown.\n * @param {Node | NodeLike | Position | Point | null | undefined} [place]\n * Place in file where the message occurred.\n * @param {string | null | undefined} [origin]\n * Place in code where the message originates (example:\n * `'my-package:my-rule'` or `'my-rule'`).\n * @returns\n * Instance of `VFileMessage`.\n */\n // To do: next major: expose `undefined` everywhere instead of `null`.\n constructor(reason, place, origin) {\n /** @type {[string | null, string | null]} */\n const parts = [null, null]\n /** @type {Position} */\n let position = {\n // @ts-expect-error: we always follows the structure of `position`.\n start: {line: null, column: null},\n // @ts-expect-error: \"\n end: {line: null, column: null}\n }\n\n super()\n\n if (typeof place === 'string') {\n origin = place\n place = undefined\n }\n\n if (typeof origin === 'string') {\n const index = origin.indexOf(':')\n\n if (index === -1) {\n parts[1] = origin\n } else {\n parts[0] = origin.slice(0, index)\n parts[1] = origin.slice(index + 1)\n }\n }\n\n if (place) {\n // Node.\n if ('type' in place || 'position' in place) {\n if (place.position) {\n // To do: next major: deep clone.\n // @ts-expect-error: looks like a position.\n position = place.position\n }\n }\n // Position.\n else if ('start' in place || 'end' in place) {\n // @ts-expect-error: looks like a position.\n // To do: next major: deep clone.\n position = place\n }\n // Point.\n else if ('line' in place || 'column' in place) {\n // To do: next major: deep clone.\n position.start = place\n }\n }\n\n // Fields from `Error`.\n /**\n * Serialized positional info of error.\n *\n * On normal errors, this would be something like `ParseError`, buit in\n * `VFile` messages we use this space to show where an error happened.\n */\n this.name = stringifyPosition(place) || '1:1'\n\n /**\n * Reason for message.\n *\n * @type {string}\n */\n this.message = typeof reason === 'object' ? reason.message : reason\n\n /**\n * Stack of message.\n *\n * This is used by normal errors to show where something happened in\n * programming code, irrelevant for `VFile` messages,\n *\n * @type {string}\n */\n this.stack = ''\n\n if (typeof reason === 'object' && reason.stack) {\n this.stack = reason.stack\n }\n\n /**\n * Reason for message.\n *\n * > 👉 **Note**: you should use markdown.\n *\n * @type {string}\n */\n this.reason = this.message\n\n /* eslint-disable no-unused-expressions */\n /**\n * State of problem.\n *\n * * `true` — marks associated file as no longer processable (error)\n * * `false` — necessitates a (potential) change (warning)\n * * `null | undefined` — for things that might not need changing (info)\n *\n * @type {boolean | null | undefined}\n */\n this.fatal\n\n /**\n * Starting line of error.\n *\n * @type {number | null}\n */\n this.line = position.start.line\n\n /**\n * Starting column of error.\n *\n * @type {number | null}\n */\n this.column = position.start.column\n\n /**\n * Full unist position.\n *\n * @type {Position | null}\n */\n this.position = position\n\n /**\n * Namespace of message (example: `'my-package'`).\n *\n * @type {string | null}\n */\n this.source = parts[0]\n\n /**\n * Category of message (example: `'my-rule'`).\n *\n * @type {string | null}\n */\n this.ruleId = parts[1]\n\n /**\n * Path of a file (used throughout the `VFile` ecosystem).\n *\n * @type {string | null}\n */\n this.file\n\n // The following fields are “well known”.\n // Not standard.\n // Feel free to add other non-standard fields to your messages.\n\n /**\n * Specify the source value that’s being reported, which is deemed\n * incorrect.\n *\n * @type {string | null}\n */\n this.actual\n\n /**\n * Suggest acceptable values that can be used instead of `actual`.\n *\n * @type {Array<string> | null}\n */\n this.expected\n\n /**\n * Link to docs for the message.\n *\n * > 👉 **Note**: this must be an absolute URL that can be passed as `x`\n * > to `new URL(x)`.\n *\n * @type {string | null}\n */\n this.url\n\n /**\n * Long form description of the message (you should use markdown).\n *\n * @type {string | null}\n */\n this.note\n /* eslint-enable no-unused-expressions */\n }\n}\n\nVFileMessage.prototype.file = ''\nVFileMessage.prototype.name = ''\nVFileMessage.prototype.reason = ''\nVFileMessage.prototype.message = ''\nVFileMessage.prototype.stack = ''\nVFileMessage.prototype.fatal = null\nVFileMessage.prototype.column = null\nVFileMessage.prototype.line = null\nVFileMessage.prototype.source = null\nVFileMessage.prototype.ruleId = null\nVFileMessage.prototype.position = null\n","// A derivative work based on:\n// <https://github.com/browserify/path-browserify>.\n// Which is licensed:\n//\n// MIT License\n//\n// Copyright (c) 2013 James Halliday\n//\n// Permission is hereby granted, free of charge, to any person obtaining a copy of\n// this software and associated documentation files (the \"Software\"), to deal in\n// the Software without restriction, including without limitation the rights to\n// use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of\n// the Software, and to permit persons to whom the Software is furnished to do so,\n// subject to the following conditions:\n//\n// The above copyright notice and this permission notice shall be included in all\n// copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS\n// FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR\n// COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER\n// IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN\n// CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n// A derivative work based on:\n//\n// Parts of that are extracted from Node’s internal `path` module:\n// <https://github.com/nodejs/node/blob/master/lib/path.js>.\n// Which is licensed:\n//\n// Copyright Joyent, Inc. and other Node contributors.\n//\n// Permission is hereby granted, free of charge, to any person obtaining a\n// copy of this software and associated documentation files (the\n// \"Software\"), to deal in the Software without restriction, including\n// without limitation the rights to use, copy, modify, merge, publish,\n// distribute, sublicense, and/or sell copies of the Software, and to permit\n// persons to whom the Software is furnished to do so, subject to the\n// following conditions:\n//\n// The above copyright notice and this permission notice shall be included\n// in all copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS\n// OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF\n// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN\n// NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM,\n// DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR\n// OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE\n// USE OR OTHER DEALINGS IN THE SOFTWARE.\n\nexport const path = {basename, dirname, extname, join, sep: '/'}\n\n/* eslint-disable max-depth, complexity */\n\n/**\n * Get the basename from a path.\n *\n * @param {string} path\n * File path.\n * @param {string | undefined} [ext]\n * Extension to strip.\n * @returns {string}\n * Stem or basename.\n */\nfunction basename(path, ext) {\n if (ext !== undefined && typeof ext !== 'string') {\n throw new TypeError('\"ext\" argument must be a string')\n }\n\n assertPath(path)\n let start = 0\n let end = -1\n let index = path.length\n /** @type {boolean | undefined} */\n let seenNonSlash\n\n if (ext === undefined || ext.length === 0 || ext.length > path.length) {\n while (index--) {\n if (path.charCodeAt(index) === 47 /* `/` */) {\n // If we reached a path separator that was not part of a set of path\n // separators at the end of the string, stop now.\n if (seenNonSlash) {\n start = index + 1\n break\n }\n } else if (end < 0) {\n // We saw the first non-path separator, mark this as the end of our\n // path component.\n seenNonSlash = true\n end = index + 1\n }\n }\n\n return end < 0 ? '' : path.slice(start, end)\n }\n\n if (ext === path) {\n return ''\n }\n\n let firstNonSlashEnd = -1\n let extIndex = ext.length - 1\n\n while (index--) {\n if (path.charCodeAt(index) === 47 /* `/` */) {\n // If we reached a path separator that was not part of a set of path\n // separators at the end of the string, stop now.\n if (seenNonSlash) {\n start = index + 1\n break\n }\n } else {\n if (firstNonSlashEnd < 0) {\n // We saw the first non-path separator, remember this index in case\n // we need it if the extension ends up not matching.\n seenNonSlash = true\n firstNonSlashEnd = index + 1\n }\n\n if (extIndex > -1) {\n // Try to match the explicit extension.\n if (path.charCodeAt(index) === ext.charCodeAt(extIndex--)) {\n if (extIndex < 0) {\n // We matched the extension, so mark this as the end of our path\n // component\n end = index\n }\n } else {\n // Extension does not match, so our result is the entire path\n // component\n extIndex = -1\n end = firstNonSlashEnd\n }\n }\n }\n }\n\n if (start === end) {\n end = firstNonSlashEnd\n } else if (end < 0) {\n end = path.length\n }\n\n return path.slice(start, end)\n}\n\n/**\n * Get the dirname from a path.\n *\n * @param {string} path\n * File path.\n * @returns {string}\n * File path.\n */\nfunction dirname(path) {\n assertPath(path)\n\n if (path.length === 0) {\n return '.'\n }\n\n let end = -1\n let index = path.length\n /** @type {boolean | undefined} */\n let unmatchedSlash\n\n // Prefix `--` is important to not run on `0`.\n while (--index) {\n if (path.charCodeAt(index) === 47 /* `/` */) {\n if (unmatchedSlash) {\n end = index\n break\n }\n } else if (!unmatchedSlash) {\n // We saw the first non-path separator\n unmatchedSlash = true\n }\n }\n\n return end < 0\n ? path.charCodeAt(0) === 47 /* `/` */\n ? '/'\n : '.'\n : end === 1 && path.charCodeAt(0) === 47 /* `/` */\n ? '//'\n : path.slice(0, end)\n}\n\n/**\n * Get an extname from a path.\n *\n * @param {string} path\n * File path.\n * @returns {string}\n * Extname.\n */\nfunction extname(path) {\n assertPath(path)\n\n let index = path.length\n\n let end = -1\n let startPart = 0\n let startDot = -1\n // Track the state of characters (if any) we see before our first dot and\n // after any path separator we find.\n let preDotState = 0\n /** @type {boolean | undefined} */\n let unmatchedSlash\n\n while (index--) {\n const code = path.charCodeAt(index)\n\n if (code === 47 /* `/` */) {\n // If we reached a path separator that was not part of a set of path\n // separators at the end of the string, stop now.\n if (unmatchedSlash) {\n startPart = index + 1\n break\n }\n\n continue\n }\n\n if (end < 0) {\n // We saw the first non-path separator, mark this as the end of our\n // extension.\n unmatchedSlash = true\n end = index + 1\n }\n\n if (code === 46 /* `.` */) {\n // If this is our first dot, mark it as the start of our extension.\n if (startDot < 0) {\n startDot = index\n } else if (preDotState !== 1) {\n preDotState = 1\n }\n } else if (startDot > -1) {\n // We saw a non-dot and non-path separator before our dot, so we should\n // have a good chance at having a non-empty extension.\n preDotState = -1\n }\n }\n\n if (\n startDot < 0 ||\n end < 0 ||\n // We saw a non-dot character immediately before the dot.\n preDotState === 0 ||\n // The (right-most) trimmed path component is exactly `..`.\n (preDotState === 1 && startDot === end - 1 && startDot === startPart + 1)\n ) {\n return ''\n }\n\n return path.slice(startDot, end)\n}\n\n/**\n * Join segments from a path.\n *\n * @param {Array<string>} segments\n * Path segments.\n * @returns {string}\n * File path.\n */\nfunction join(...segments) {\n let index = -1\n /** @type {string | undefined} */\n let joined\n\n while (++index < segments.length) {\n assertPath(segments[index])\n\n if (segments[index]) {\n joined =\n joined === undefined ? segments[index] : joined + '/' + segments[index]\n }\n }\n\n return joined === undefined ? '.' : normalize(joined)\n}\n\n/**\n * Normalize a basic file path.\n *\n * @param {string} path\n * File path.\n * @returns {string}\n * File path.\n */\n// Note: `normalize` is not exposed as `path.normalize`, so some code is\n// manually removed from it.\nfunction normalize(path) {\n assertPath(path)\n\n const absolute = path.charCodeAt(0) === 47 /* `/` */\n\n // Normalize the path according to POSIX rules.\n let value = normalizeString(path, !absolute)\n\n if (value.length === 0 && !absolute) {\n value = '.'\n }\n\n if (value.length > 0 && path.charCodeAt(path.length - 1) === 47 /* / */) {\n value += '/'\n }\n\n return absolute ? '/' + value : value\n}\n\n/**\n * Resolve `.` and `..` elements in a path with directory names.\n *\n * @param {string} path\n * File path.\n * @param {boolean} allowAboveRoot\n * Whether `..` can move above root.\n * @returns {string}\n * File path.\n */\nfunction normalizeString(path, allowAboveRoot) {\n let result = ''\n let lastSegmentLength = 0\n let lastSlash = -1\n let dots = 0\n let index = -1\n /** @type {number | undefined} */\n let code\n /** @type {number} */\n let lastSlashIndex\n\n while (++index <= path.length) {\n if (index < path.length) {\n code = path.charCodeAt(index)\n } else if (code === 47 /* `/` */) {\n break\n } else {\n code = 47 /* `/` */\n }\n\n if (code === 47 /* `/` */) {\n if (lastSlash === index - 1 || dots === 1) {\n // Empty.\n } else if (lastSlash !== index - 1 && dots === 2) {\n if (\n result.length < 2 ||\n lastSegmentLength !== 2 ||\n result.charCodeAt(result.length - 1) !== 46 /* `.` */ ||\n result.charCodeAt(result.length - 2) !== 46 /* `.` */\n ) {\n if (result.length > 2) {\n lastSlashIndex = result.lastIndexOf('/')\n\n if (lastSlashIndex !== result.length - 1) {\n if (lastSlashIndex < 0) {\n result = ''\n lastSegmentLength = 0\n } else {\n result = result.slice(0, lastSlashIndex)\n lastSegmentLength = result.length - 1 - result.lastIndexOf('/')\n }\n\n lastSlash = index\n dots = 0\n continue\n }\n } else if (result.length > 0) {\n result = ''\n lastSegmentLength = 0\n lastSlash = index\n dots = 0\n continue\n }\n }\n\n if (allowAboveRoot) {\n result = result.length > 0 ? result + '/..' : '..'\n lastSegmentLength = 2\n }\n } else {\n if (result.length > 0) {\n result += '/' + path.slice(lastSlash + 1, index)\n } else {\n result = path.slice(lastSlash + 1, index)\n }\n\n lastSegmentLength = index - lastSlash - 1\n }\n\n lastSlash = index\n dots = 0\n } else if (code === 46 /* `.` */ && dots > -1) {\n dots++\n } else {\n dots = -1\n }\n }\n\n return result\n}\n\n/**\n * Make sure `path` is a string.\n *\n * @param {string} path\n * File path.\n * @returns {asserts path is string}\n * Nothing.\n */\nfunction assertPath(path) {\n if (typeof path !== 'string') {\n throw new TypeError(\n 'Path must be a string. Received ' + JSON.stringify(path)\n )\n }\n}\n\n/* eslint-enable max-depth, complexity */\n","// Somewhat based on:\n// <https://github.com/defunctzombie/node-process/blob/master/browser.js>.\n// But I don’t think one tiny line of code can be copyrighted. 😅\nexport const proc = {cwd}\n\nfunction cwd() {\n return '/'\n}\n","/**\n * @typedef URL\n * @property {string} hash\n * @property {string} host\n * @property {string} hostname\n * @property {string} href\n * @property {string} origin\n * @property {string} password\n * @property {string} pathname\n * @property {string} port\n * @property {string} protocol\n * @property {string} search\n * @property {any} searchParams\n * @property {string} username\n * @property {() => string} toString\n * @property {() => string} toJSON\n */\n\n/**\n * Check if `fileUrlOrPath` looks like a URL.\n *\n * @param {unknown} fileUrlOrPath\n * File path or URL.\n * @returns {fileUrlOrPath is URL}\n * Whether it’s a URL.\n */\n// From: <https://github.com/nodejs/node/blob/fcf8ba4/lib/internal/url.js#L1501>\nexport function isUrl(fileUrlOrPath) {\n return (\n fileUrlOrPath !== null &&\n typeof fileUrlOrPath === 'object' &&\n // @ts-expect-error: indexable.\n fileUrlOrPath.href &&\n // @ts-expect-error: indexable.\n fileUrlOrPath.origin\n )\n}\n","/// <reference lib=\"dom\" />\n\nimport {isUrl} from './minurl.shared.js'\n\n// See: <https://github.com/nodejs/node/blob/fcf8ba4/lib/internal/url.js>\n\n/**\n * @param {string | URL} path\n * File URL.\n * @returns {string}\n * File URL.\n */\nexport function urlToPath(path) {\n if (typeof path === 'string') {\n path = new URL(path)\n } else if (!isUrl(path)) {\n /** @type {NodeJS.ErrnoException} */\n const error = new TypeError(\n 'The \"path\" argument must be of type string or an instance of URL. Received `' +\n path +\n '`'\n )\n error.code = 'ERR_INVALID_ARG_TYPE'\n throw error\n }\n\n if (path.protocol !== 'file:') {\n /** @type {NodeJS.ErrnoException} */\n const error = new TypeError('The URL must be of scheme file')\n error.code = 'ERR_INVALID_URL_SCHEME'\n throw error\n }\n\n return getPathFromURLPosix(path)\n}\n\n/**\n * Get a path from a POSIX URL.\n *\n * @param {URL} url\n * URL.\n * @returns {string}\n * File path.\n */\nfunction getPathFromURLPosix(url) {\n if (url.hostname !== '') {\n /** @type {NodeJS.ErrnoException} */\n const error = new TypeError(\n 'File URL host must be \"localhost\" or empty on darwin'\n )\n error.code = 'ERR_INVALID_FILE_URL_HOST'\n throw error\n }\n\n const pathname = url.pathname\n let index = -1\n\n while (++index < pathname.length) {\n if (\n pathname.charCodeAt(index) === 37 /* `%` */ &&\n pathname.charCodeAt(index + 1) === 50 /* `2` */\n ) {\n const third = pathname.charCodeAt(index + 2)\n if (third === 70 /* `F` */ || third === 102 /* `f` */) {\n /** @type {NodeJS.ErrnoException} */\n const error = new TypeError(\n 'File URL path must not include encoded / characters'\n )\n error.code = 'ERR_INVALID_FILE_URL_PATH'\n throw error\n }\n }\n }\n\n return decodeURIComponent(pathname)\n}\n\nexport {isUrl} from './minurl.shared.js'\n","/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('unist').Position} Position\n * @typedef {import('unist').Point} Point\n * @typedef {import('./minurl.shared.js').URL} URL\n * @typedef {import('../index.js').Data} Data\n * @typedef {import('../index.js').Value} Value\n */\n\n/**\n * @typedef {Record<string, unknown> & {type: string, position?: Position | undefined}} NodeLike\n *\n * @typedef {'ascii' | 'utf8' | 'utf-8' | 'utf16le' | 'ucs2' | 'ucs-2' | 'base64' | 'base64url' | 'latin1' | 'binary' | 'hex'} BufferEncoding\n * Encodings supported by the buffer class.\n *\n * This is a copy of the types from Node, copied to prevent Node globals from\n * being needed.\n * Copied from: <https://github.com/DefinitelyTyped/DefinitelyTyped/blob/90a4ec8/types/node/buffer.d.ts#L170>\n *\n * @typedef {Options | URL | Value | VFile} Compatible\n * Things that can be passed to the constructor.\n *\n * @typedef VFileCoreOptions\n * Set multiple values.\n * @property {Value | null | undefined} [value]\n * Set `value`.\n * @property {string | null | undefined} [cwd]\n * Set `cwd`.\n * @property {Array<string> | null | undefined} [history]\n * Set `history`.\n * @property {URL | string | null | undefined} [path]\n * Set `path`.\n * @property {string | null | undefined} [basename]\n * Set `basename`.\n * @property {string | null | undefined} [stem]\n * Set `stem`.\n * @property {string | null | undefined} [extname]\n * Set `extname`.\n * @property {string | null | undefined} [dirname]\n * Set `dirname`.\n * @property {Data | null | undefined} [data]\n * Set `data`.\n *\n * @typedef Map\n * Raw source map.\n *\n * See:\n * <https://github.com/mozilla/source-map/blob/58819f0/source-map.d.ts#L15-L23>.\n * @property {number} version\n * Which version of the source map spec this map is following.\n * @property {Array<string>} sources\n * An array of URLs to the original source files.\n * @property {Array<string>} names\n * An array of identifiers which can be referenced by individual mappings.\n * @property {string | undefined} [sourceRoot]\n * The URL root from which all sources are relative.\n * @property {Array<string> | undefined} [sourcesContent]\n * An array of contents of the original source files.\n * @property {string} mappings\n * A string of base64 VLQs which contain the actual mappings.\n * @property {string} file\n * The generated file this source map is associated with.\n *\n * @typedef {{[key: string]: unknown} & VFileCoreOptions} Options\n * Configuration.\n *\n * A bunch of keys that will be shallow copied over to the new file.\n *\n * @typedef {Record<string, unknown>} ReporterSettings\n * Configuration for reporters.\n */\n\n/**\n * @template {ReporterSettings} Settings\n * Options type.\n * @callback Reporter\n * Type for a reporter.\n * @param {Array<VFile>} files\n * Files to report.\n * @param {Settings} options\n * Configuration.\n * @returns {string}\n * Report.\n */\n\nimport bufferLike from 'is-buffer'\nimport {VFileMessage} from 'vfile-message'\nimport {path} from './minpath.js'\nimport {proc} from './minproc.js'\nimport {urlToPath, isUrl} from './minurl.js'\n\n/**\n * Order of setting (least specific to most), we need this because otherwise\n * `{stem: 'a', path: '~/b.js'}` would throw, as a path is needed before a\n * stem can be set.\n *\n * @type {Array<'basename' | 'dirname' | 'extname' | 'history' | 'path' | 'stem'>}\n */\nconst order = ['history', 'path', 'basename', 'stem', 'extname', 'dirname']\n\nexport class VFile {\n /**\n * Create a new virtual file.\n *\n * `options` is treated as:\n *\n * * `string` or `Buffer` — `{value: options}`\n * * `URL` — `{path: options}`\n * * `VFile` — shallow copies its data over to the new file\n * * `object` — all fields are shallow copied over to the new file\n *\n * Path related fields are set in the following order (least specific to\n * most specific): `history`, `path`, `basename`, `stem`, `extname`,\n * `dirname`.\n *\n * You cannot set `dirname` or `extname` without setting either `history`,\n * `path`, `basename`, or `stem` too.\n *\n * @param {Compatible | null | undefined} [value]\n * File value.\n * @returns\n * New instance.\n */\n constructor(value) {\n /** @type {Options | VFile} */\n let options\n\n if (!value) {\n options = {}\n } else if (typeof value === 'string' || buffer(value)) {\n options = {value}\n } else if (isUrl(value)) {\n options = {path: value}\n } else {\n options = value\n }\n\n /**\n * Place to store custom information (default: `{}`).\n *\n * It’s OK to store custom data directly on the file but moving it to\n * `data` is recommended.\n *\n * @type {Data}\n */\n this.data = {}\n\n /**\n * List of messages associated with the file.\n *\n * @type {Array<VFileMessage>}\n */\n this.messages = []\n\n /**\n * List of filepaths the file moved between.\n *\n * The first is the original path and the last is the current path.\n *\n * @type {Array<string>}\n */\n this.history = []\n\n /**\n * Base of `path` (default: `process.cwd()` or `'/'` in browsers).\n *\n * @type {string}\n */\n this.cwd = proc.cwd()\n\n /* eslint-disable no-unused-expressions */\n /**\n * Raw value.\n *\n * @type {Value}\n */\n this.value\n\n // The below are non-standard, they are “well-known”.\n // As in, used in several tools.\n\n /**\n * Whether a file was saved to disk.\n *\n * This is used by vfile reporters.\n *\n * @type {boolean}\n */\n this.stored\n\n /**\n * Custom, non-string, compiled, representation.\n *\n * This is used by unified to store non-string results.\n * One example is when turning markdown into React nodes.\n *\n * @type {unknown}\n */\n this.result\n\n /**\n * Source map.\n *\n * This type is equivalent to the `RawSourceMap` type from the `source-map`\n * module.\n *\n * @type {Map | null | undefined}\n */\n this.map\n /* eslint-enable no-unused-expressions */\n\n // Set path related properties in the correct order.\n let index = -1\n\n while (++index < order.length) {\n const prop = order[index]\n\n // Note: we specifically use `in` instead of `hasOwnProperty` to accept\n // `vfile`s too.\n if (\n prop in options &&\n options[prop] !== undefined &&\n options[prop] !== null\n ) {\n // @ts-expect-error: TS doesn’t understand basic reality.\n this[prop] = prop === 'history' ? [...options[prop]] : options[prop]\n }\n }\n\n /** @type {string} */\n let prop\n\n // Set non-path related properties.\n for (prop in options) {\n // @ts-expect-error: fine to set other things.\n if (!order.includes(prop)) {\n // @ts-expect-error: fine to set other things.\n this[prop] = options[prop]\n }\n }\n }\n\n /**\n * Get the full path (example: `'~/index.min.js'`).\n *\n * @returns {string}\n */\n get path() {\n return this.history[this.history.length - 1]\n }\n\n /**\n * Set the full path (example: `'~/index.min.js'`).\n *\n * Cannot be nullified.\n * You can set a file URL (a `URL` object with a `file:` protocol) which will\n * be turned into a path with `url.fileURLToPath`.\n *\n * @param {string | URL} path\n */\n set path(path) {\n if (isUrl(path)) {\n path = urlToPath(path)\n }\n\n assertNonEmpty(path, 'path')\n\n if (this.path !== path) {\n this.history.push(path)\n }\n }\n\n /**\n * Get the parent path (example: `'~'`).\n */\n get dirname() {\n return typeof this.path === 'string' ? path.dirname(this.path) : undefined\n }\n\n /**\n * Set the parent path (example: `'~'`).\n *\n * Cannot be set if there’s no `path` yet.\n */\n set dirname(dirname) {\n assertPath(this.basename, 'dirname')\n this.path = path.join(dirname || '', this.basename)\n }\n\n /**\n * Get the basename (including extname) (example: `'index.min.js'`).\n */\n get basename() {\n return typeof this.path === 'string' ? path.basename(this.path) : undefined\n }\n\n /**\n * Set basename (including extname) (`'index.min.js'`).\n *\n * Cannot contain path separators (`'/'` on unix, macOS, and browsers, `'\\'`\n * on windows).\n * Cannot be nullified (use `file.path = file.dirname` instead).\n */\n set basename(basename) {\n assertNonEmpty(basename, 'basename')\n assertPart(basename, 'basename')\n this.path = path.join(this.dirname || '', basename)\n }\n\n /**\n * Get the extname (including dot) (example: `'.js'`).\n */\n get extname() {\n return typeof this.path === 'string' ? path.extname(this.path) : undefined\n }\n\n /**\n * Set the extname (including dot) (example: `'.js'`).\n *\n * Cannot contain path separators (`'/'` on unix, macOS, and browsers, `'\\'`\n * on windows).\n * Cannot be set if there’s no `path` yet.\n */\n set extname(extname) {\n assertPart(extname, 'extname')\n assertPath(this.dirname, 'extname')\n\n if (extname) {\n if (extname.charCodeAt(0) !== 46 /* `.` */) {\n throw new Error('`extname` must start with `.`')\n }\n\n if (extname.includes('.', 1)) {\n throw new Error('`extname` cannot contain multiple dots')\n }\n }\n\n this.path = path.join(this.dirname, this.stem + (extname || ''))\n }\n\n /**\n * Get the stem (basename w/o extname) (example: `'index.min'`).\n */\n get stem() {\n return typeof this.path === 'string'\n ? path.basename(this.path, this.extname)\n : undefined\n }\n\n /**\n * Set the stem (basename w/o extname) (example: `'index.min'`).\n *\n * Cannot contain path separators (`'/'` on unix, macOS, and browsers, `'\\'`\n * on windows).\n * Cannot be nullified (use `file.path = file.dirname` instead).\n */\n set stem(stem) {\n assertNonEmpty(stem, 'stem')\n assertPart(stem, 'stem')\n this.path = path.join(this.dirname || '', stem + (this.extname || ''))\n }\n\n /**\n * Serialize the file.\n *\n * @param {BufferEncoding | null | undefined} [encoding='utf8']\n * Character encoding to understand `value` as when it’s a `Buffer`\n * (default: `'utf8'`).\n * @returns {string}\n * Serialized file.\n */\n toString(encoding) {\n return (this.value || '').toString(encoding || undefined)\n }\n\n /**\n * Create a warning message associated with the file.\n *\n * Its `fatal` is set to `false` and `file` is set to the current file path.\n * Its added to `file.messages`.\n *\n * @param {string | Error | VFileMessage} reason\n * Reason for message, uses the stack and message of the error if given.\n * @param {Node | NodeLike | Position | Point | null | undefined} [place]\n * Place in file where the message occurred.\n * @param {string | null | undefined} [origin]\n * Place in code where the message originates (example:\n * `'my-package:my-rule'` or `'my-rule'`).\n * @returns {VFileMessage}\n * Message.\n */\n message(reason, place, origin) {\n const message = new VFileMessage(reason, place, origin)\n\n if (this.path) {\n message.name = this.path + ':' + message.name\n message.file = this.path\n }\n\n message.fatal = false\n\n this.messages.push(message)\n\n return message\n }\n\n /**\n * Create an info message associated with the file.\n *\n * Its `fatal` is set to `null` and `file` is set to the current file path.\n * Its added to `file.messages`.\n *\n * @param {string | Error | VFileMessage} reason\n * Reason for message, uses the stack and message of the error if given.\n * @param {Node | NodeLike | Position | Point | null | undefined} [place]\n * Place in file where the message occurred.\n * @param {string | null | undefined} [origin]\n * Place in code where the message originates (example:\n * `'my-package:my-rule'` or `'my-rule'`).\n * @returns {VFileMessage}\n * Message.\n */\n info(reason, place, origin) {\n const message = this.message(reason, place, origin)\n\n message.fatal = null\n\n return message\n }\n\n /**\n * Create a fatal error associated with the file.\n *\n * Its `fatal` is set to `true` and `file` is set to the current file path.\n * Its added to `file.messages`.\n *\n * > 👉 **Note**: a fatal error means that a file is no longer processable.\n *\n * @param {string | Error | VFileMessage} reason\n * Reason for message, uses the stack and message of the error if given.\n * @param {Node | NodeLike | Position | Point | null | undefined} [place]\n * Place in file where the message occurred.\n * @param {string | null | undefined} [origin]\n * Place in code where the message originates (example:\n * `'my-package:my-rule'` or `'my-rule'`).\n * @returns {never}\n * Message.\n * @throws {VFileMessage}\n * Message.\n */\n fail(reason, place, origin) {\n const message = this.message(reason, place, origin)\n\n message.fatal = true\n\n throw message\n }\n}\n\n/**\n * Assert that `part` is not a path (as in, does not contain `path.sep`).\n *\n * @param {string | null | undefined} part\n * File path part.\n * @param {string} name\n * Part name.\n * @returns {void}\n * Nothing.\n */\nfunction assertPart(part, name) {\n if (part && part.includes(path.sep)) {\n throw new Error(\n '`' + name + '` cannot be a path: did not expect `' + path.sep + '`'\n )\n }\n}\n\n/**\n * Assert that `part` is not empty.\n *\n * @param {string | undefined} part\n * Thing.\n * @param {string} name\n * Part name.\n * @returns {asserts part is string}\n * Nothing.\n */\nfunction assertNonEmpty(part, name) {\n if (!part) {\n throw new Error('`' + name + '` cannot be empty')\n }\n}\n\n/**\n * Assert `path` exists.\n *\n * @param {string | undefined} path\n * Path.\n * @param {string} name\n * Dependency name.\n * @returns {asserts path is string}\n * Nothing.\n */\nfunction assertPath(path, name) {\n if (!path) {\n throw new Error('Setting `' + name + '` requires `path` to be set too')\n }\n}\n\n/**\n * Assert `value` is a buffer.\n *\n * @param {unknown} value\n * thing.\n * @returns {value is Buffer}\n * Whether `value` is a Node.js buffer.\n */\nfunction buffer(value) {\n return bufferLike(value)\n}\n","/**\n * Throw a given error.\n *\n * @param {Error|null|undefined} [error]\n * Maybe error.\n * @returns {asserts error is null|undefined}\n */\nexport function bail(error) {\n if (error) {\n throw error\n }\n}\n","export default function isPlainObject(value) {\n\tif (typeof value !== 'object' || value === null) {\n\t\treturn false;\n\t}\n\n\tconst prototype = Object.getPrototypeOf(value);\n\treturn (prototype === null || prototype === Object.prototype || Object.getPrototypeOf(prototype) === null) && !(Symbol.toStringTag in value) && !(Symbol.iterator in value);\n}\n","// To do: remove `void`s\n// To do: remove `null` from output of our APIs, allow it as user APIs.\n\n/**\n * @typedef {(error?: Error | null | undefined, ...output: Array<any>) => void} Callback\n * Callback.\n *\n * @typedef {(...input: Array<any>) => any} Middleware\n * Ware.\n *\n * @typedef Pipeline\n * Pipeline.\n * @property {Run} run\n * Run the pipeline.\n * @property {Use} use\n * Add middleware.\n *\n * @typedef {(...input: Array<any>) => void} Run\n * Call all middleware.\n *\n * Calls `done` on completion with either an error or the output of the\n * last middleware.\n *\n * > 👉 **Note**: as the length of input defines whether async functions get a\n * > `next` function,\n * > it’s recommended to keep `input` at one value normally.\n\n *\n * @typedef {(fn: Middleware) => Pipeline} Use\n * Add middleware.\n */\n\n/**\n * Create new middleware.\n *\n * @returns {Pipeline}\n * Pipeline.\n */\nexport function trough() {\n /** @type {Array<Middleware>} */\n const fns = []\n /** @type {Pipeline} */\n const pipeline = {run, use}\n\n return pipeline\n\n /** @type {Run} */\n function run(...values) {\n let middlewareIndex = -1\n /** @type {Callback} */\n const callback = values.pop()\n\n if (typeof callback !== 'function') {\n throw new TypeError('Expected function as last argument, not ' + callback)\n }\n\n next(null, ...values)\n\n /**\n * Run the next `fn`, or we’re done.\n *\n * @param {Error | null | undefined} error\n * @param {Array<any>} output\n */\n function next(error, ...output) {\n const fn = fns[++middlewareIndex]\n let index = -1\n\n if (error) {\n callback(error)\n return\n }\n\n // Copy non-nullish input into values.\n while (++index < values.length) {\n if (output[index] === null || output[index] === undefined) {\n output[index] = values[index]\n }\n }\n\n // Save the newly created `output` for the next call.\n values = output\n\n // Next or done.\n if (fn) {\n wrap(fn, next)(...output)\n } else {\n callback(null, ...output)\n }\n }\n }\n\n /** @type {Use} */\n function use(middelware) {\n if (typeof middelware !== 'function') {\n throw new TypeError(\n 'Expected `middelware` to be a function, not ' + middelware\n )\n }\n\n fns.push(middelware)\n return pipeline\n }\n}\n\n/**\n * Wrap `middleware` into a uniform interface.\n *\n * You can pass all input to the resulting function.\n * `callback` is then called with the output of `middleware`.\n *\n * If `middleware` accepts more arguments than the later given in input,\n * an extra `done` function is passed to it after that input,\n * which must be called by `middleware`.\n *\n * The first value in `input` is the main input value.\n * All other input values are the rest input values.\n * The values given to `callback` are the input values,\n * merged with every non-nullish output value.\n *\n * * if `middleware` throws an error,\n * returns a promise that is rejected,\n * or calls the given `done` function with an error,\n * `callback` is called with that error\n * * if `middleware` returns a value or returns a promise that is resolved,\n * that value is the main output value\n * * if `middleware` calls `done`,\n * all non-nullish values except for the first one (the error) overwrite the\n * output values\n *\n * @param {Middleware} middleware\n * Function to wrap.\n * @param {Callback} callback\n * Callback called with the output of `middleware`.\n * @returns {Run}\n * Wrapped middleware.\n */\nexport function wrap(middleware, callback) {\n /** @type {boolean} */\n let called\n\n return wrapped\n\n /**\n * Call `middleware`.\n * @this {any}\n * @param {Array<any>} parameters\n * @returns {void}\n */\n function wrapped(...parameters) {\n const fnExpectsCallback = middleware.length > parameters.length\n /** @type {any} */\n let result\n\n if (fnExpectsCallback) {\n parameters.push(done)\n }\n\n try {\n result = middleware.apply(this, parameters)\n } catch (error) {\n const exception = /** @type {Error} */ (error)\n\n // Well, this is quite the pickle.\n // `middleware` received a callback and called it synchronously, but that\n // threw an error.\n // The only thing left to do is to throw the thing instead.\n if (fnExpectsCallback && called) {\n throw exception\n }\n\n return done(exception)\n }\n\n if (!fnExpectsCallback) {\n if (result && result.then && typeof result.then === 'function') {\n result.then(then, done)\n } else if (result instanceof Error) {\n done(result)\n } else {\n then(result)\n }\n }\n }\n\n /**\n * Call `callback`, only once.\n *\n * @type {Callback}\n */\n function done(error, ...output) {\n if (!called) {\n called = true\n callback(error, ...output)\n }\n }\n\n /**\n * Call `done` with one value.\n *\n * @param {any} [value]\n */\n function then(value) {\n done(null, value)\n }\n}\n","/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('vfile').VFileCompatible} VFileCompatible\n * @typedef {import('vfile').VFileValue} VFileValue\n * @typedef {import('..').Processor} Processor\n * @typedef {import('..').Plugin} Plugin\n * @typedef {import('..').Preset} Preset\n * @typedef {import('..').Pluggable} Pluggable\n * @typedef {import('..').PluggableList} PluggableList\n * @typedef {import('..').Transformer} Transformer\n * @typedef {import('..').Parser} Parser\n * @typedef {import('..').Compiler} Compiler\n * @typedef {import('..').RunCallback} RunCallback\n * @typedef {import('..').ProcessCallback} ProcessCallback\n *\n * @typedef Context\n * @property {Node} tree\n * @property {VFile} file\n */\n\nimport {bail} from 'bail'\nimport isBuffer from 'is-buffer'\nimport extend from 'extend'\nimport isPlainObj from 'is-plain-obj'\nimport {trough} from 'trough'\nimport {VFile} from 'vfile'\n\n// Expose a frozen processor.\nexport const unified = base().freeze()\n\nconst own = {}.hasOwnProperty\n\n// Function to create the first processor.\n/**\n * @returns {Processor}\n */\nfunction base() {\n const transformers = trough()\n /** @type {Processor['attachers']} */\n const attachers = []\n /** @type {Record<string, unknown>} */\n let namespace = {}\n /** @type {boolean|undefined} */\n let frozen\n let freezeIndex = -1\n\n // Data management.\n // @ts-expect-error: overloads are handled.\n processor.data = data\n processor.Parser = undefined\n processor.Compiler = undefined\n\n // Lock.\n processor.freeze = freeze\n\n // Plugins.\n processor.attachers = attachers\n // @ts-expect-error: overloads are handled.\n processor.use = use\n\n // API.\n processor.parse = parse\n processor.stringify = stringify\n // @ts-expect-error: overloads are handled.\n processor.run = run\n processor.runSync = runSync\n // @ts-expect-error: overloads are handled.\n processor.process = process\n processor.processSync = processSync\n\n // Expose.\n return processor\n\n // Create a new processor based on the processor in the current scope.\n /** @type {Processor} */\n function processor() {\n const destination = base()\n let index = -1\n\n while (++index < attachers.length) {\n destination.use(...attachers[index])\n }\n\n destination.data(extend(true, {}, namespace))\n\n return destination\n }\n\n /**\n * @param {string|Record<string, unknown>} [key]\n * @param {unknown} [value]\n * @returns {unknown}\n */\n function data(key, value) {\n if (typeof key === 'string') {\n // Set `key`.\n if (arguments.length === 2) {\n assertUnfrozen('data', frozen)\n namespace[key] = value\n return processor\n }\n\n // Get `key`.\n return (own.call(namespace, key) && namespace[key]) || null\n }\n\n // Set space.\n if (key) {\n assertUnfrozen('data', frozen)\n namespace = key\n return processor\n }\n\n // Get space.\n return namespace\n }\n\n /** @type {Processor['freeze']} */\n function freeze() {\n if (frozen) {\n return processor\n }\n\n while (++freezeIndex < attachers.length) {\n const [attacher, ...options] = attachers[freezeIndex]\n\n if (options[0] === false) {\n continue\n }\n\n if (options[0] === true) {\n options[0] = undefined\n }\n\n /** @type {Transformer|void} */\n const transformer = attacher.call(processor, ...options)\n\n if (typeof transformer === 'function') {\n transformers.use(transformer)\n }\n }\n\n frozen = true\n freezeIndex = Number.POSITIVE_INFINITY\n\n return processor\n }\n\n /**\n * @param {Pluggable|null|undefined} [value]\n * @param {...unknown} options\n * @returns {Processor}\n */\n function use(value, ...options) {\n /** @type {Record<string, unknown>|undefined} */\n let settings\n\n assertUnfrozen('use', frozen)\n\n if (value === null || value === undefined) {\n // Empty.\n } else if (typeof value === 'function') {\n addPlugin(value, ...options)\n } else if (typeof value === 'object') {\n if (Array.isArray(value)) {\n addList(value)\n } else {\n addPreset(value)\n }\n } else {\n throw new TypeError('Expected usable value, not `' + value + '`')\n }\n\n if (settings) {\n namespace.settings = Object.assign(namespace.settings || {}, settings)\n }\n\n return processor\n\n /**\n * @param {import('..').Pluggable<unknown[]>} value\n * @returns {void}\n */\n function add(value) {\n if (typeof value === 'function') {\n addPlugin(value)\n } else if (typeof value === 'object') {\n if (Array.isArray(value)) {\n const [plugin, ...options] = value\n addPlugin(plugin, ...options)\n } else {\n addPreset(value)\n }\n } else {\n throw new TypeError('Expected usable value, not `' + value + '`')\n }\n }\n\n /**\n * @param {Preset} result\n * @returns {void}\n */\n function addPreset(result) {\n addList(result.plugins)\n\n if (result.settings) {\n settings = Object.assign(settings || {}, result.settings)\n }\n }\n\n /**\n * @param {PluggableList|null|undefined} [plugins]\n * @returns {void}\n */\n function addList(plugins) {\n let index = -1\n\n if (plugins === null || plugins === undefined) {\n // Empty.\n } else if (Array.isArray(plugins)) {\n while (++index < plugins.length) {\n const thing = plugins[index]\n add(thing)\n }\n } else {\n throw new TypeError('Expected a list of plugins, not `' + plugins + '`')\n }\n }\n\n /**\n * @param {Plugin} plugin\n * @param {...unknown} [value]\n * @returns {void}\n */\n function addPlugin(plugin, value) {\n let index = -1\n /** @type {Processor['attachers'][number]|undefined} */\n let entry\n\n while (++index < attachers.length) {\n if (attachers[index][0] === plugin) {\n entry = attachers[index]\n break\n }\n }\n\n if (entry) {\n if (isPlainObj(entry[1]) && isPlainObj(value)) {\n value = extend(true, entry[1], value)\n }\n\n entry[1] = value\n } else {\n // @ts-expect-error: fine.\n attachers.push([...arguments])\n }\n }\n }\n\n /** @type {Processor['parse']} */\n function parse(doc) {\n processor.freeze()\n const file = vfile(doc)\n const Parser = processor.Parser\n assertParser('parse', Parser)\n\n if (newable(Parser, 'parse')) {\n // @ts-expect-error: `newable` checks this.\n return new Parser(String(file), file).parse()\n }\n\n // @ts-expect-error: `newable` checks this.\n return Parser(String(file), file) // eslint-disable-line new-cap\n }\n\n /** @type {Processor['stringify']} */\n function stringify(node, doc) {\n processor.freeze()\n const file = vfile(doc)\n const Compiler = processor.Compiler\n assertCompiler('stringify', Compiler)\n assertNode(node)\n\n if (newable(Compiler, 'compile')) {\n // @ts-expect-error: `newable` checks this.\n return new Compiler(node, file).compile()\n }\n\n // @ts-expect-error: `newable` checks this.\n return Compiler(node, file) // eslint-disable-line new-cap\n }\n\n /**\n * @param {Node} node\n * @param {VFileCompatible|RunCallback} [doc]\n * @param {RunCallback} [callback]\n * @returns {Promise<Node>|void}\n */\n function run(node, doc, callback) {\n assertNode(node)\n processor.freeze()\n\n if (!callback && typeof doc === 'function') {\n callback = doc\n doc = undefined\n }\n\n if (!callback) {\n return new Promise(executor)\n }\n\n executor(null, callback)\n\n /**\n * @param {null|((node: Node) => void)} resolve\n * @param {(error: Error) => void} reject\n * @returns {void}\n */\n function executor(resolve, reject) {\n // @ts-expect-error: `doc` can’t be a callback anymore, we checked.\n transformers.run(node, vfile(doc), done)\n\n /**\n * @param {Error|null} error\n * @param {Node} tree\n * @param {VFile} file\n * @returns {void}\n */\n function done(error, tree, file) {\n tree = tree || node\n if (error) {\n reject(error)\n } else if (resolve) {\n resolve(tree)\n } else {\n // @ts-expect-error: `callback` is defined if `resolve` is not.\n callback(null, tree, file)\n }\n }\n }\n }\n\n /** @type {Processor['runSync']} */\n function runSync(node, file) {\n /** @type {Node|undefined} */\n let result\n /** @type {boolean|undefined} */\n let complete\n\n processor.run(node, file, done)\n\n assertDone('runSync', 'run', complete)\n\n // @ts-expect-error: we either bailed on an error or have a tree.\n return result\n\n /**\n * @param {Error|null} [error]\n * @param {Node} [tree]\n * @returns {void}\n */\n function done(error, tree) {\n bail(error)\n result = tree\n complete = true\n }\n }\n\n /**\n * @param {VFileCompatible} doc\n * @param {ProcessCallback} [callback]\n * @returns {Promise<VFile>|undefined}\n */\n function process(doc, callback) {\n processor.freeze()\n assertParser('process', processor.Parser)\n assertCompiler('process', processor.Compiler)\n\n if (!callback) {\n return new Promise(executor)\n }\n\n executor(null, callback)\n\n /**\n * @param {null|((file: VFile) => void)} resolve\n * @param {(error?: Error|null|undefined) => void} reject\n * @returns {void}\n */\n function executor(resolve, reject) {\n const file = vfile(doc)\n\n processor.run(processor.parse(file), file, (error, tree, file) => {\n if (error || !tree || !file) {\n done(error)\n } else {\n /** @type {unknown} */\n const result = processor.stringify(tree, file)\n\n if (result === undefined || result === null) {\n // Empty.\n } else if (looksLikeAVFileValue(result)) {\n file.value = result\n } else {\n file.result = result\n }\n\n done(error, file)\n }\n })\n\n /**\n * @param {Error|null|undefined} [error]\n * @param {VFile|undefined} [file]\n * @returns {void}\n */\n function done(error, file) {\n if (error || !file) {\n reject(error)\n } else if (resolve) {\n resolve(file)\n } else {\n // @ts-expect-error: `callback` is defined if `resolve` is not.\n callback(null, file)\n }\n }\n }\n }\n\n /** @type {Processor['processSync']} */\n function processSync(doc) {\n /** @type {boolean|undefined} */\n let complete\n\n processor.freeze()\n assertParser('processSync', processor.Parser)\n assertCompiler('processSync', processor.Compiler)\n\n const file = vfile(doc)\n\n processor.process(file, done)\n\n assertDone('processSync', 'process', complete)\n\n return file\n\n /**\n * @param {Error|null|undefined} [error]\n * @returns {void}\n */\n function done(error) {\n complete = true\n bail(error)\n }\n }\n}\n\n/**\n * Check if `value` is a constructor.\n *\n * @param {unknown} value\n * @param {string} name\n * @returns {boolean}\n */\nfunction newable(value, name) {\n return (\n typeof value === 'function' &&\n // Prototypes do exist.\n // type-coverage:ignore-next-line\n value.prototype &&\n // A function with keys in its prototype is probably a constructor.\n // Classes’ prototype methods are not enumerable, so we check if some value\n // exists in the prototype.\n // type-coverage:ignore-next-line\n (keys(value.prototype) || name in value.prototype)\n )\n}\n\n/**\n * Check if `value` is an object with keys.\n *\n * @param {Record<string, unknown>} value\n * @returns {boolean}\n */\nfunction keys(value) {\n /** @type {string} */\n let key\n\n for (key in value) {\n if (own.call(value, key)) {\n return true\n }\n }\n\n return false\n}\n\n/**\n * Assert a parser is available.\n *\n * @param {string} name\n * @param {unknown} value\n * @returns {asserts value is Parser}\n */\nfunction assertParser(name, value) {\n if (typeof value !== 'function') {\n throw new TypeError('Cannot `' + name + '` without `Parser`')\n }\n}\n\n/**\n * Assert a compiler is available.\n *\n * @param {string} name\n * @param {unknown} value\n * @returns {asserts value is Compiler}\n */\nfunction assertCompiler(name, value) {\n if (typeof value !== 'function') {\n throw new TypeError('Cannot `' + name + '` without `Compiler`')\n }\n}\n\n/**\n * Assert the processor is not frozen.\n *\n * @param {string} name\n * @param {unknown} frozen\n * @returns {asserts frozen is false}\n */\nfunction assertUnfrozen(name, frozen) {\n if (frozen) {\n throw new Error(\n 'Cannot call `' +\n name +\n '` on a frozen processor.\\nCreate a new processor first, by calling it: use `processor()` instead of `processor`.'\n )\n }\n}\n\n/**\n * Assert `node` is a unist node.\n *\n * @param {unknown} node\n * @returns {asserts node is Node}\n */\nfunction assertNode(node) {\n // `isPlainObj` unfortunately uses `any` instead of `unknown`.\n // type-coverage:ignore-next-line\n if (!isPlainObj(node) || typeof node.type !== 'string') {\n throw new TypeError('Expected node, got `' + node + '`')\n // Fine.\n }\n}\n\n/**\n * Assert that `complete` is `true`.\n *\n * @param {string} name\n * @param {string} asyncName\n * @param {unknown} complete\n * @returns {asserts complete is true}\n */\nfunction assertDone(name, asyncName, complete) {\n if (!complete) {\n throw new Error(\n '`' + name + '` finished async. Use `' + asyncName + '` instead'\n )\n }\n}\n\n/**\n * @param {VFileCompatible} [value]\n * @returns {VFile}\n */\nfunction vfile(value) {\n return looksLikeAVFile(value) ? value : new VFile(value)\n}\n\n/**\n * @param {VFileCompatible} [value]\n * @returns {value is VFile}\n */\nfunction looksLikeAVFile(value) {\n return Boolean(\n value &&\n typeof value === 'object' &&\n 'message' in value &&\n 'messages' in value\n )\n}\n\n/**\n * @param {unknown} [value]\n * @returns {value is VFileValue}\n */\nfunction looksLikeAVFileValue(value) {\n return typeof value === 'string' || isBuffer(value)\n}\n","/**\n * @typedef {import('mdast').Root|import('mdast').Content} Node\n *\n * @typedef Options\n * Configuration (optional).\n * @property {boolean | null | undefined} [includeImageAlt=true]\n * Whether to use `alt` for `image`s.\n * @property {boolean | null | undefined} [includeHtml=true]\n * Whether to use `value` of HTML.\n */\n\n/** @type {Options} */\nconst emptyOptions = {}\n\n/**\n * Get the text content of a node or list of nodes.\n *\n * Prefers the node’s plain-text fields, otherwise serializes its children,\n * and if the given value is an array, serialize the nodes in it.\n *\n * @param {unknown} value\n * Thing to serialize, typically `Node`.\n * @param {Options | null | undefined} [options]\n * Configuration (optional).\n * @returns {string}\n * Serialized `value`.\n */\nexport function toString(value, options) {\n const settings = options || emptyOptions\n const includeImageAlt =\n typeof settings.includeImageAlt === 'boolean'\n ? settings.includeImageAlt\n : true\n const includeHtml =\n typeof settings.includeHtml === 'boolean' ? settings.includeHtml : true\n\n return one(value, includeImageAlt, includeHtml)\n}\n\n/**\n * One node or several nodes.\n *\n * @param {unknown} value\n * Thing to serialize.\n * @param {boolean} includeImageAlt\n * Include image `alt`s.\n * @param {boolean} includeHtml\n * Include HTML.\n * @returns {string}\n * Serialized node.\n */\nfunction one(value, includeImageAlt, includeHtml) {\n if (node(value)) {\n if ('value' in value) {\n return value.type === 'html' && !includeHtml ? '' : value.value\n }\n\n if (includeImageAlt && 'alt' in value && value.alt) {\n return value.alt\n }\n\n if ('children' in value) {\n return all(value.children, includeImageAlt, includeHtml)\n }\n }\n\n if (Array.isArray(value)) {\n return all(value, includeImageAlt, includeHtml)\n }\n\n return ''\n}\n\n/**\n * Serialize a list of nodes.\n *\n * @param {Array<unknown>} values\n * Thing to serialize.\n * @param {boolean} includeImageAlt\n * Include image `alt`s.\n * @param {boolean} includeHtml\n * Include HTML.\n * @returns {string}\n * Serialized nodes.\n */\nfunction all(values, includeImageAlt, includeHtml) {\n /** @type {Array<string>} */\n const result = []\n let index = -1\n\n while (++index < values.length) {\n result[index] = one(values[index], includeImageAlt, includeHtml)\n }\n\n return result.join('')\n}\n\n/**\n * Check if `value` looks like a node.\n *\n * @param {unknown} value\n * Thing.\n * @returns {value is Node}\n * Whether `value` is a node.\n */\nfunction node(value) {\n return Boolean(value && typeof value === 'object')\n}\n","/**\n * Like `Array#splice`, but smarter for giant arrays.\n *\n * `Array#splice` takes all items to be inserted as individual argument which\n * causes a stack overflow in V8 when trying to insert 100k items for instance.\n *\n * Otherwise, this does not return the removed items, and takes `items` as an\n * array instead of rest parameters.\n *\n * @template {unknown} T\n * Item type.\n * @param {Array<T>} list\n * List to operate on.\n * @param {number} start\n * Index to remove/insert at (can be negative).\n * @param {number} remove\n * Number of items to remove.\n * @param {Array<T>} items\n * Items to inject into `list`.\n * @returns {void}\n * Nothing.\n */\nexport function splice(list, start, remove, items) {\n const end = list.length\n let chunkStart = 0\n /** @type {Array<unknown>} */\n let parameters\n\n // Make start between zero and `end` (included).\n if (start < 0) {\n start = -start > end ? 0 : end + start\n } else {\n start = start > end ? end : start\n }\n remove = remove > 0 ? remove : 0\n\n // No need to chunk the items if there’s only a couple (10k) items.\n if (items.length < 10000) {\n parameters = Array.from(items)\n parameters.unshift(start, remove)\n // @ts-expect-error Hush, it’s fine.\n list.splice(...parameters)\n } else {\n // Delete `remove` items starting from `start`\n if (remove) list.splice(start, remove)\n\n // Insert the items in chunks to not cause stack overflows.\n while (chunkStart < items.length) {\n parameters = items.slice(chunkStart, chunkStart + 10000)\n parameters.unshift(start, 0)\n // @ts-expect-error Hush, it’s fine.\n list.splice(...parameters)\n chunkStart += 10000\n start += 10000\n }\n }\n}\n\n/**\n * Append `items` (an array) at the end of `list` (another array).\n * When `list` was empty, returns `items` instead.\n *\n * This prevents a potentially expensive operation when `list` is empty,\n * and adds items in batches to prevent V8 from hanging.\n *\n * @template {unknown} T\n * Item type.\n * @param {Array<T>} list\n * List to operate on.\n * @param {Array<T>} items\n * Items to add to `list`.\n * @returns {Array<T>}\n * Either `list` or `items`.\n */\nexport function push(list, items) {\n if (list.length > 0) {\n splice(list, list.length, 0, items)\n return list\n }\n return items\n}\n","/**\n * @typedef {import('micromark-util-types').Extension} Extension\n * @typedef {import('micromark-util-types').Handles} Handles\n * @typedef {import('micromark-util-types').HtmlExtension} HtmlExtension\n * @typedef {import('micromark-util-types').NormalizedExtension} NormalizedExtension\n */\n\nimport {splice} from 'micromark-util-chunked'\n\nconst hasOwnProperty = {}.hasOwnProperty\n\n/**\n * Combine multiple syntax extensions into one.\n *\n * @param {Array<Extension>} extensions\n * List of syntax extensions.\n * @returns {NormalizedExtension}\n * A single combined extension.\n */\nexport function combineExtensions(extensions) {\n /** @type {NormalizedExtension} */\n const all = {}\n let index = -1\n\n while (++index < extensions.length) {\n syntaxExtension(all, extensions[index])\n }\n\n return all\n}\n\n/**\n * Merge `extension` into `all`.\n *\n * @param {NormalizedExtension} all\n * Extension to merge into.\n * @param {Extension} extension\n * Extension to merge.\n * @returns {void}\n */\nfunction syntaxExtension(all, extension) {\n /** @type {keyof Extension} */\n let hook\n\n for (hook in extension) {\n const maybe = hasOwnProperty.call(all, hook) ? all[hook] : undefined\n /** @type {Record<string, unknown>} */\n const left = maybe || (all[hook] = {})\n /** @type {Record<string, unknown> | undefined} */\n const right = extension[hook]\n /** @type {string} */\n let code\n\n if (right) {\n for (code in right) {\n if (!hasOwnProperty.call(left, code)) left[code] = []\n const value = right[code]\n constructs(\n // @ts-expect-error Looks like a list.\n left[code],\n Array.isArray(value) ? value : value ? [value] : []\n )\n }\n }\n }\n}\n\n/**\n * Merge `list` into `existing` (both lists of constructs).\n * Mutates `existing`.\n *\n * @param {Array<unknown>} existing\n * @param {Array<unknown>} list\n * @returns {void}\n */\nfunction constructs(existing, list) {\n let index = -1\n /** @type {Array<unknown>} */\n const before = []\n\n while (++index < list.length) {\n // @ts-expect-error Looks like an object.\n ;(list[index].add === 'after' ? existing : before).push(list[index])\n }\n\n splice(existing, 0, 0, before)\n}\n\n/**\n * Combine multiple HTML extensions into one.\n *\n * @param {Array<HtmlExtension>} htmlExtensions\n * List of HTML extensions.\n * @returns {HtmlExtension}\n * A single combined HTML extension.\n */\nexport function combineHtmlExtensions(htmlExtensions) {\n /** @type {HtmlExtension} */\n const handlers = {}\n let index = -1\n\n while (++index < htmlExtensions.length) {\n htmlExtension(handlers, htmlExtensions[index])\n }\n\n return handlers\n}\n\n/**\n * Merge `extension` into `all`.\n *\n * @param {HtmlExtension} all\n * Extension to merge into.\n * @param {HtmlExtension} extension\n * Extension to merge.\n * @returns {void}\n */\nfunction htmlExtension(all, extension) {\n /** @type {keyof HtmlExtension} */\n let hook\n\n for (hook in extension) {\n const maybe = hasOwnProperty.call(all, hook) ? all[hook] : undefined\n const left = maybe || (all[hook] = {})\n const right = extension[hook]\n /** @type {keyof Handles} */\n let type\n\n if (right) {\n for (type in right) {\n // @ts-expect-error assume document vs regular handler are managed correctly.\n left[type] = right[type]\n }\n }\n }\n}\n","// This module is generated by `script/`.\n//\n// CommonMark handles attention (emphasis, strong) markers based on what comes\n// before or after them.\n// One such difference is if those characters are Unicode punctuation.\n// This script is generated from the Unicode data.\n\n/**\n * Regular expression that matches a unicode punctuation character.\n */\nexport const unicodePunctuationRegex =\n /[!-\\/:-@\\[-`\\{-~\\xA1\\xA7\\xAB\\xB6\\xB7\\xBB\\xBF\\u037E\\u0387\\u055A-\\u055F\\u0589\\u058A\\u05BE\\u05C0\\u05C3\\u05C6\\u05F3\\u05F4\\u0609\\u060A\\u060C\\u060D\\u061B\\u061D-\\u061F\\u066A-\\u066D\\u06D4\\u0700-\\u070D\\u07F7-\\u07F9\\u0830-\\u083E\\u085E\\u0964\\u0965\\u0970\\u09FD\\u0A76\\u0AF0\\u0C77\\u0C84\\u0DF4\\u0E4F\\u0E5A\\u0E5B\\u0F04-\\u0F12\\u0F14\\u0F3A-\\u0F3D\\u0F85\\u0FD0-\\u0FD4\\u0FD9\\u0FDA\\u104A-\\u104F\\u10FB\\u1360-\\u1368\\u1400\\u166E\\u169B\\u169C\\u16EB-\\u16ED\\u1735\\u1736\\u17D4-\\u17D6\\u17D8-\\u17DA\\u1800-\\u180A\\u1944\\u1945\\u1A1E\\u1A1F\\u1AA0-\\u1AA6\\u1AA8-\\u1AAD\\u1B5A-\\u1B60\\u1B7D\\u1B7E\\u1BFC-\\u1BFF\\u1C3B-\\u1C3F\\u1C7E\\u1C7F\\u1CC0-\\u1CC7\\u1CD3\\u2010-\\u2027\\u2030-\\u2043\\u2045-\\u2051\\u2053-\\u205E\\u207D\\u207E\\u208D\\u208E\\u2308-\\u230B\\u2329\\u232A\\u2768-\\u2775\\u27C5\\u27C6\\u27E6-\\u27EF\\u2983-\\u2998\\u29D8-\\u29DB\\u29FC\\u29FD\\u2CF9-\\u2CFC\\u2CFE\\u2CFF\\u2D70\\u2E00-\\u2E2E\\u2E30-\\u2E4F\\u2E52-\\u2E5D\\u3001-\\u3003\\u3008-\\u3011\\u3014-\\u301F\\u3030\\u303D\\u30A0\\u30FB\\uA4FE\\uA4FF\\uA60D-\\uA60F\\uA673\\uA67E\\uA6F2-\\uA6F7\\uA874-\\uA877\\uA8CE\\uA8CF\\uA8F8-\\uA8FA\\uA8FC\\uA92E\\uA92F\\uA95F\\uA9C1-\\uA9CD\\uA9DE\\uA9DF\\uAA5C-\\uAA5F\\uAADE\\uAADF\\uAAF0\\uAAF1\\uABEB\\uFD3E\\uFD3F\\uFE10-\\uFE19\\uFE30-\\uFE52\\uFE54-\\uFE61\\uFE63\\uFE68\\uFE6A\\uFE6B\\uFF01-\\uFF03\\uFF05-\\uFF0A\\uFF0C-\\uFF0F\\uFF1A\\uFF1B\\uFF1F\\uFF20\\uFF3B-\\uFF3D\\uFF3F\\uFF5B\\uFF5D\\uFF5F-\\uFF65]/\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n */\n\nimport {unicodePunctuationRegex} from './lib/unicode-punctuation-regex.js'\n\n/**\n * Check whether the character code represents an ASCII alpha (`a` through `z`,\n * case insensitive).\n *\n * An **ASCII alpha** is an ASCII upper alpha or ASCII lower alpha.\n *\n * An **ASCII upper alpha** is a character in the inclusive range U+0041 (`A`)\n * to U+005A (`Z`).\n *\n * An **ASCII lower alpha** is a character in the inclusive range U+0061 (`a`)\n * to U+007A (`z`).\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const asciiAlpha = regexCheck(/[A-Za-z]/)\n\n/**\n * Check whether the character code represents an ASCII alphanumeric (`a`\n * through `z`, case insensitive, or `0` through `9`).\n *\n * An **ASCII alphanumeric** is an ASCII digit (see `asciiDigit`) or ASCII alpha\n * (see `asciiAlpha`).\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const asciiAlphanumeric = regexCheck(/[\\dA-Za-z]/)\n\n/**\n * Check whether the character code represents an ASCII atext.\n *\n * atext is an ASCII alphanumeric (see `asciiAlphanumeric`), or a character in\n * the inclusive ranges U+0023 NUMBER SIGN (`#`) to U+0027 APOSTROPHE (`'`),\n * U+002A ASTERISK (`*`), U+002B PLUS SIGN (`+`), U+002D DASH (`-`), U+002F\n * SLASH (`/`), U+003D EQUALS TO (`=`), U+003F QUESTION MARK (`?`), U+005E\n * CARET (`^`) to U+0060 GRAVE ACCENT (`` ` ``), or U+007B LEFT CURLY BRACE\n * (`{`) to U+007E TILDE (`~`).\n *\n * See:\n * **\\[RFC5322]**:\n * [Internet Message Format](https://tools.ietf.org/html/rfc5322).\n * P. Resnick.\n * IETF.\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const asciiAtext = regexCheck(/[#-'*+\\--9=?A-Z^-~]/)\n\n/**\n * Check whether a character code is an ASCII control character.\n *\n * An **ASCII control** is a character in the inclusive range U+0000 NULL (NUL)\n * to U+001F (US), or U+007F (DEL).\n *\n * @param {Code} code\n * Code.\n * @returns {boolean}\n * Whether it matches.\n */\nexport function asciiControl(code) {\n return (\n // Special whitespace codes (which have negative values), C0 and Control\n // character DEL\n code !== null && (code < 32 || code === 127)\n )\n}\n\n/**\n * Check whether the character code represents an ASCII digit (`0` through `9`).\n *\n * An **ASCII digit** is a character in the inclusive range U+0030 (`0`) to\n * U+0039 (`9`).\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const asciiDigit = regexCheck(/\\d/)\n\n/**\n * Check whether the character code represents an ASCII hex digit (`a` through\n * `f`, case insensitive, or `0` through `9`).\n *\n * An **ASCII hex digit** is an ASCII digit (see `asciiDigit`), ASCII upper hex\n * digit, or an ASCII lower hex digit.\n *\n * An **ASCII upper hex digit** is a character in the inclusive range U+0041\n * (`A`) to U+0046 (`F`).\n *\n * An **ASCII lower hex digit** is a character in the inclusive range U+0061\n * (`a`) to U+0066 (`f`).\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const asciiHexDigit = regexCheck(/[\\dA-Fa-f]/)\n\n/**\n * Check whether the character code represents ASCII punctuation.\n *\n * An **ASCII punctuation** is a character in the inclusive ranges U+0021\n * EXCLAMATION MARK (`!`) to U+002F SLASH (`/`), U+003A COLON (`:`) to U+0040 AT\n * SIGN (`@`), U+005B LEFT SQUARE BRACKET (`[`) to U+0060 GRAVE ACCENT\n * (`` ` ``), or U+007B LEFT CURLY BRACE (`{`) to U+007E TILDE (`~`).\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const asciiPunctuation = regexCheck(/[!-/:-@[-`{-~]/)\n\n/**\n * Check whether a character code is a markdown line ending.\n *\n * A **markdown line ending** is the virtual characters M-0003 CARRIAGE RETURN\n * LINE FEED (CRLF), M-0004 LINE FEED (LF) and M-0005 CARRIAGE RETURN (CR).\n *\n * In micromark, the actual character U+000A LINE FEED (LF) and U+000D CARRIAGE\n * RETURN (CR) are replaced by these virtual characters depending on whether\n * they occurred together.\n *\n * @param {Code} code\n * Code.\n * @returns {boolean}\n * Whether it matches.\n */\nexport function markdownLineEnding(code) {\n return code !== null && code < -2\n}\n\n/**\n * Check whether a character code is a markdown line ending (see\n * `markdownLineEnding`) or markdown space (see `markdownSpace`).\n *\n * @param {Code} code\n * Code.\n * @returns {boolean}\n * Whether it matches.\n */\nexport function markdownLineEndingOrSpace(code) {\n return code !== null && (code < 0 || code === 32)\n}\n\n/**\n * Check whether a character code is a markdown space.\n *\n * A **markdown space** is the concrete character U+0020 SPACE (SP) and the\n * virtual characters M-0001 VIRTUAL SPACE (VS) and M-0002 HORIZONTAL TAB (HT).\n *\n * In micromark, the actual character U+0009 CHARACTER TABULATION (HT) is\n * replaced by one M-0002 HORIZONTAL TAB (HT) and between 0 and 3 M-0001 VIRTUAL\n * SPACE (VS) characters, depending on the column at which the tab occurred.\n *\n * @param {Code} code\n * Code.\n * @returns {boolean}\n * Whether it matches.\n */\nexport function markdownSpace(code) {\n return code === -2 || code === -1 || code === 32\n}\n\n// Size note: removing ASCII from the regex and using `asciiPunctuation` here\n// In fact adds to the bundle size.\n/**\n * Check whether the character code represents Unicode punctuation.\n *\n * A **Unicode punctuation** is a character in the Unicode `Pc` (Punctuation,\n * Connector), `Pd` (Punctuation, Dash), `Pe` (Punctuation, Close), `Pf`\n * (Punctuation, Final quote), `Pi` (Punctuation, Initial quote), `Po`\n * (Punctuation, Other), or `Ps` (Punctuation, Open) categories, or an ASCII\n * punctuation (see `asciiPunctuation`).\n *\n * See:\n * **\\[UNICODE]**:\n * [The Unicode Standard](https://www.unicode.org/versions/).\n * Unicode Consortium.\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const unicodePunctuation = regexCheck(unicodePunctuationRegex)\n\n/**\n * Check whether the character code represents Unicode whitespace.\n *\n * Note that this does handle micromark specific markdown whitespace characters.\n * See `markdownLineEndingOrSpace` to check that.\n *\n * A **Unicode whitespace** is a character in the Unicode `Zs` (Separator,\n * Space) category, or U+0009 CHARACTER TABULATION (HT), U+000A LINE FEED (LF),\n * U+000C (FF), or U+000D CARRIAGE RETURN (CR) (**\\[UNICODE]**).\n *\n * See:\n * **\\[UNICODE]**:\n * [The Unicode Standard](https://www.unicode.org/versions/).\n * Unicode Consortium.\n *\n * @param code\n * Code.\n * @returns\n * Whether it matches.\n */\nexport const unicodeWhitespace = regexCheck(/\\s/)\n\n/**\n * Create a code check from a regex.\n *\n * @param {RegExp} regex\n * @returns {(code: Code) => boolean}\n */\nfunction regexCheck(regex) {\n return check\n\n /**\n * Check whether a code matches the bound regex.\n *\n * @param {Code} code\n * Character code.\n * @returns {boolean}\n * Whether the character code matches the bound regex.\n */\n function check(code) {\n return code !== null && regex.test(String.fromCharCode(code))\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Effects} Effects\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenType} TokenType\n */\n\nimport {markdownSpace} from 'micromark-util-character'\n\n// To do: implement `spaceOrTab`, `spaceOrTabMinMax`, `spaceOrTabWithOptions`.\n\n/**\n * Parse spaces and tabs.\n *\n * There is no `nok` parameter:\n *\n * * spaces in markdown are often optional, in which case this factory can be\n * used and `ok` will be switched to whether spaces were found or not\n * * one line ending or space can be detected with `markdownSpace(code)` right\n * before using `factorySpace`\n *\n * ###### Examples\n *\n * Where `␉` represents a tab (plus how much it expands) and `␠` represents a\n * single space.\n *\n * ```markdown\n * ␉\n * ␠␠␠␠\n * ␉␠\n * ```\n *\n * @param {Effects} effects\n * Context.\n * @param {State} ok\n * State switched to when successful.\n * @param {TokenType} type\n * Type (`' \\t'`).\n * @param {number | undefined} [max=Infinity]\n * Max (exclusive).\n * @returns\n * Start state.\n */\nexport function factorySpace(effects, ok, type, max) {\n const limit = max ? max - 1 : Number.POSITIVE_INFINITY\n let size = 0\n return start\n\n /** @type {State} */\n function start(code) {\n if (markdownSpace(code)) {\n effects.enter(type)\n return prefix(code)\n }\n return ok(code)\n }\n\n /** @type {State} */\n function prefix(code) {\n if (markdownSpace(code) && size++ < limit) {\n effects.consume(code)\n return prefix\n }\n effects.exit(type)\n return ok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').InitialConstruct} InitialConstruct\n * @typedef {import('micromark-util-types').Initializer} Initializer\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding} from 'micromark-util-character'\n/** @type {InitialConstruct} */\nexport const content = {\n tokenize: initializeContent\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Initializer}\n */\nfunction initializeContent(effects) {\n const contentStart = effects.attempt(\n this.parser.constructs.contentInitial,\n afterContentStartConstruct,\n paragraphInitial\n )\n /** @type {Token} */\n let previous\n return contentStart\n\n /** @type {State} */\n function afterContentStartConstruct(code) {\n if (code === null) {\n effects.consume(code)\n return\n }\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return factorySpace(effects, contentStart, 'linePrefix')\n }\n\n /** @type {State} */\n function paragraphInitial(code) {\n effects.enter('paragraph')\n return lineStart(code)\n }\n\n /** @type {State} */\n function lineStart(code) {\n const token = effects.enter('chunkText', {\n contentType: 'text',\n previous\n })\n if (previous) {\n previous.next = token\n }\n previous = token\n return data(code)\n }\n\n /** @type {State} */\n function data(code) {\n if (code === null) {\n effects.exit('chunkText')\n effects.exit('paragraph')\n effects.consume(code)\n return\n }\n if (markdownLineEnding(code)) {\n effects.consume(code)\n effects.exit('chunkText')\n return lineStart\n }\n\n // Data.\n effects.consume(code)\n return data\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').ContainerState} ContainerState\n * @typedef {import('micromark-util-types').InitialConstruct} InitialConstruct\n * @typedef {import('micromark-util-types').Initializer} Initializer\n * @typedef {import('micromark-util-types').Point} Point\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\n/**\n * @typedef {[Construct, ContainerState]} StackItem\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding} from 'micromark-util-character'\nimport {splice} from 'micromark-util-chunked'\n/** @type {InitialConstruct} */\nexport const document = {\n tokenize: initializeDocument\n}\n\n/** @type {Construct} */\nconst containerConstruct = {\n tokenize: tokenizeContainer\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Initializer}\n */\nfunction initializeDocument(effects) {\n const self = this\n /** @type {Array<StackItem>} */\n const stack = []\n let continued = 0\n /** @type {TokenizeContext | undefined} */\n let childFlow\n /** @type {Token | undefined} */\n let childToken\n /** @type {number} */\n let lineStartOffset\n return start\n\n /** @type {State} */\n function start(code) {\n // First we iterate through the open blocks, starting with the root\n // document, and descending through last children down to the last open\n // block.\n // Each block imposes a condition that the line must satisfy if the block is\n // to remain open.\n // For example, a block quote requires a `>` character.\n // A paragraph requires a non-blank line.\n // In this phase we may match all or just some of the open blocks.\n // But we cannot close unmatched blocks yet, because we may have a lazy\n // continuation line.\n if (continued < stack.length) {\n const item = stack[continued]\n self.containerState = item[1]\n return effects.attempt(\n item[0].continuation,\n documentContinue,\n checkNewContainers\n )(code)\n }\n\n // Done.\n return checkNewContainers(code)\n }\n\n /** @type {State} */\n function documentContinue(code) {\n continued++\n\n // Note: this field is called `_closeFlow` but it also closes containers.\n // Perhaps a good idea to rename it but it’s already used in the wild by\n // extensions.\n if (self.containerState._closeFlow) {\n self.containerState._closeFlow = undefined\n if (childFlow) {\n closeFlow()\n }\n\n // Note: this algorithm for moving events around is similar to the\n // algorithm when dealing with lazy lines in `writeToChild`.\n const indexBeforeExits = self.events.length\n let indexBeforeFlow = indexBeforeExits\n /** @type {Point | undefined} */\n let point\n\n // Find the flow chunk.\n while (indexBeforeFlow--) {\n if (\n self.events[indexBeforeFlow][0] === 'exit' &&\n self.events[indexBeforeFlow][1].type === 'chunkFlow'\n ) {\n point = self.events[indexBeforeFlow][1].end\n break\n }\n }\n exitContainers(continued)\n\n // Fix positions.\n let index = indexBeforeExits\n while (index < self.events.length) {\n self.events[index][1].end = Object.assign({}, point)\n index++\n }\n\n // Inject the exits earlier (they’re still also at the end).\n splice(\n self.events,\n indexBeforeFlow + 1,\n 0,\n self.events.slice(indexBeforeExits)\n )\n\n // Discard the duplicate exits.\n self.events.length = index\n return checkNewContainers(code)\n }\n return start(code)\n }\n\n /** @type {State} */\n function checkNewContainers(code) {\n // Next, after consuming the continuation markers for existing blocks, we\n // look for new block starts (e.g. `>` for a block quote).\n // If we encounter a new block start, we close any blocks unmatched in\n // step 1 before creating the new block as a child of the last matched\n // block.\n if (continued === stack.length) {\n // No need to `check` whether there’s a container, of `exitContainers`\n // would be moot.\n // We can instead immediately `attempt` to parse one.\n if (!childFlow) {\n return documentContinued(code)\n }\n\n // If we have concrete content, such as block HTML or fenced code,\n // we can’t have containers “pierce” into them, so we can immediately\n // start.\n if (childFlow.currentConstruct && childFlow.currentConstruct.concrete) {\n return flowStart(code)\n }\n\n // If we do have flow, it could still be a blank line,\n // but we’d be interrupting it w/ a new container if there’s a current\n // construct.\n // To do: next major: remove `_gfmTableDynamicInterruptHack` (no longer\n // needed in micromark-extension-gfm-table@1.0.6).\n self.interrupt = Boolean(\n childFlow.currentConstruct && !childFlow._gfmTableDynamicInterruptHack\n )\n }\n\n // Check if there is a new container.\n self.containerState = {}\n return effects.check(\n containerConstruct,\n thereIsANewContainer,\n thereIsNoNewContainer\n )(code)\n }\n\n /** @type {State} */\n function thereIsANewContainer(code) {\n if (childFlow) closeFlow()\n exitContainers(continued)\n return documentContinued(code)\n }\n\n /** @type {State} */\n function thereIsNoNewContainer(code) {\n self.parser.lazy[self.now().line] = continued !== stack.length\n lineStartOffset = self.now().offset\n return flowStart(code)\n }\n\n /** @type {State} */\n function documentContinued(code) {\n // Try new containers.\n self.containerState = {}\n return effects.attempt(\n containerConstruct,\n containerContinue,\n flowStart\n )(code)\n }\n\n /** @type {State} */\n function containerContinue(code) {\n continued++\n stack.push([self.currentConstruct, self.containerState])\n // Try another.\n return documentContinued(code)\n }\n\n /** @type {State} */\n function flowStart(code) {\n if (code === null) {\n if (childFlow) closeFlow()\n exitContainers(0)\n effects.consume(code)\n return\n }\n childFlow = childFlow || self.parser.flow(self.now())\n effects.enter('chunkFlow', {\n contentType: 'flow',\n previous: childToken,\n _tokenizer: childFlow\n })\n return flowContinue(code)\n }\n\n /** @type {State} */\n function flowContinue(code) {\n if (code === null) {\n writeToChild(effects.exit('chunkFlow'), true)\n exitContainers(0)\n effects.consume(code)\n return\n }\n if (markdownLineEnding(code)) {\n effects.consume(code)\n writeToChild(effects.exit('chunkFlow'))\n // Get ready for the next line.\n continued = 0\n self.interrupt = undefined\n return start\n }\n effects.consume(code)\n return flowContinue\n }\n\n /**\n * @param {Token} token\n * @param {boolean | undefined} [eof]\n * @returns {void}\n */\n function writeToChild(token, eof) {\n const stream = self.sliceStream(token)\n if (eof) stream.push(null)\n token.previous = childToken\n if (childToken) childToken.next = token\n childToken = token\n childFlow.defineSkip(token.start)\n childFlow.write(stream)\n\n // Alright, so we just added a lazy line:\n //\n // ```markdown\n // > a\n // b.\n //\n // Or:\n //\n // > ~~~c\n // d\n //\n // Or:\n //\n // > | e |\n // f\n // ```\n //\n // The construct in the second example (fenced code) does not accept lazy\n // lines, so it marked itself as done at the end of its first line, and\n // then the content construct parses `d`.\n // Most constructs in markdown match on the first line: if the first line\n // forms a construct, a non-lazy line can’t “unmake” it.\n //\n // The construct in the third example is potentially a GFM table, and\n // those are *weird*.\n // It *could* be a table, from the first line, if the following line\n // matches a condition.\n // In this case, that second line is lazy, which “unmakes” the first line\n // and turns the whole into one content block.\n //\n // We’ve now parsed the non-lazy and the lazy line, and can figure out\n // whether the lazy line started a new flow block.\n // If it did, we exit the current containers between the two flow blocks.\n if (self.parser.lazy[token.start.line]) {\n let index = childFlow.events.length\n while (index--) {\n if (\n // The token starts before the line ending…\n childFlow.events[index][1].start.offset < lineStartOffset &&\n // …and either is not ended yet…\n (!childFlow.events[index][1].end ||\n // …or ends after it.\n childFlow.events[index][1].end.offset > lineStartOffset)\n ) {\n // Exit: there’s still something open, which means it’s a lazy line\n // part of something.\n return\n }\n }\n\n // Note: this algorithm for moving events around is similar to the\n // algorithm when closing flow in `documentContinue`.\n const indexBeforeExits = self.events.length\n let indexBeforeFlow = indexBeforeExits\n /** @type {boolean | undefined} */\n let seen\n /** @type {Point | undefined} */\n let point\n\n // Find the previous chunk (the one before the lazy line).\n while (indexBeforeFlow--) {\n if (\n self.events[indexBeforeFlow][0] === 'exit' &&\n self.events[indexBeforeFlow][1].type === 'chunkFlow'\n ) {\n if (seen) {\n point = self.events[indexBeforeFlow][1].end\n break\n }\n seen = true\n }\n }\n exitContainers(continued)\n\n // Fix positions.\n index = indexBeforeExits\n while (index < self.events.length) {\n self.events[index][1].end = Object.assign({}, point)\n index++\n }\n\n // Inject the exits earlier (they’re still also at the end).\n splice(\n self.events,\n indexBeforeFlow + 1,\n 0,\n self.events.slice(indexBeforeExits)\n )\n\n // Discard the duplicate exits.\n self.events.length = index\n }\n }\n\n /**\n * @param {number} size\n * @returns {void}\n */\n function exitContainers(size) {\n let index = stack.length\n\n // Exit open containers.\n while (index-- > size) {\n const entry = stack[index]\n self.containerState = entry[1]\n entry[0].exit.call(self, effects)\n }\n stack.length = size\n }\n function closeFlow() {\n childFlow.write([null])\n childToken = undefined\n childFlow = undefined\n self.containerState._closeFlow = undefined\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeContainer(effects, ok, nok) {\n // Always populated by defaults.\n\n return factorySpace(\n effects,\n effects.attempt(this.parser.constructs.document, ok, nok),\n 'linePrefix',\n this.parser.constructs.disable.null.includes('codeIndented') ? undefined : 4\n )\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding, markdownSpace} from 'micromark-util-character'\n/** @type {Construct} */\nexport const blankLine = {\n tokenize: tokenizeBlankLine,\n partial: true\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeBlankLine(effects, ok, nok) {\n return start\n\n /**\n * Start of blank line.\n *\n * > 👉 **Note**: `␠` represents a space character.\n *\n * ```markdown\n * > | ␠␠␊\n * ^\n * > | ␊\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n return markdownSpace(code)\n ? factorySpace(effects, after, 'linePrefix')(code)\n : after(code)\n }\n\n /**\n * At eof/eol, after optional whitespace.\n *\n * > 👉 **Note**: `␠` represents a space character.\n *\n * ```markdown\n * > | ␠␠␊\n * ^\n * > | ␊\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n return code === null || markdownLineEnding(code) ? ok(code) : nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Chunk} Chunk\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Token} Token\n */\n\nimport {splice} from 'micromark-util-chunked'\n/**\n * Tokenize subcontent.\n *\n * @param {Array<Event>} events\n * List of events.\n * @returns {boolean}\n * Whether subtokens were found.\n */\nexport function subtokenize(events) {\n /** @type {Record<string, number>} */\n const jumps = {}\n let index = -1\n /** @type {Event} */\n let event\n /** @type {number | undefined} */\n let lineIndex\n /** @type {number} */\n let otherIndex\n /** @type {Event} */\n let otherEvent\n /** @type {Array<Event>} */\n let parameters\n /** @type {Array<Event>} */\n let subevents\n /** @type {boolean | undefined} */\n let more\n while (++index < events.length) {\n while (index in jumps) {\n index = jumps[index]\n }\n event = events[index]\n\n // Add a hook for the GFM tasklist extension, which needs to know if text\n // is in the first content of a list item.\n if (\n index &&\n event[1].type === 'chunkFlow' &&\n events[index - 1][1].type === 'listItemPrefix'\n ) {\n subevents = event[1]._tokenizer.events\n otherIndex = 0\n if (\n otherIndex < subevents.length &&\n subevents[otherIndex][1].type === 'lineEndingBlank'\n ) {\n otherIndex += 2\n }\n if (\n otherIndex < subevents.length &&\n subevents[otherIndex][1].type === 'content'\n ) {\n while (++otherIndex < subevents.length) {\n if (subevents[otherIndex][1].type === 'content') {\n break\n }\n if (subevents[otherIndex][1].type === 'chunkText') {\n subevents[otherIndex][1]._isInFirstContentOfListItem = true\n otherIndex++\n }\n }\n }\n }\n\n // Enter.\n if (event[0] === 'enter') {\n if (event[1].contentType) {\n Object.assign(jumps, subcontent(events, index))\n index = jumps[index]\n more = true\n }\n }\n // Exit.\n else if (event[1]._container) {\n otherIndex = index\n lineIndex = undefined\n while (otherIndex--) {\n otherEvent = events[otherIndex]\n if (\n otherEvent[1].type === 'lineEnding' ||\n otherEvent[1].type === 'lineEndingBlank'\n ) {\n if (otherEvent[0] === 'enter') {\n if (lineIndex) {\n events[lineIndex][1].type = 'lineEndingBlank'\n }\n otherEvent[1].type = 'lineEnding'\n lineIndex = otherIndex\n }\n } else {\n break\n }\n }\n if (lineIndex) {\n // Fix position.\n event[1].end = Object.assign({}, events[lineIndex][1].start)\n\n // Switch container exit w/ line endings.\n parameters = events.slice(lineIndex, index)\n parameters.unshift(event)\n splice(events, lineIndex, index - lineIndex + 1, parameters)\n }\n }\n }\n return !more\n}\n\n/**\n * Tokenize embedded tokens.\n *\n * @param {Array<Event>} events\n * @param {number} eventIndex\n * @returns {Record<string, number>}\n */\nfunction subcontent(events, eventIndex) {\n const token = events[eventIndex][1]\n const context = events[eventIndex][2]\n let startPosition = eventIndex - 1\n /** @type {Array<number>} */\n const startPositions = []\n const tokenizer =\n token._tokenizer || context.parser[token.contentType](token.start)\n const childEvents = tokenizer.events\n /** @type {Array<[number, number]>} */\n const jumps = []\n /** @type {Record<string, number>} */\n const gaps = {}\n /** @type {Array<Chunk>} */\n let stream\n /** @type {Token | undefined} */\n let previous\n let index = -1\n /** @type {Token | undefined} */\n let current = token\n let adjust = 0\n let start = 0\n const breaks = [start]\n\n // Loop forward through the linked tokens to pass them in order to the\n // subtokenizer.\n while (current) {\n // Find the position of the event for this token.\n while (events[++startPosition][1] !== current) {\n // Empty.\n }\n startPositions.push(startPosition)\n if (!current._tokenizer) {\n stream = context.sliceStream(current)\n if (!current.next) {\n stream.push(null)\n }\n if (previous) {\n tokenizer.defineSkip(current.start)\n }\n if (current._isInFirstContentOfListItem) {\n tokenizer._gfmTasklistFirstContentOfListItem = true\n }\n tokenizer.write(stream)\n if (current._isInFirstContentOfListItem) {\n tokenizer._gfmTasklistFirstContentOfListItem = undefined\n }\n }\n\n // Unravel the next token.\n previous = current\n current = current.next\n }\n\n // Now, loop back through all events (and linked tokens), to figure out which\n // parts belong where.\n current = token\n while (++index < childEvents.length) {\n if (\n // Find a void token that includes a break.\n childEvents[index][0] === 'exit' &&\n childEvents[index - 1][0] === 'enter' &&\n childEvents[index][1].type === childEvents[index - 1][1].type &&\n childEvents[index][1].start.line !== childEvents[index][1].end.line\n ) {\n start = index + 1\n breaks.push(start)\n // Help GC.\n current._tokenizer = undefined\n current.previous = undefined\n current = current.next\n }\n }\n\n // Help GC.\n tokenizer.events = []\n\n // If there’s one more token (which is the cases for lines that end in an\n // EOF), that’s perfect: the last point we found starts it.\n // If there isn’t then make sure any remaining content is added to it.\n if (current) {\n // Help GC.\n current._tokenizer = undefined\n current.previous = undefined\n } else {\n breaks.pop()\n }\n\n // Now splice the events from the subtokenizer into the current events,\n // moving back to front so that splice indices aren’t affected.\n index = breaks.length\n while (index--) {\n const slice = childEvents.slice(breaks[index], breaks[index + 1])\n const start = startPositions.pop()\n jumps.unshift([start, start + slice.length - 1])\n splice(events, start, 2, slice)\n }\n index = -1\n while (++index < jumps.length) {\n gaps[adjust + jumps[index][0]] = adjust + jumps[index][1]\n adjust += jumps[index][1] - jumps[index][0] - 1\n }\n return gaps\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding} from 'micromark-util-character'\nimport {subtokenize} from 'micromark-util-subtokenize'\n/**\n * No name because it must not be turned off.\n * @type {Construct}\n */\nexport const content = {\n tokenize: tokenizeContent,\n resolve: resolveContent\n}\n\n/** @type {Construct} */\nconst continuationConstruct = {\n tokenize: tokenizeContinuation,\n partial: true\n}\n\n/**\n * Content is transparent: it’s parsed right now. That way, definitions are also\n * parsed right now: before text in paragraphs (specifically, media) are parsed.\n *\n * @type {Resolver}\n */\nfunction resolveContent(events) {\n subtokenize(events)\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeContent(effects, ok) {\n /** @type {Token | undefined} */\n let previous\n return chunkStart\n\n /**\n * Before a content chunk.\n *\n * ```markdown\n * > | abc\n * ^\n * ```\n *\n * @type {State}\n */\n function chunkStart(code) {\n effects.enter('content')\n previous = effects.enter('chunkContent', {\n contentType: 'content'\n })\n return chunkInside(code)\n }\n\n /**\n * In a content chunk.\n *\n * ```markdown\n * > | abc\n * ^^^\n * ```\n *\n * @type {State}\n */\n function chunkInside(code) {\n if (code === null) {\n return contentEnd(code)\n }\n\n // To do: in `markdown-rs`, each line is parsed on its own, and everything\n // is stitched together resolving.\n if (markdownLineEnding(code)) {\n return effects.check(\n continuationConstruct,\n contentContinue,\n contentEnd\n )(code)\n }\n\n // Data.\n effects.consume(code)\n return chunkInside\n }\n\n /**\n *\n *\n * @type {State}\n */\n function contentEnd(code) {\n effects.exit('chunkContent')\n effects.exit('content')\n return ok(code)\n }\n\n /**\n *\n *\n * @type {State}\n */\n function contentContinue(code) {\n effects.consume(code)\n effects.exit('chunkContent')\n previous.next = effects.enter('chunkContent', {\n contentType: 'content',\n previous\n })\n previous = previous.next\n return chunkInside\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeContinuation(effects, ok, nok) {\n const self = this\n return startLookahead\n\n /**\n *\n *\n * @type {State}\n */\n function startLookahead(code) {\n effects.exit('chunkContent')\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return factorySpace(effects, prefixed, 'linePrefix')\n }\n\n /**\n *\n *\n * @type {State}\n */\n function prefixed(code) {\n if (code === null || markdownLineEnding(code)) {\n return nok(code)\n }\n\n // Always populated by defaults.\n\n const tail = self.events[self.events.length - 1]\n if (\n !self.parser.constructs.disable.null.includes('codeIndented') &&\n tail &&\n tail[1].type === 'linePrefix' &&\n tail[2].sliceSerialize(tail[1], true).length >= 4\n ) {\n return ok(code)\n }\n return effects.interrupt(self.parser.constructs.flow, nok, ok)(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').InitialConstruct} InitialConstruct\n * @typedef {import('micromark-util-types').Initializer} Initializer\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n */\n\nimport {blankLine, content} from 'micromark-core-commonmark'\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding} from 'micromark-util-character'\n/** @type {InitialConstruct} */\nexport const flow = {\n tokenize: initializeFlow\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Initializer}\n */\nfunction initializeFlow(effects) {\n const self = this\n const initial = effects.attempt(\n // Try to parse a blank line.\n blankLine,\n atBlankEnding,\n // Try to parse initial flow (essentially, only code).\n effects.attempt(\n this.parser.constructs.flowInitial,\n afterConstruct,\n factorySpace(\n effects,\n effects.attempt(\n this.parser.constructs.flow,\n afterConstruct,\n effects.attempt(content, afterConstruct)\n ),\n 'linePrefix'\n )\n )\n )\n return initial\n\n /** @type {State} */\n function atBlankEnding(code) {\n if (code === null) {\n effects.consume(code)\n return\n }\n effects.enter('lineEndingBlank')\n effects.consume(code)\n effects.exit('lineEndingBlank')\n self.currentConstruct = undefined\n return initial\n }\n\n /** @type {State} */\n function afterConstruct(code) {\n if (code === null) {\n effects.consume(code)\n return\n }\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n self.currentConstruct = undefined\n return initial\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').InitialConstruct} InitialConstruct\n * @typedef {import('micromark-util-types').Initializer} Initializer\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n */\n\nexport const resolver = {\n resolveAll: createResolver()\n}\nexport const string = initializeFactory('string')\nexport const text = initializeFactory('text')\n\n/**\n * @param {'string' | 'text'} field\n * @returns {InitialConstruct}\n */\nfunction initializeFactory(field) {\n return {\n tokenize: initializeText,\n resolveAll: createResolver(\n field === 'text' ? resolveAllLineSuffixes : undefined\n )\n }\n\n /**\n * @this {TokenizeContext}\n * @type {Initializer}\n */\n function initializeText(effects) {\n const self = this\n const constructs = this.parser.constructs[field]\n const text = effects.attempt(constructs, start, notText)\n return start\n\n /** @type {State} */\n function start(code) {\n return atBreak(code) ? text(code) : notText(code)\n }\n\n /** @type {State} */\n function notText(code) {\n if (code === null) {\n effects.consume(code)\n return\n }\n effects.enter('data')\n effects.consume(code)\n return data\n }\n\n /** @type {State} */\n function data(code) {\n if (atBreak(code)) {\n effects.exit('data')\n return text(code)\n }\n\n // Data.\n effects.consume(code)\n return data\n }\n\n /**\n * @param {Code} code\n * @returns {boolean}\n */\n function atBreak(code) {\n if (code === null) {\n return true\n }\n const list = constructs[code]\n let index = -1\n if (list) {\n // Always populated by defaults.\n\n while (++index < list.length) {\n const item = list[index]\n if (!item.previous || item.previous.call(self, self.previous)) {\n return true\n }\n }\n }\n return false\n }\n }\n}\n\n/**\n * @param {Resolver | undefined} [extraResolver]\n * @returns {Resolver}\n */\nfunction createResolver(extraResolver) {\n return resolveAllText\n\n /** @type {Resolver} */\n function resolveAllText(events, context) {\n let index = -1\n /** @type {number | undefined} */\n let enter\n\n // A rather boring computation (to merge adjacent `data` events) which\n // improves mm performance by 29%.\n while (++index <= events.length) {\n if (enter === undefined) {\n if (events[index] && events[index][1].type === 'data') {\n enter = index\n index++\n }\n } else if (!events[index] || events[index][1].type !== 'data') {\n // Don’t do anything if there is one data token.\n if (index !== enter + 2) {\n events[enter][1].end = events[index - 1][1].end\n events.splice(enter + 2, index - enter - 2)\n index = enter + 2\n }\n enter = undefined\n }\n }\n return extraResolver ? extraResolver(events, context) : events\n }\n}\n\n/**\n * A rather ugly set of instructions which again looks at chunks in the input\n * stream.\n * The reason to do this here is that it is *much* faster to parse in reverse.\n * And that we can’t hook into `null` to split the line suffix before an EOF.\n * To do: figure out if we can make this into a clean utility, or even in core.\n * As it will be useful for GFMs literal autolink extension (and maybe even\n * tables?)\n *\n * @type {Resolver}\n */\nfunction resolveAllLineSuffixes(events, context) {\n let eventIndex = 0 // Skip first.\n\n while (++eventIndex <= events.length) {\n if (\n (eventIndex === events.length ||\n events[eventIndex][1].type === 'lineEnding') &&\n events[eventIndex - 1][1].type === 'data'\n ) {\n const data = events[eventIndex - 1][1]\n const chunks = context.sliceStream(data)\n let index = chunks.length\n let bufferIndex = -1\n let size = 0\n /** @type {boolean | undefined} */\n let tabs\n while (index--) {\n const chunk = chunks[index]\n if (typeof chunk === 'string') {\n bufferIndex = chunk.length\n while (chunk.charCodeAt(bufferIndex - 1) === 32) {\n size++\n bufferIndex--\n }\n if (bufferIndex) break\n bufferIndex = -1\n }\n // Number\n else if (chunk === -2) {\n tabs = true\n size++\n } else if (chunk === -1) {\n // Empty\n } else {\n // Replacement character, exit.\n index++\n break\n }\n }\n if (size) {\n const token = {\n type:\n eventIndex === events.length || tabs || size < 2\n ? 'lineSuffix'\n : 'hardBreakTrailing',\n start: {\n line: data.end.line,\n column: data.end.column - size,\n offset: data.end.offset - size,\n _index: data.start._index + index,\n _bufferIndex: index\n ? bufferIndex\n : data.start._bufferIndex + bufferIndex\n },\n end: Object.assign({}, data.end)\n }\n data.end = Object.assign({}, token.start)\n if (data.start.offset === data.end.offset) {\n Object.assign(data, token)\n } else {\n events.splice(\n eventIndex,\n 0,\n ['enter', token, context],\n ['exit', token, context]\n )\n eventIndex += 2\n }\n }\n eventIndex++\n }\n }\n return events\n}\n","/**\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n */\n\n/**\n * Call all `resolveAll`s.\n *\n * @param {Array<{resolveAll?: Resolver | undefined}>} constructs\n * List of constructs, optionally with `resolveAll`s.\n * @param {Array<Event>} events\n * List of events.\n * @param {TokenizeContext} context\n * Context used by `tokenize`.\n * @returns {Array<Event>}\n * Changed events.\n */\nexport function resolveAll(constructs, events, context) {\n /** @type {Array<Resolver>} */\n const called = []\n let index = -1\n\n while (++index < constructs.length) {\n const resolve = constructs[index].resolveAll\n\n if (resolve && !called.includes(resolve)) {\n events = resolve(events, context)\n called.push(resolve)\n }\n }\n\n return events\n}\n","/**\n * @typedef {import('micromark-util-types').Chunk} Chunk\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').ConstructRecord} ConstructRecord\n * @typedef {import('micromark-util-types').Effects} Effects\n * @typedef {import('micromark-util-types').InitialConstruct} InitialConstruct\n * @typedef {import('micromark-util-types').ParseContext} ParseContext\n * @typedef {import('micromark-util-types').Point} Point\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenType} TokenType\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n */\n\n/**\n * @callback Restore\n * @returns {void}\n *\n * @typedef Info\n * @property {Restore} restore\n * @property {number} from\n *\n * @callback ReturnHandle\n * Handle a successful run.\n * @param {Construct} construct\n * @param {Info} info\n * @returns {void}\n */\n\nimport {markdownLineEnding} from 'micromark-util-character'\nimport {push, splice} from 'micromark-util-chunked'\nimport {resolveAll} from 'micromark-util-resolve-all'\n/**\n * Create a tokenizer.\n * Tokenizers deal with one type of data (e.g., containers, flow, text).\n * The parser is the object dealing with it all.\n * `initialize` works like other constructs, except that only its `tokenize`\n * function is used, in which case it doesn’t receive an `ok` or `nok`.\n * `from` can be given to set the point before the first character, although\n * when further lines are indented, they must be set with `defineSkip`.\n *\n * @param {ParseContext} parser\n * @param {InitialConstruct} initialize\n * @param {Omit<Point, '_bufferIndex' | '_index'> | undefined} [from]\n * @returns {TokenizeContext}\n */\nexport function createTokenizer(parser, initialize, from) {\n /** @type {Point} */\n let point = Object.assign(\n from\n ? Object.assign({}, from)\n : {\n line: 1,\n column: 1,\n offset: 0\n },\n {\n _index: 0,\n _bufferIndex: -1\n }\n )\n /** @type {Record<string, number>} */\n const columnStart = {}\n /** @type {Array<Construct>} */\n const resolveAllConstructs = []\n /** @type {Array<Chunk>} */\n let chunks = []\n /** @type {Array<Token>} */\n let stack = []\n /** @type {boolean | undefined} */\n let consumed = true\n\n /**\n * Tools used for tokenizing.\n *\n * @type {Effects}\n */\n const effects = {\n consume,\n enter,\n exit,\n attempt: constructFactory(onsuccessfulconstruct),\n check: constructFactory(onsuccessfulcheck),\n interrupt: constructFactory(onsuccessfulcheck, {\n interrupt: true\n })\n }\n\n /**\n * State and tools for resolving and serializing.\n *\n * @type {TokenizeContext}\n */\n const context = {\n previous: null,\n code: null,\n containerState: {},\n events: [],\n parser,\n sliceStream,\n sliceSerialize,\n now,\n defineSkip,\n write\n }\n\n /**\n * The state function.\n *\n * @type {State | void}\n */\n let state = initialize.tokenize.call(context, effects)\n\n /**\n * Track which character we expect to be consumed, to catch bugs.\n *\n * @type {Code}\n */\n let expectedCode\n if (initialize.resolveAll) {\n resolveAllConstructs.push(initialize)\n }\n return context\n\n /** @type {TokenizeContext['write']} */\n function write(slice) {\n chunks = push(chunks, slice)\n main()\n\n // Exit if we’re not done, resolve might change stuff.\n if (chunks[chunks.length - 1] !== null) {\n return []\n }\n addResult(initialize, 0)\n\n // Otherwise, resolve, and exit.\n context.events = resolveAll(resolveAllConstructs, context.events, context)\n return context.events\n }\n\n //\n // Tools.\n //\n\n /** @type {TokenizeContext['sliceSerialize']} */\n function sliceSerialize(token, expandTabs) {\n return serializeChunks(sliceStream(token), expandTabs)\n }\n\n /** @type {TokenizeContext['sliceStream']} */\n function sliceStream(token) {\n return sliceChunks(chunks, token)\n }\n\n /** @type {TokenizeContext['now']} */\n function now() {\n // This is a hot path, so we clone manually instead of `Object.assign({}, point)`\n const {line, column, offset, _index, _bufferIndex} = point\n return {\n line,\n column,\n offset,\n _index,\n _bufferIndex\n }\n }\n\n /** @type {TokenizeContext['defineSkip']} */\n function defineSkip(value) {\n columnStart[value.line] = value.column\n accountForPotentialSkip()\n }\n\n //\n // State management.\n //\n\n /**\n * Main loop (note that `_index` and `_bufferIndex` in `point` are modified by\n * `consume`).\n * Here is where we walk through the chunks, which either include strings of\n * several characters, or numerical character codes.\n * The reason to do this in a loop instead of a call is so the stack can\n * drain.\n *\n * @returns {void}\n */\n function main() {\n /** @type {number} */\n let chunkIndex\n while (point._index < chunks.length) {\n const chunk = chunks[point._index]\n\n // If we’re in a buffer chunk, loop through it.\n if (typeof chunk === 'string') {\n chunkIndex = point._index\n if (point._bufferIndex < 0) {\n point._bufferIndex = 0\n }\n while (\n point._index === chunkIndex &&\n point._bufferIndex < chunk.length\n ) {\n go(chunk.charCodeAt(point._bufferIndex))\n }\n } else {\n go(chunk)\n }\n }\n }\n\n /**\n * Deal with one code.\n *\n * @param {Code} code\n * @returns {void}\n */\n function go(code) {\n consumed = undefined\n expectedCode = code\n state = state(code)\n }\n\n /** @type {Effects['consume']} */\n function consume(code) {\n if (markdownLineEnding(code)) {\n point.line++\n point.column = 1\n point.offset += code === -3 ? 2 : 1\n accountForPotentialSkip()\n } else if (code !== -1) {\n point.column++\n point.offset++\n }\n\n // Not in a string chunk.\n if (point._bufferIndex < 0) {\n point._index++\n } else {\n point._bufferIndex++\n\n // At end of string chunk.\n // @ts-expect-error Points w/ non-negative `_bufferIndex` reference\n // strings.\n if (point._bufferIndex === chunks[point._index].length) {\n point._bufferIndex = -1\n point._index++\n }\n }\n\n // Expose the previous character.\n context.previous = code\n\n // Mark as consumed.\n consumed = true\n }\n\n /** @type {Effects['enter']} */\n function enter(type, fields) {\n /** @type {Token} */\n // @ts-expect-error Patch instead of assign required fields to help GC.\n const token = fields || {}\n token.type = type\n token.start = now()\n context.events.push(['enter', token, context])\n stack.push(token)\n return token\n }\n\n /** @type {Effects['exit']} */\n function exit(type) {\n const token = stack.pop()\n token.end = now()\n context.events.push(['exit', token, context])\n return token\n }\n\n /**\n * Use results.\n *\n * @type {ReturnHandle}\n */\n function onsuccessfulconstruct(construct, info) {\n addResult(construct, info.from)\n }\n\n /**\n * Discard results.\n *\n * @type {ReturnHandle}\n */\n function onsuccessfulcheck(_, info) {\n info.restore()\n }\n\n /**\n * Factory to attempt/check/interrupt.\n *\n * @param {ReturnHandle} onreturn\n * @param {{interrupt?: boolean | undefined} | undefined} [fields]\n */\n function constructFactory(onreturn, fields) {\n return hook\n\n /**\n * Handle either an object mapping codes to constructs, a list of\n * constructs, or a single construct.\n *\n * @param {Array<Construct> | Construct | ConstructRecord} constructs\n * @param {State} returnState\n * @param {State | undefined} [bogusState]\n * @returns {State}\n */\n function hook(constructs, returnState, bogusState) {\n /** @type {Array<Construct>} */\n let listOfConstructs\n /** @type {number} */\n let constructIndex\n /** @type {Construct} */\n let currentConstruct\n /** @type {Info} */\n let info\n return Array.isArray(constructs) /* c8 ignore next 1 */\n ? handleListOfConstructs(constructs)\n : 'tokenize' in constructs\n ? // @ts-expect-error Looks like a construct.\n handleListOfConstructs([constructs])\n : handleMapOfConstructs(constructs)\n\n /**\n * Handle a list of construct.\n *\n * @param {ConstructRecord} map\n * @returns {State}\n */\n function handleMapOfConstructs(map) {\n return start\n\n /** @type {State} */\n function start(code) {\n const def = code !== null && map[code]\n const all = code !== null && map.null\n const list = [\n // To do: add more extension tests.\n /* c8 ignore next 2 */\n ...(Array.isArray(def) ? def : def ? [def] : []),\n ...(Array.isArray(all) ? all : all ? [all] : [])\n ]\n return handleListOfConstructs(list)(code)\n }\n }\n\n /**\n * Handle a list of construct.\n *\n * @param {Array<Construct>} list\n * @returns {State}\n */\n function handleListOfConstructs(list) {\n listOfConstructs = list\n constructIndex = 0\n if (list.length === 0) {\n return bogusState\n }\n return handleConstruct(list[constructIndex])\n }\n\n /**\n * Handle a single construct.\n *\n * @param {Construct} construct\n * @returns {State}\n */\n function handleConstruct(construct) {\n return start\n\n /** @type {State} */\n function start(code) {\n // To do: not needed to store if there is no bogus state, probably?\n // Currently doesn’t work because `inspect` in document does a check\n // w/o a bogus, which doesn’t make sense. But it does seem to help perf\n // by not storing.\n info = store()\n currentConstruct = construct\n if (!construct.partial) {\n context.currentConstruct = construct\n }\n\n // Always populated by defaults.\n\n if (\n construct.name &&\n context.parser.constructs.disable.null.includes(construct.name)\n ) {\n return nok(code)\n }\n return construct.tokenize.call(\n // If we do have fields, create an object w/ `context` as its\n // prototype.\n // This allows a “live binding”, which is needed for `interrupt`.\n fields ? Object.assign(Object.create(context), fields) : context,\n effects,\n ok,\n nok\n )(code)\n }\n }\n\n /** @type {State} */\n function ok(code) {\n consumed = true\n onreturn(currentConstruct, info)\n return returnState\n }\n\n /** @type {State} */\n function nok(code) {\n consumed = true\n info.restore()\n if (++constructIndex < listOfConstructs.length) {\n return handleConstruct(listOfConstructs[constructIndex])\n }\n return bogusState\n }\n }\n }\n\n /**\n * @param {Construct} construct\n * @param {number} from\n * @returns {void}\n */\n function addResult(construct, from) {\n if (construct.resolveAll && !resolveAllConstructs.includes(construct)) {\n resolveAllConstructs.push(construct)\n }\n if (construct.resolve) {\n splice(\n context.events,\n from,\n context.events.length - from,\n construct.resolve(context.events.slice(from), context)\n )\n }\n if (construct.resolveTo) {\n context.events = construct.resolveTo(context.events, context)\n }\n }\n\n /**\n * Store state.\n *\n * @returns {Info}\n */\n function store() {\n const startPoint = now()\n const startPrevious = context.previous\n const startCurrentConstruct = context.currentConstruct\n const startEventsIndex = context.events.length\n const startStack = Array.from(stack)\n return {\n restore,\n from: startEventsIndex\n }\n\n /**\n * Restore state.\n *\n * @returns {void}\n */\n function restore() {\n point = startPoint\n context.previous = startPrevious\n context.currentConstruct = startCurrentConstruct\n context.events.length = startEventsIndex\n stack = startStack\n accountForPotentialSkip()\n }\n }\n\n /**\n * Move the current point a bit forward in the line when it’s on a column\n * skip.\n *\n * @returns {void}\n */\n function accountForPotentialSkip() {\n if (point.line in columnStart && point.column < 2) {\n point.column = columnStart[point.line]\n point.offset += columnStart[point.line] - 1\n }\n }\n}\n\n/**\n * Get the chunks from a slice of chunks in the range of a token.\n *\n * @param {Array<Chunk>} chunks\n * @param {Pick<Token, 'end' | 'start'>} token\n * @returns {Array<Chunk>}\n */\nfunction sliceChunks(chunks, token) {\n const startIndex = token.start._index\n const startBufferIndex = token.start._bufferIndex\n const endIndex = token.end._index\n const endBufferIndex = token.end._bufferIndex\n /** @type {Array<Chunk>} */\n let view\n if (startIndex === endIndex) {\n // @ts-expect-error `_bufferIndex` is used on string chunks.\n view = [chunks[startIndex].slice(startBufferIndex, endBufferIndex)]\n } else {\n view = chunks.slice(startIndex, endIndex)\n if (startBufferIndex > -1) {\n const head = view[0]\n if (typeof head === 'string') {\n view[0] = head.slice(startBufferIndex)\n } else {\n view.shift()\n }\n }\n if (endBufferIndex > 0) {\n // @ts-expect-error `_bufferIndex` is used on string chunks.\n view.push(chunks[endIndex].slice(0, endBufferIndex))\n }\n }\n return view\n}\n\n/**\n * Get the string value of a slice of chunks.\n *\n * @param {Array<Chunk>} chunks\n * @param {boolean | undefined} [expandTabs=false]\n * @returns {string}\n */\nfunction serializeChunks(chunks, expandTabs) {\n let index = -1\n /** @type {Array<string>} */\n const result = []\n /** @type {boolean | undefined} */\n let atTab\n while (++index < chunks.length) {\n const chunk = chunks[index]\n /** @type {string} */\n let value\n if (typeof chunk === 'string') {\n value = chunk\n } else\n switch (chunk) {\n case -5: {\n value = '\\r'\n break\n }\n case -4: {\n value = '\\n'\n break\n }\n case -3: {\n value = '\\r' + '\\n'\n break\n }\n case -2: {\n value = expandTabs ? ' ' : '\\t'\n break\n }\n case -1: {\n if (!expandTabs && atTab) continue\n value = ' '\n break\n }\n default: {\n // Currently only replacement character.\n value = String.fromCharCode(chunk)\n }\n }\n atTab = chunk === -2\n result.push(value)\n }\n return result.join('')\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding, markdownSpace} from 'micromark-util-character'\n/** @type {Construct} */\nexport const thematicBreak = {\n name: 'thematicBreak',\n tokenize: tokenizeThematicBreak\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeThematicBreak(effects, ok, nok) {\n let size = 0\n /** @type {NonNullable<Code>} */\n let marker\n return start\n\n /**\n * Start of thematic break.\n *\n * ```markdown\n * > | ***\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('thematicBreak')\n // To do: parse indent like `markdown-rs`.\n return before(code)\n }\n\n /**\n * After optional whitespace, at marker.\n *\n * ```markdown\n * > | ***\n * ^\n * ```\n *\n * @type {State}\n */\n function before(code) {\n marker = code\n return atBreak(code)\n }\n\n /**\n * After something, before something else.\n *\n * ```markdown\n * > | ***\n * ^\n * ```\n *\n * @type {State}\n */\n function atBreak(code) {\n if (code === marker) {\n effects.enter('thematicBreakSequence')\n return sequence(code)\n }\n if (size >= 3 && (code === null || markdownLineEnding(code))) {\n effects.exit('thematicBreak')\n return ok(code)\n }\n return nok(code)\n }\n\n /**\n * In sequence.\n *\n * ```markdown\n * > | ***\n * ^\n * ```\n *\n * @type {State}\n */\n function sequence(code) {\n if (code === marker) {\n effects.consume(code)\n size++\n return sequence\n }\n effects.exit('thematicBreakSequence')\n return markdownSpace(code)\n ? factorySpace(effects, atBreak, 'whitespace')(code)\n : atBreak(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').ContainerState} ContainerState\n * @typedef {import('micromark-util-types').Exiter} Exiter\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {asciiDigit, markdownSpace} from 'micromark-util-character'\nimport {blankLine} from './blank-line.js'\nimport {thematicBreak} from './thematic-break.js'\n\n/** @type {Construct} */\nexport const list = {\n name: 'list',\n tokenize: tokenizeListStart,\n continuation: {\n tokenize: tokenizeListContinuation\n },\n exit: tokenizeListEnd\n}\n\n/** @type {Construct} */\nconst listItemPrefixWhitespaceConstruct = {\n tokenize: tokenizeListItemPrefixWhitespace,\n partial: true\n}\n\n/** @type {Construct} */\nconst indentConstruct = {\n tokenize: tokenizeIndent,\n partial: true\n}\n\n// To do: `markdown-rs` parses list items on their own and later stitches them\n// together.\n\n/**\n * @type {Tokenizer}\n * @this {TokenizeContext}\n */\nfunction tokenizeListStart(effects, ok, nok) {\n const self = this\n const tail = self.events[self.events.length - 1]\n let initialSize =\n tail && tail[1].type === 'linePrefix'\n ? tail[2].sliceSerialize(tail[1], true).length\n : 0\n let size = 0\n return start\n\n /** @type {State} */\n function start(code) {\n const kind =\n self.containerState.type ||\n (code === 42 || code === 43 || code === 45\n ? 'listUnordered'\n : 'listOrdered')\n if (\n kind === 'listUnordered'\n ? !self.containerState.marker || code === self.containerState.marker\n : asciiDigit(code)\n ) {\n if (!self.containerState.type) {\n self.containerState.type = kind\n effects.enter(kind, {\n _container: true\n })\n }\n if (kind === 'listUnordered') {\n effects.enter('listItemPrefix')\n return code === 42 || code === 45\n ? effects.check(thematicBreak, nok, atMarker)(code)\n : atMarker(code)\n }\n if (!self.interrupt || code === 49) {\n effects.enter('listItemPrefix')\n effects.enter('listItemValue')\n return inside(code)\n }\n }\n return nok(code)\n }\n\n /** @type {State} */\n function inside(code) {\n if (asciiDigit(code) && ++size < 10) {\n effects.consume(code)\n return inside\n }\n if (\n (!self.interrupt || size < 2) &&\n (self.containerState.marker\n ? code === self.containerState.marker\n : code === 41 || code === 46)\n ) {\n effects.exit('listItemValue')\n return atMarker(code)\n }\n return nok(code)\n }\n\n /**\n * @type {State}\n **/\n function atMarker(code) {\n effects.enter('listItemMarker')\n effects.consume(code)\n effects.exit('listItemMarker')\n self.containerState.marker = self.containerState.marker || code\n return effects.check(\n blankLine,\n // Can’t be empty when interrupting.\n self.interrupt ? nok : onBlank,\n effects.attempt(\n listItemPrefixWhitespaceConstruct,\n endOfPrefix,\n otherPrefix\n )\n )\n }\n\n /** @type {State} */\n function onBlank(code) {\n self.containerState.initialBlankLine = true\n initialSize++\n return endOfPrefix(code)\n }\n\n /** @type {State} */\n function otherPrefix(code) {\n if (markdownSpace(code)) {\n effects.enter('listItemPrefixWhitespace')\n effects.consume(code)\n effects.exit('listItemPrefixWhitespace')\n return endOfPrefix\n }\n return nok(code)\n }\n\n /** @type {State} */\n function endOfPrefix(code) {\n self.containerState.size =\n initialSize +\n self.sliceSerialize(effects.exit('listItemPrefix'), true).length\n return ok(code)\n }\n}\n\n/**\n * @type {Tokenizer}\n * @this {TokenizeContext}\n */\nfunction tokenizeListContinuation(effects, ok, nok) {\n const self = this\n self.containerState._closeFlow = undefined\n return effects.check(blankLine, onBlank, notBlank)\n\n /** @type {State} */\n function onBlank(code) {\n self.containerState.furtherBlankLines =\n self.containerState.furtherBlankLines ||\n self.containerState.initialBlankLine\n\n // We have a blank line.\n // Still, try to consume at most the items size.\n return factorySpace(\n effects,\n ok,\n 'listItemIndent',\n self.containerState.size + 1\n )(code)\n }\n\n /** @type {State} */\n function notBlank(code) {\n if (self.containerState.furtherBlankLines || !markdownSpace(code)) {\n self.containerState.furtherBlankLines = undefined\n self.containerState.initialBlankLine = undefined\n return notInCurrentItem(code)\n }\n self.containerState.furtherBlankLines = undefined\n self.containerState.initialBlankLine = undefined\n return effects.attempt(indentConstruct, ok, notInCurrentItem)(code)\n }\n\n /** @type {State} */\n function notInCurrentItem(code) {\n // While we do continue, we signal that the flow should be closed.\n self.containerState._closeFlow = true\n // As we’re closing flow, we’re no longer interrupting.\n self.interrupt = undefined\n // Always populated by defaults.\n\n return factorySpace(\n effects,\n effects.attempt(list, ok, nok),\n 'linePrefix',\n self.parser.constructs.disable.null.includes('codeIndented')\n ? undefined\n : 4\n )(code)\n }\n}\n\n/**\n * @type {Tokenizer}\n * @this {TokenizeContext}\n */\nfunction tokenizeIndent(effects, ok, nok) {\n const self = this\n return factorySpace(\n effects,\n afterPrefix,\n 'listItemIndent',\n self.containerState.size + 1\n )\n\n /** @type {State} */\n function afterPrefix(code) {\n const tail = self.events[self.events.length - 1]\n return tail &&\n tail[1].type === 'listItemIndent' &&\n tail[2].sliceSerialize(tail[1], true).length === self.containerState.size\n ? ok(code)\n : nok(code)\n }\n}\n\n/**\n * @type {Exiter}\n * @this {TokenizeContext}\n */\nfunction tokenizeListEnd(effects) {\n effects.exit(this.containerState.type)\n}\n\n/**\n * @type {Tokenizer}\n * @this {TokenizeContext}\n */\nfunction tokenizeListItemPrefixWhitespace(effects, ok, nok) {\n const self = this\n\n // Always populated by defaults.\n\n return factorySpace(\n effects,\n afterPrefix,\n 'listItemPrefixWhitespace',\n self.parser.constructs.disable.null.includes('codeIndented')\n ? undefined\n : 4 + 1\n )\n\n /** @type {State} */\n function afterPrefix(code) {\n const tail = self.events[self.events.length - 1]\n return !markdownSpace(code) &&\n tail &&\n tail[1].type === 'listItemPrefixWhitespace'\n ? ok(code)\n : nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Exiter} Exiter\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownSpace} from 'micromark-util-character'\n/** @type {Construct} */\nexport const blockQuote = {\n name: 'blockQuote',\n tokenize: tokenizeBlockQuoteStart,\n continuation: {\n tokenize: tokenizeBlockQuoteContinuation\n },\n exit\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeBlockQuoteStart(effects, ok, nok) {\n const self = this\n return start\n\n /**\n * Start of block quote.\n *\n * ```markdown\n * > | > a\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n if (code === 62) {\n const state = self.containerState\n if (!state.open) {\n effects.enter('blockQuote', {\n _container: true\n })\n state.open = true\n }\n effects.enter('blockQuotePrefix')\n effects.enter('blockQuoteMarker')\n effects.consume(code)\n effects.exit('blockQuoteMarker')\n return after\n }\n return nok(code)\n }\n\n /**\n * After `>`, before optional whitespace.\n *\n * ```markdown\n * > | > a\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n if (markdownSpace(code)) {\n effects.enter('blockQuotePrefixWhitespace')\n effects.consume(code)\n effects.exit('blockQuotePrefixWhitespace')\n effects.exit('blockQuotePrefix')\n return ok\n }\n effects.exit('blockQuotePrefix')\n return ok(code)\n }\n}\n\n/**\n * Start of block quote continuation.\n *\n * ```markdown\n * | > a\n * > | > b\n * ^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeBlockQuoteContinuation(effects, ok, nok) {\n const self = this\n return contStart\n\n /**\n * Start of block quote continuation.\n *\n * Also used to parse the first block quote opening.\n *\n * ```markdown\n * | > a\n * > | > b\n * ^\n * ```\n *\n * @type {State}\n */\n function contStart(code) {\n if (markdownSpace(code)) {\n // Always populated by defaults.\n\n return factorySpace(\n effects,\n contBefore,\n 'linePrefix',\n self.parser.constructs.disable.null.includes('codeIndented')\n ? undefined\n : 4\n )(code)\n }\n return contBefore(code)\n }\n\n /**\n * At `>`, after optional whitespace.\n *\n * Also used to parse the first block quote opening.\n *\n * ```markdown\n * | > a\n * > | > b\n * ^\n * ```\n *\n * @type {State}\n */\n function contBefore(code) {\n return effects.attempt(blockQuote, ok, nok)(code)\n }\n}\n\n/** @type {Exiter} */\nfunction exit(effects) {\n effects.exit('blockQuote')\n}\n","/**\n * @typedef {import('micromark-util-types').Effects} Effects\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenType} TokenType\n */\n\nimport {\n asciiControl,\n markdownLineEndingOrSpace,\n markdownLineEnding\n} from 'micromark-util-character'\n/**\n * Parse destinations.\n *\n * ###### Examples\n *\n * ```markdown\n * <a>\n * <a\\>b>\n * <a b>\n * <a)>\n * a\n * a\\)b\n * a(b)c\n * a(b)\n * ```\n *\n * @param {Effects} effects\n * Context.\n * @param {State} ok\n * State switched to when successful.\n * @param {State} nok\n * State switched to when unsuccessful.\n * @param {TokenType} type\n * Type for whole (`<a>` or `b`).\n * @param {TokenType} literalType\n * Type when enclosed (`<a>`).\n * @param {TokenType} literalMarkerType\n * Type for enclosing (`<` and `>`).\n * @param {TokenType} rawType\n * Type when not enclosed (`b`).\n * @param {TokenType} stringType\n * Type for the value (`a` or `b`).\n * @param {number | undefined} [max=Infinity]\n * Depth of nested parens (inclusive).\n * @returns {State}\n * Start state.\n */ // eslint-disable-next-line max-params\nexport function factoryDestination(\n effects,\n ok,\n nok,\n type,\n literalType,\n literalMarkerType,\n rawType,\n stringType,\n max\n) {\n const limit = max || Number.POSITIVE_INFINITY\n let balance = 0\n return start\n\n /**\n * Start of destination.\n *\n * ```markdown\n * > | <aa>\n * ^\n * > | aa\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n if (code === 60) {\n effects.enter(type)\n effects.enter(literalType)\n effects.enter(literalMarkerType)\n effects.consume(code)\n effects.exit(literalMarkerType)\n return enclosedBefore\n }\n\n // ASCII control, space, closing paren.\n if (code === null || code === 32 || code === 41 || asciiControl(code)) {\n return nok(code)\n }\n effects.enter(type)\n effects.enter(rawType)\n effects.enter(stringType)\n effects.enter('chunkString', {\n contentType: 'string'\n })\n return raw(code)\n }\n\n /**\n * After `<`, at an enclosed destination.\n *\n * ```markdown\n * > | <aa>\n * ^\n * ```\n *\n * @type {State}\n */\n function enclosedBefore(code) {\n if (code === 62) {\n effects.enter(literalMarkerType)\n effects.consume(code)\n effects.exit(literalMarkerType)\n effects.exit(literalType)\n effects.exit(type)\n return ok\n }\n effects.enter(stringType)\n effects.enter('chunkString', {\n contentType: 'string'\n })\n return enclosed(code)\n }\n\n /**\n * In enclosed destination.\n *\n * ```markdown\n * > | <aa>\n * ^\n * ```\n *\n * @type {State}\n */\n function enclosed(code) {\n if (code === 62) {\n effects.exit('chunkString')\n effects.exit(stringType)\n return enclosedBefore(code)\n }\n if (code === null || code === 60 || markdownLineEnding(code)) {\n return nok(code)\n }\n effects.consume(code)\n return code === 92 ? enclosedEscape : enclosed\n }\n\n /**\n * After `\\`, at a special character.\n *\n * ```markdown\n * > | <a\\*a>\n * ^\n * ```\n *\n * @type {State}\n */\n function enclosedEscape(code) {\n if (code === 60 || code === 62 || code === 92) {\n effects.consume(code)\n return enclosed\n }\n return enclosed(code)\n }\n\n /**\n * In raw destination.\n *\n * ```markdown\n * > | aa\n * ^\n * ```\n *\n * @type {State}\n */\n function raw(code) {\n if (\n !balance &&\n (code === null || code === 41 || markdownLineEndingOrSpace(code))\n ) {\n effects.exit('chunkString')\n effects.exit(stringType)\n effects.exit(rawType)\n effects.exit(type)\n return ok(code)\n }\n if (balance < limit && code === 40) {\n effects.consume(code)\n balance++\n return raw\n }\n if (code === 41) {\n effects.consume(code)\n balance--\n return raw\n }\n\n // ASCII control (but *not* `\\0`) and space and `(`.\n // Note: in `markdown-rs`, `\\0` exists in codes, in `micromark-js` it\n // doesn’t.\n if (code === null || code === 32 || code === 40 || asciiControl(code)) {\n return nok(code)\n }\n effects.consume(code)\n return code === 92 ? rawEscape : raw\n }\n\n /**\n * After `\\`, at special character.\n *\n * ```markdown\n * > | a\\*a\n * ^\n * ```\n *\n * @type {State}\n */\n function rawEscape(code) {\n if (code === 40 || code === 41 || code === 92) {\n effects.consume(code)\n return raw\n }\n return raw(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Effects} Effects\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').TokenType} TokenType\n */\n\nimport {markdownLineEnding, markdownSpace} from 'micromark-util-character'\n/**\n * Parse labels.\n *\n * > 👉 **Note**: labels in markdown are capped at 999 characters in the string.\n *\n * ###### Examples\n *\n * ```markdown\n * [a]\n * [a\n * b]\n * [a\\]b]\n * ```\n *\n * @this {TokenizeContext}\n * Tokenize context.\n * @param {Effects} effects\n * Context.\n * @param {State} ok\n * State switched to when successful.\n * @param {State} nok\n * State switched to when unsuccessful.\n * @param {TokenType} type\n * Type of the whole label (`[a]`).\n * @param {TokenType} markerType\n * Type for the markers (`[` and `]`).\n * @param {TokenType} stringType\n * Type for the identifier (`a`).\n * @returns {State}\n * Start state.\n */ // eslint-disable-next-line max-params\nexport function factoryLabel(effects, ok, nok, type, markerType, stringType) {\n const self = this\n let size = 0\n /** @type {boolean} */\n let seen\n return start\n\n /**\n * Start of label.\n *\n * ```markdown\n * > | [a]\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter(type)\n effects.enter(markerType)\n effects.consume(code)\n effects.exit(markerType)\n effects.enter(stringType)\n return atBreak\n }\n\n /**\n * In label, at something, before something else.\n *\n * ```markdown\n * > | [a]\n * ^\n * ```\n *\n * @type {State}\n */\n function atBreak(code) {\n if (\n size > 999 ||\n code === null ||\n code === 91 ||\n (code === 93 && !seen) ||\n // To do: remove in the future once we’ve switched from\n // `micromark-extension-footnote` to `micromark-extension-gfm-footnote`,\n // which doesn’t need this.\n // Hidden footnotes hook.\n /* c8 ignore next 3 */\n (code === 94 &&\n !size &&\n '_hiddenFootnoteSupport' in self.parser.constructs)\n ) {\n return nok(code)\n }\n if (code === 93) {\n effects.exit(stringType)\n effects.enter(markerType)\n effects.consume(code)\n effects.exit(markerType)\n effects.exit(type)\n return ok\n }\n\n // To do: indent? Link chunks and EOLs together?\n if (markdownLineEnding(code)) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return atBreak\n }\n effects.enter('chunkString', {\n contentType: 'string'\n })\n return labelInside(code)\n }\n\n /**\n * In label, in text.\n *\n * ```markdown\n * > | [a]\n * ^\n * ```\n *\n * @type {State}\n */\n function labelInside(code) {\n if (\n code === null ||\n code === 91 ||\n code === 93 ||\n markdownLineEnding(code) ||\n size++ > 999\n ) {\n effects.exit('chunkString')\n return atBreak(code)\n }\n effects.consume(code)\n if (!seen) seen = !markdownSpace(code)\n return code === 92 ? labelEscape : labelInside\n }\n\n /**\n * After `\\`, at a special character.\n *\n * ```markdown\n * > | [a\\*a]\n * ^\n * ```\n *\n * @type {State}\n */\n function labelEscape(code) {\n if (code === 91 || code === 92 || code === 93) {\n effects.consume(code)\n size++\n return labelInside\n }\n return labelInside(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Effects} Effects\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenType} TokenType\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding} from 'micromark-util-character'\n/**\n * Parse titles.\n *\n * ###### Examples\n *\n * ```markdown\n * \"a\"\n * 'b'\n * (c)\n * \"a\n * b\"\n * 'a\n * b'\n * (a\\)b)\n * ```\n *\n * @param {Effects} effects\n * Context.\n * @param {State} ok\n * State switched to when successful.\n * @param {State} nok\n * State switched to when unsuccessful.\n * @param {TokenType} type\n * Type of the whole title (`\"a\"`, `'b'`, `(c)`).\n * @param {TokenType} markerType\n * Type for the markers (`\"`, `'`, `(`, and `)`).\n * @param {TokenType} stringType\n * Type for the value (`a`).\n * @returns {State}\n * Start state.\n */ // eslint-disable-next-line max-params\nexport function factoryTitle(effects, ok, nok, type, markerType, stringType) {\n /** @type {NonNullable<Code>} */\n let marker\n return start\n\n /**\n * Start of title.\n *\n * ```markdown\n * > | \"a\"\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n if (code === 34 || code === 39 || code === 40) {\n effects.enter(type)\n effects.enter(markerType)\n effects.consume(code)\n effects.exit(markerType)\n marker = code === 40 ? 41 : code\n return begin\n }\n return nok(code)\n }\n\n /**\n * After opening marker.\n *\n * This is also used at the closing marker.\n *\n * ```markdown\n * > | \"a\"\n * ^\n * ```\n *\n * @type {State}\n */\n function begin(code) {\n if (code === marker) {\n effects.enter(markerType)\n effects.consume(code)\n effects.exit(markerType)\n effects.exit(type)\n return ok\n }\n effects.enter(stringType)\n return atBreak(code)\n }\n\n /**\n * At something, before something else.\n *\n * ```markdown\n * > | \"a\"\n * ^\n * ```\n *\n * @type {State}\n */\n function atBreak(code) {\n if (code === marker) {\n effects.exit(stringType)\n return begin(marker)\n }\n if (code === null) {\n return nok(code)\n }\n\n // Note: blank lines can’t exist in content.\n if (markdownLineEnding(code)) {\n // To do: use `space_or_tab_eol_with_options`, connect.\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return factorySpace(effects, atBreak, 'linePrefix')\n }\n effects.enter('chunkString', {\n contentType: 'string'\n })\n return inside(code)\n }\n\n /**\n *\n *\n * @type {State}\n */\n function inside(code) {\n if (code === marker || code === null || markdownLineEnding(code)) {\n effects.exit('chunkString')\n return atBreak(code)\n }\n effects.consume(code)\n return code === 92 ? escape : inside\n }\n\n /**\n * After `\\`, at a special character.\n *\n * ```markdown\n * > | \"a\\*b\"\n * ^\n * ```\n *\n * @type {State}\n */\n function escape(code) {\n if (code === marker || code === 92) {\n effects.consume(code)\n return inside\n }\n return inside(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Effects} Effects\n * @typedef {import('micromark-util-types').State} State\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding, markdownSpace} from 'micromark-util-character'\n/**\n * Parse spaces and tabs.\n *\n * There is no `nok` parameter:\n *\n * * line endings or spaces in markdown are often optional, in which case this\n * factory can be used and `ok` will be switched to whether spaces were found\n * or not\n * * one line ending or space can be detected with\n * `markdownLineEndingOrSpace(code)` right before using `factoryWhitespace`\n *\n * @param {Effects} effects\n * Context.\n * @param {State} ok\n * State switched to when successful.\n * @returns\n * Start state.\n */\nexport function factoryWhitespace(effects, ok) {\n /** @type {boolean} */\n let seen\n return start\n\n /** @type {State} */\n function start(code) {\n if (markdownLineEnding(code)) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n seen = true\n return start\n }\n if (markdownSpace(code)) {\n return factorySpace(\n effects,\n start,\n seen ? 'linePrefix' : 'lineSuffix'\n )(code)\n }\n return ok(code)\n }\n}\n","/**\n * Normalize an identifier (as found in references, definitions).\n *\n * Collapses markdown whitespace, trim, and then lower- and uppercase.\n *\n * Some characters are considered “uppercase”, such as U+03F4 (`ϴ`), but if their\n * lowercase counterpart (U+03B8 (`θ`)) is uppercased will result in a different\n * uppercase character (U+0398 (`Θ`)).\n * So, to get a canonical form, we perform both lower- and uppercase.\n *\n * Using uppercase last makes sure keys will never interact with default\n * prototypal values (such as `constructor`): nothing in the prototype of\n * `Object` is uppercase.\n *\n * @param {string} value\n * Identifier to normalize.\n * @returns {string}\n * Normalized identifier.\n */\nexport function normalizeIdentifier(value) {\n return (\n value\n // Collapse markdown whitespace.\n .replace(/[\\t\\n\\r ]+/g, ' ')\n // Trim.\n .replace(/^ | $/g, '')\n // Some characters are considered “uppercase”, but if their lowercase\n // counterpart is uppercased will result in a different uppercase\n // character.\n // Hence, to get that form, we perform both lower- and uppercase.\n // Upper case makes sure keys will not interact with default prototypal\n // methods: no method is uppercase.\n .toLowerCase()\n .toUpperCase()\n )\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factoryDestination} from 'micromark-factory-destination'\nimport {factoryLabel} from 'micromark-factory-label'\nimport {factorySpace} from 'micromark-factory-space'\nimport {factoryTitle} from 'micromark-factory-title'\nimport {factoryWhitespace} from 'micromark-factory-whitespace'\nimport {\n markdownLineEnding,\n markdownLineEndingOrSpace,\n markdownSpace\n} from 'micromark-util-character'\nimport {normalizeIdentifier} from 'micromark-util-normalize-identifier'\n/** @type {Construct} */\nexport const definition = {\n name: 'definition',\n tokenize: tokenizeDefinition\n}\n\n/** @type {Construct} */\nconst titleBefore = {\n tokenize: tokenizeTitleBefore,\n partial: true\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeDefinition(effects, ok, nok) {\n const self = this\n /** @type {string} */\n let identifier\n return start\n\n /**\n * At start of a definition.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // Do not interrupt paragraphs (but do follow definitions).\n // To do: do `interrupt` the way `markdown-rs` does.\n // To do: parse whitespace the way `markdown-rs` does.\n effects.enter('definition')\n return before(code)\n }\n\n /**\n * After optional whitespace, at `[`.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function before(code) {\n // To do: parse whitespace the way `markdown-rs` does.\n\n return factoryLabel.call(\n self,\n effects,\n labelAfter,\n // Note: we don’t need to reset the way `markdown-rs` does.\n nok,\n 'definitionLabel',\n 'definitionLabelMarker',\n 'definitionLabelString'\n )(code)\n }\n\n /**\n * After label.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function labelAfter(code) {\n identifier = normalizeIdentifier(\n self.sliceSerialize(self.events[self.events.length - 1][1]).slice(1, -1)\n )\n if (code === 58) {\n effects.enter('definitionMarker')\n effects.consume(code)\n effects.exit('definitionMarker')\n return markerAfter\n }\n return nok(code)\n }\n\n /**\n * After marker.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function markerAfter(code) {\n // Note: whitespace is optional.\n return markdownLineEndingOrSpace(code)\n ? factoryWhitespace(effects, destinationBefore)(code)\n : destinationBefore(code)\n }\n\n /**\n * Before destination.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function destinationBefore(code) {\n return factoryDestination(\n effects,\n destinationAfter,\n // Note: we don’t need to reset the way `markdown-rs` does.\n nok,\n 'definitionDestination',\n 'definitionDestinationLiteral',\n 'definitionDestinationLiteralMarker',\n 'definitionDestinationRaw',\n 'definitionDestinationString'\n )(code)\n }\n\n /**\n * After destination.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function destinationAfter(code) {\n return effects.attempt(titleBefore, after, after)(code)\n }\n\n /**\n * After definition.\n *\n * ```markdown\n * > | [a]: b\n * ^\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n return markdownSpace(code)\n ? factorySpace(effects, afterWhitespace, 'whitespace')(code)\n : afterWhitespace(code)\n }\n\n /**\n * After definition, after optional whitespace.\n *\n * ```markdown\n * > | [a]: b\n * ^\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function afterWhitespace(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('definition')\n\n // Note: we don’t care about uniqueness.\n // It’s likely that that doesn’t happen very frequently.\n // It is more likely that it wastes precious time.\n self.parser.defined.push(identifier)\n\n // To do: `markdown-rs` interrupt.\n // // You’d be interrupting.\n // tokenizer.interrupt = true\n return ok(code)\n }\n return nok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeTitleBefore(effects, ok, nok) {\n return titleBefore\n\n /**\n * After destination, at whitespace.\n *\n * ```markdown\n * > | [a]: b\n * ^\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function titleBefore(code) {\n return markdownLineEndingOrSpace(code)\n ? factoryWhitespace(effects, beforeMarker)(code)\n : nok(code)\n }\n\n /**\n * At title.\n *\n * ```markdown\n * | [a]: b\n * > | \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function beforeMarker(code) {\n return factoryTitle(\n effects,\n titleAfter,\n nok,\n 'definitionTitle',\n 'definitionTitleMarker',\n 'definitionTitleString'\n )(code)\n }\n\n /**\n * After title.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function titleAfter(code) {\n return markdownSpace(code)\n ? factorySpace(effects, titleAfterOptionalWhitespace, 'whitespace')(code)\n : titleAfterOptionalWhitespace(code)\n }\n\n /**\n * After title, after optional whitespace.\n *\n * ```markdown\n * > | [a]: b \"c\"\n * ^\n * ```\n *\n * @type {State}\n */\n function titleAfterOptionalWhitespace(code) {\n return code === null || markdownLineEnding(code) ? ok(code) : nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding, markdownSpace} from 'micromark-util-character'\n/** @type {Construct} */\nexport const codeIndented = {\n name: 'codeIndented',\n tokenize: tokenizeCodeIndented\n}\n\n/** @type {Construct} */\nconst furtherStart = {\n tokenize: tokenizeFurtherStart,\n partial: true\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeCodeIndented(effects, ok, nok) {\n const self = this\n return start\n\n /**\n * Start of code (indented).\n *\n * > **Parsing note**: it is not needed to check if this first line is a\n * > filled line (that it has a non-whitespace character), because blank lines\n * > are parsed already, so we never run into that.\n *\n * ```markdown\n * > | aaa\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // To do: manually check if interrupting like `markdown-rs`.\n\n effects.enter('codeIndented')\n // To do: use an improved `space_or_tab` function like `markdown-rs`,\n // so that we can drop the next state.\n return factorySpace(effects, afterPrefix, 'linePrefix', 4 + 1)(code)\n }\n\n /**\n * At start, after 1 or 4 spaces.\n *\n * ```markdown\n * > | aaa\n * ^\n * ```\n *\n * @type {State}\n */\n function afterPrefix(code) {\n const tail = self.events[self.events.length - 1]\n return tail &&\n tail[1].type === 'linePrefix' &&\n tail[2].sliceSerialize(tail[1], true).length >= 4\n ? atBreak(code)\n : nok(code)\n }\n\n /**\n * At a break.\n *\n * ```markdown\n * > | aaa\n * ^ ^\n * ```\n *\n * @type {State}\n */\n function atBreak(code) {\n if (code === null) {\n return after(code)\n }\n if (markdownLineEnding(code)) {\n return effects.attempt(furtherStart, atBreak, after)(code)\n }\n effects.enter('codeFlowValue')\n return inside(code)\n }\n\n /**\n * In code content.\n *\n * ```markdown\n * > | aaa\n * ^^^^\n * ```\n *\n * @type {State}\n */\n function inside(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('codeFlowValue')\n return atBreak(code)\n }\n effects.consume(code)\n return inside\n }\n\n /** @type {State} */\n function after(code) {\n effects.exit('codeIndented')\n // To do: allow interrupting like `markdown-rs`.\n // Feel free to interrupt.\n // tokenizer.interrupt = false\n return ok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeFurtherStart(effects, ok, nok) {\n const self = this\n return furtherStart\n\n /**\n * At eol, trying to parse another indent.\n *\n * ```markdown\n * > | aaa\n * ^\n * | bbb\n * ```\n *\n * @type {State}\n */\n function furtherStart(code) {\n // To do: improve `lazy` / `pierce` handling.\n // If this is a lazy line, it can’t be code.\n if (self.parser.lazy[self.now().line]) {\n return nok(code)\n }\n if (markdownLineEnding(code)) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return furtherStart\n }\n\n // To do: the code here in `micromark-js` is a bit different from\n // `markdown-rs` because there it can attempt spaces.\n // We can’t yet.\n //\n // To do: use an improved `space_or_tab` function like `markdown-rs`,\n // so that we can drop the next state.\n return factorySpace(effects, afterPrefix, 'linePrefix', 4 + 1)(code)\n }\n\n /**\n * At start, after 1 or 4 spaces.\n *\n * ```markdown\n * > | aaa\n * ^\n * ```\n *\n * @type {State}\n */\n function afterPrefix(code) {\n const tail = self.events[self.events.length - 1]\n return tail &&\n tail[1].type === 'linePrefix' &&\n tail[2].sliceSerialize(tail[1], true).length >= 4\n ? ok(code)\n : markdownLineEnding(code)\n ? furtherStart(code)\n : nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {\n markdownLineEnding,\n markdownLineEndingOrSpace,\n markdownSpace\n} from 'micromark-util-character'\nimport {splice} from 'micromark-util-chunked'\n/** @type {Construct} */\nexport const headingAtx = {\n name: 'headingAtx',\n tokenize: tokenizeHeadingAtx,\n resolve: resolveHeadingAtx\n}\n\n/** @type {Resolver} */\nfunction resolveHeadingAtx(events, context) {\n let contentEnd = events.length - 2\n let contentStart = 3\n /** @type {Token} */\n let content\n /** @type {Token} */\n let text\n\n // Prefix whitespace, part of the opening.\n if (events[contentStart][1].type === 'whitespace') {\n contentStart += 2\n }\n\n // Suffix whitespace, part of the closing.\n if (\n contentEnd - 2 > contentStart &&\n events[contentEnd][1].type === 'whitespace'\n ) {\n contentEnd -= 2\n }\n if (\n events[contentEnd][1].type === 'atxHeadingSequence' &&\n (contentStart === contentEnd - 1 ||\n (contentEnd - 4 > contentStart &&\n events[contentEnd - 2][1].type === 'whitespace'))\n ) {\n contentEnd -= contentStart + 1 === contentEnd ? 2 : 4\n }\n if (contentEnd > contentStart) {\n content = {\n type: 'atxHeadingText',\n start: events[contentStart][1].start,\n end: events[contentEnd][1].end\n }\n text = {\n type: 'chunkText',\n start: events[contentStart][1].start,\n end: events[contentEnd][1].end,\n contentType: 'text'\n }\n splice(events, contentStart, contentEnd - contentStart + 1, [\n ['enter', content, context],\n ['enter', text, context],\n ['exit', text, context],\n ['exit', content, context]\n ])\n }\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeHeadingAtx(effects, ok, nok) {\n let size = 0\n return start\n\n /**\n * Start of a heading (atx).\n *\n * ```markdown\n * > | ## aa\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // To do: parse indent like `markdown-rs`.\n effects.enter('atxHeading')\n return before(code)\n }\n\n /**\n * After optional whitespace, at `#`.\n *\n * ```markdown\n * > | ## aa\n * ^\n * ```\n *\n * @type {State}\n */\n function before(code) {\n effects.enter('atxHeadingSequence')\n return sequenceOpen(code)\n }\n\n /**\n * In opening sequence.\n *\n * ```markdown\n * > | ## aa\n * ^\n * ```\n *\n * @type {State}\n */\n function sequenceOpen(code) {\n if (code === 35 && size++ < 6) {\n effects.consume(code)\n return sequenceOpen\n }\n\n // Always at least one `#`.\n if (code === null || markdownLineEndingOrSpace(code)) {\n effects.exit('atxHeadingSequence')\n return atBreak(code)\n }\n return nok(code)\n }\n\n /**\n * After something, before something else.\n *\n * ```markdown\n * > | ## aa\n * ^\n * ```\n *\n * @type {State}\n */\n function atBreak(code) {\n if (code === 35) {\n effects.enter('atxHeadingSequence')\n return sequenceFurther(code)\n }\n if (code === null || markdownLineEnding(code)) {\n effects.exit('atxHeading')\n // To do: interrupt like `markdown-rs`.\n // // Feel free to interrupt.\n // tokenizer.interrupt = false\n return ok(code)\n }\n if (markdownSpace(code)) {\n return factorySpace(effects, atBreak, 'whitespace')(code)\n }\n\n // To do: generate `data` tokens, add the `text` token later.\n // Needs edit map, see: `markdown.rs`.\n effects.enter('atxHeadingText')\n return data(code)\n }\n\n /**\n * In further sequence (after whitespace).\n *\n * Could be normal “visible” hashes in the heading or a final sequence.\n *\n * ```markdown\n * > | ## aa ##\n * ^\n * ```\n *\n * @type {State}\n */\n function sequenceFurther(code) {\n if (code === 35) {\n effects.consume(code)\n return sequenceFurther\n }\n effects.exit('atxHeadingSequence')\n return atBreak(code)\n }\n\n /**\n * In text.\n *\n * ```markdown\n * > | ## aa\n * ^\n * ```\n *\n * @type {State}\n */\n function data(code) {\n if (code === null || code === 35 || markdownLineEndingOrSpace(code)) {\n effects.exit('atxHeadingText')\n return atBreak(code)\n }\n effects.consume(code)\n return data\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding, markdownSpace} from 'micromark-util-character'\n/** @type {Construct} */\nexport const setextUnderline = {\n name: 'setextUnderline',\n tokenize: tokenizeSetextUnderline,\n resolveTo: resolveToSetextUnderline\n}\n\n/** @type {Resolver} */\nfunction resolveToSetextUnderline(events, context) {\n // To do: resolve like `markdown-rs`.\n let index = events.length\n /** @type {number | undefined} */\n let content\n /** @type {number | undefined} */\n let text\n /** @type {number | undefined} */\n let definition\n\n // Find the opening of the content.\n // It’ll always exist: we don’t tokenize if it isn’t there.\n while (index--) {\n if (events[index][0] === 'enter') {\n if (events[index][1].type === 'content') {\n content = index\n break\n }\n if (events[index][1].type === 'paragraph') {\n text = index\n }\n }\n // Exit\n else {\n if (events[index][1].type === 'content') {\n // Remove the content end (if needed we’ll add it later)\n events.splice(index, 1)\n }\n if (!definition && events[index][1].type === 'definition') {\n definition = index\n }\n }\n }\n const heading = {\n type: 'setextHeading',\n start: Object.assign({}, events[text][1].start),\n end: Object.assign({}, events[events.length - 1][1].end)\n }\n\n // Change the paragraph to setext heading text.\n events[text][1].type = 'setextHeadingText'\n\n // If we have definitions in the content, we’ll keep on having content,\n // but we need move it.\n if (definition) {\n events.splice(text, 0, ['enter', heading, context])\n events.splice(definition + 1, 0, ['exit', events[content][1], context])\n events[content][1].end = Object.assign({}, events[definition][1].end)\n } else {\n events[content][1] = heading\n }\n\n // Add the heading exit at the end.\n events.push(['exit', heading, context])\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeSetextUnderline(effects, ok, nok) {\n const self = this\n /** @type {NonNullable<Code>} */\n let marker\n return start\n\n /**\n * At start of heading (setext) underline.\n *\n * ```markdown\n * | aa\n * > | ==\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n let index = self.events.length\n /** @type {boolean | undefined} */\n let paragraph\n // Find an opening.\n while (index--) {\n // Skip enter/exit of line ending, line prefix, and content.\n // We can now either have a definition or a paragraph.\n if (\n self.events[index][1].type !== 'lineEnding' &&\n self.events[index][1].type !== 'linePrefix' &&\n self.events[index][1].type !== 'content'\n ) {\n paragraph = self.events[index][1].type === 'paragraph'\n break\n }\n }\n\n // To do: handle lazy/pierce like `markdown-rs`.\n // To do: parse indent like `markdown-rs`.\n if (!self.parser.lazy[self.now().line] && (self.interrupt || paragraph)) {\n effects.enter('setextHeadingLine')\n marker = code\n return before(code)\n }\n return nok(code)\n }\n\n /**\n * After optional whitespace, at `-` or `=`.\n *\n * ```markdown\n * | aa\n * > | ==\n * ^\n * ```\n *\n * @type {State}\n */\n function before(code) {\n effects.enter('setextHeadingLineSequence')\n return inside(code)\n }\n\n /**\n * In sequence.\n *\n * ```markdown\n * | aa\n * > | ==\n * ^\n * ```\n *\n * @type {State}\n */\n function inside(code) {\n if (code === marker) {\n effects.consume(code)\n return inside\n }\n effects.exit('setextHeadingLineSequence')\n return markdownSpace(code)\n ? factorySpace(effects, after, 'lineSuffix')(code)\n : after(code)\n }\n\n /**\n * After sequence, after optional whitespace.\n *\n * ```markdown\n * | aa\n * > | ==\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('setextHeadingLine')\n return ok(code)\n }\n return nok(code)\n }\n}\n","/**\n * List of lowercase HTML “block” tag names.\n *\n * The list, when parsing HTML (flow), results in more relaxed rules (condition\n * 6).\n * Because they are known blocks, the HTML-like syntax doesn’t have to be\n * strictly parsed.\n * For tag names not in this list, a more strict algorithm (condition 7) is used\n * to detect whether the HTML-like syntax is seen as HTML (flow) or not.\n *\n * This is copied from:\n * <https://spec.commonmark.org/0.30/#html-blocks>.\n *\n * > 👉 **Note**: `search` was added in `CommonMark@0.31`.\n */\nexport const htmlBlockNames = [\n 'address',\n 'article',\n 'aside',\n 'base',\n 'basefont',\n 'blockquote',\n 'body',\n 'caption',\n 'center',\n 'col',\n 'colgroup',\n 'dd',\n 'details',\n 'dialog',\n 'dir',\n 'div',\n 'dl',\n 'dt',\n 'fieldset',\n 'figcaption',\n 'figure',\n 'footer',\n 'form',\n 'frame',\n 'frameset',\n 'h1',\n 'h2',\n 'h3',\n 'h4',\n 'h5',\n 'h6',\n 'head',\n 'header',\n 'hr',\n 'html',\n 'iframe',\n 'legend',\n 'li',\n 'link',\n 'main',\n 'menu',\n 'menuitem',\n 'nav',\n 'noframes',\n 'ol',\n 'optgroup',\n 'option',\n 'p',\n 'param',\n 'search',\n 'section',\n 'summary',\n 'table',\n 'tbody',\n 'td',\n 'tfoot',\n 'th',\n 'thead',\n 'title',\n 'tr',\n 'track',\n 'ul'\n]\n\n/**\n * List of lowercase HTML “raw” tag names.\n *\n * The list, when parsing HTML (flow), results in HTML that can include lines\n * without exiting, until a closing tag also in this list is found (condition\n * 1).\n *\n * This module is copied from:\n * <https://spec.commonmark.org/0.30/#html-blocks>.\n *\n * > 👉 **Note**: `textarea` was added in `CommonMark@0.30`.\n */\nexport const htmlRawNames = ['pre', 'script', 'style', 'textarea']\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {\n asciiAlpha,\n asciiAlphanumeric,\n markdownLineEnding,\n markdownLineEndingOrSpace,\n markdownSpace\n} from 'micromark-util-character'\nimport {htmlBlockNames, htmlRawNames} from 'micromark-util-html-tag-name'\nimport {blankLine} from './blank-line.js'\n\n/** @type {Construct} */\nexport const htmlFlow = {\n name: 'htmlFlow',\n tokenize: tokenizeHtmlFlow,\n resolveTo: resolveToHtmlFlow,\n concrete: true\n}\n\n/** @type {Construct} */\nconst blankLineBefore = {\n tokenize: tokenizeBlankLineBefore,\n partial: true\n}\nconst nonLazyContinuationStart = {\n tokenize: tokenizeNonLazyContinuationStart,\n partial: true\n}\n\n/** @type {Resolver} */\nfunction resolveToHtmlFlow(events) {\n let index = events.length\n while (index--) {\n if (events[index][0] === 'enter' && events[index][1].type === 'htmlFlow') {\n break\n }\n }\n if (index > 1 && events[index - 2][1].type === 'linePrefix') {\n // Add the prefix start to the HTML token.\n events[index][1].start = events[index - 2][1].start\n // Add the prefix start to the HTML line token.\n events[index + 1][1].start = events[index - 2][1].start\n // Remove the line prefix.\n events.splice(index - 2, 2)\n }\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeHtmlFlow(effects, ok, nok) {\n const self = this\n /** @type {number} */\n let marker\n /** @type {boolean} */\n let closingTag\n /** @type {string} */\n let buffer\n /** @type {number} */\n let index\n /** @type {Code} */\n let markerB\n return start\n\n /**\n * Start of HTML (flow).\n *\n * ```markdown\n * > | <x />\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // To do: parse indent like `markdown-rs`.\n return before(code)\n }\n\n /**\n * At `<`, after optional whitespace.\n *\n * ```markdown\n * > | <x />\n * ^\n * ```\n *\n * @type {State}\n */\n function before(code) {\n effects.enter('htmlFlow')\n effects.enter('htmlFlowData')\n effects.consume(code)\n return open\n }\n\n /**\n * After `<`, at tag name or other stuff.\n *\n * ```markdown\n * > | <x />\n * ^\n * > | <!doctype>\n * ^\n * > | <!--xxx-->\n * ^\n * ```\n *\n * @type {State}\n */\n function open(code) {\n if (code === 33) {\n effects.consume(code)\n return declarationOpen\n }\n if (code === 47) {\n effects.consume(code)\n closingTag = true\n return tagCloseStart\n }\n if (code === 63) {\n effects.consume(code)\n marker = 3\n // To do:\n // tokenizer.concrete = true\n // To do: use `markdown-rs` style interrupt.\n // While we’re in an instruction instead of a declaration, we’re on a `?`\n // right now, so we do need to search for `>`, similar to declarations.\n return self.interrupt ? ok : continuationDeclarationInside\n }\n\n // ASCII alphabetical.\n if (asciiAlpha(code)) {\n effects.consume(code)\n // @ts-expect-error: not null.\n buffer = String.fromCharCode(code)\n return tagName\n }\n return nok(code)\n }\n\n /**\n * After `<!`, at declaration, comment, or CDATA.\n *\n * ```markdown\n * > | <!doctype>\n * ^\n * > | <!--xxx-->\n * ^\n * > | <![CDATA[>&<]]>\n * ^\n * ```\n *\n * @type {State}\n */\n function declarationOpen(code) {\n if (code === 45) {\n effects.consume(code)\n marker = 2\n return commentOpenInside\n }\n if (code === 91) {\n effects.consume(code)\n marker = 5\n index = 0\n return cdataOpenInside\n }\n\n // ASCII alphabetical.\n if (asciiAlpha(code)) {\n effects.consume(code)\n marker = 4\n // // Do not form containers.\n // tokenizer.concrete = true\n return self.interrupt ? ok : continuationDeclarationInside\n }\n return nok(code)\n }\n\n /**\n * After `<!-`, inside a comment, at another `-`.\n *\n * ```markdown\n * > | <!--xxx-->\n * ^\n * ```\n *\n * @type {State}\n */\n function commentOpenInside(code) {\n if (code === 45) {\n effects.consume(code)\n // // Do not form containers.\n // tokenizer.concrete = true\n return self.interrupt ? ok : continuationDeclarationInside\n }\n return nok(code)\n }\n\n /**\n * After `<![`, inside CDATA, expecting `CDATA[`.\n *\n * ```markdown\n * > | <![CDATA[>&<]]>\n * ^^^^^^\n * ```\n *\n * @type {State}\n */\n function cdataOpenInside(code) {\n const value = 'CDATA['\n if (code === value.charCodeAt(index++)) {\n effects.consume(code)\n if (index === value.length) {\n // // Do not form containers.\n // tokenizer.concrete = true\n return self.interrupt ? ok : continuation\n }\n return cdataOpenInside\n }\n return nok(code)\n }\n\n /**\n * After `</`, in closing tag, at tag name.\n *\n * ```markdown\n * > | </x>\n * ^\n * ```\n *\n * @type {State}\n */\n function tagCloseStart(code) {\n if (asciiAlpha(code)) {\n effects.consume(code)\n // @ts-expect-error: not null.\n buffer = String.fromCharCode(code)\n return tagName\n }\n return nok(code)\n }\n\n /**\n * In tag name.\n *\n * ```markdown\n * > | <ab>\n * ^^\n * > | </ab>\n * ^^\n * ```\n *\n * @type {State}\n */\n function tagName(code) {\n if (\n code === null ||\n code === 47 ||\n code === 62 ||\n markdownLineEndingOrSpace(code)\n ) {\n const slash = code === 47\n const name = buffer.toLowerCase()\n if (!slash && !closingTag && htmlRawNames.includes(name)) {\n marker = 1\n // // Do not form containers.\n // tokenizer.concrete = true\n return self.interrupt ? ok(code) : continuation(code)\n }\n if (htmlBlockNames.includes(buffer.toLowerCase())) {\n marker = 6\n if (slash) {\n effects.consume(code)\n return basicSelfClosing\n }\n\n // // Do not form containers.\n // tokenizer.concrete = true\n return self.interrupt ? ok(code) : continuation(code)\n }\n marker = 7\n // Do not support complete HTML when interrupting.\n return self.interrupt && !self.parser.lazy[self.now().line]\n ? nok(code)\n : closingTag\n ? completeClosingTagAfter(code)\n : completeAttributeNameBefore(code)\n }\n\n // ASCII alphanumerical and `-`.\n if (code === 45 || asciiAlphanumeric(code)) {\n effects.consume(code)\n buffer += String.fromCharCode(code)\n return tagName\n }\n return nok(code)\n }\n\n /**\n * After closing slash of a basic tag name.\n *\n * ```markdown\n * > | <div/>\n * ^\n * ```\n *\n * @type {State}\n */\n function basicSelfClosing(code) {\n if (code === 62) {\n effects.consume(code)\n // // Do not form containers.\n // tokenizer.concrete = true\n return self.interrupt ? ok : continuation\n }\n return nok(code)\n }\n\n /**\n * After closing slash of a complete tag name.\n *\n * ```markdown\n * > | <x/>\n * ^\n * ```\n *\n * @type {State}\n */\n function completeClosingTagAfter(code) {\n if (markdownSpace(code)) {\n effects.consume(code)\n return completeClosingTagAfter\n }\n return completeEnd(code)\n }\n\n /**\n * At an attribute name.\n *\n * At first, this state is used after a complete tag name, after whitespace,\n * where it expects optional attributes or the end of the tag.\n * It is also reused after attributes, when expecting more optional\n * attributes.\n *\n * ```markdown\n * > | <a />\n * ^\n * > | <a :b>\n * ^\n * > | <a _b>\n * ^\n * > | <a b>\n * ^\n * > | <a >\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAttributeNameBefore(code) {\n if (code === 47) {\n effects.consume(code)\n return completeEnd\n }\n\n // ASCII alphanumerical and `:` and `_`.\n if (code === 58 || code === 95 || asciiAlpha(code)) {\n effects.consume(code)\n return completeAttributeName\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return completeAttributeNameBefore\n }\n return completeEnd(code)\n }\n\n /**\n * In attribute name.\n *\n * ```markdown\n * > | <a :b>\n * ^\n * > | <a _b>\n * ^\n * > | <a b>\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAttributeName(code) {\n // ASCII alphanumerical and `-`, `.`, `:`, and `_`.\n if (\n code === 45 ||\n code === 46 ||\n code === 58 ||\n code === 95 ||\n asciiAlphanumeric(code)\n ) {\n effects.consume(code)\n return completeAttributeName\n }\n return completeAttributeNameAfter(code)\n }\n\n /**\n * After attribute name, at an optional initializer, the end of the tag, or\n * whitespace.\n *\n * ```markdown\n * > | <a b>\n * ^\n * > | <a b=c>\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAttributeNameAfter(code) {\n if (code === 61) {\n effects.consume(code)\n return completeAttributeValueBefore\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return completeAttributeNameAfter\n }\n return completeAttributeNameBefore(code)\n }\n\n /**\n * Before unquoted, double quoted, or single quoted attribute value, allowing\n * whitespace.\n *\n * ```markdown\n * > | <a b=c>\n * ^\n * > | <a b=\"c\">\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAttributeValueBefore(code) {\n if (\n code === null ||\n code === 60 ||\n code === 61 ||\n code === 62 ||\n code === 96\n ) {\n return nok(code)\n }\n if (code === 34 || code === 39) {\n effects.consume(code)\n markerB = code\n return completeAttributeValueQuoted\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return completeAttributeValueBefore\n }\n return completeAttributeValueUnquoted(code)\n }\n\n /**\n * In double or single quoted attribute value.\n *\n * ```markdown\n * > | <a b=\"c\">\n * ^\n * > | <a b='c'>\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAttributeValueQuoted(code) {\n if (code === markerB) {\n effects.consume(code)\n markerB = null\n return completeAttributeValueQuotedAfter\n }\n if (code === null || markdownLineEnding(code)) {\n return nok(code)\n }\n effects.consume(code)\n return completeAttributeValueQuoted\n }\n\n /**\n * In unquoted attribute value.\n *\n * ```markdown\n * > | <a b=c>\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAttributeValueUnquoted(code) {\n if (\n code === null ||\n code === 34 ||\n code === 39 ||\n code === 47 ||\n code === 60 ||\n code === 61 ||\n code === 62 ||\n code === 96 ||\n markdownLineEndingOrSpace(code)\n ) {\n return completeAttributeNameAfter(code)\n }\n effects.consume(code)\n return completeAttributeValueUnquoted\n }\n\n /**\n * After double or single quoted attribute value, before whitespace or the\n * end of the tag.\n *\n * ```markdown\n * > | <a b=\"c\">\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAttributeValueQuotedAfter(code) {\n if (code === 47 || code === 62 || markdownSpace(code)) {\n return completeAttributeNameBefore(code)\n }\n return nok(code)\n }\n\n /**\n * In certain circumstances of a complete tag where only an `>` is allowed.\n *\n * ```markdown\n * > | <a b=\"c\">\n * ^\n * ```\n *\n * @type {State}\n */\n function completeEnd(code) {\n if (code === 62) {\n effects.consume(code)\n return completeAfter\n }\n return nok(code)\n }\n\n /**\n * After `>` in a complete tag.\n *\n * ```markdown\n * > | <x>\n * ^\n * ```\n *\n * @type {State}\n */\n function completeAfter(code) {\n if (code === null || markdownLineEnding(code)) {\n // // Do not form containers.\n // tokenizer.concrete = true\n return continuation(code)\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return completeAfter\n }\n return nok(code)\n }\n\n /**\n * In continuation of any HTML kind.\n *\n * ```markdown\n * > | <!--xxx-->\n * ^\n * ```\n *\n * @type {State}\n */\n function continuation(code) {\n if (code === 45 && marker === 2) {\n effects.consume(code)\n return continuationCommentInside\n }\n if (code === 60 && marker === 1) {\n effects.consume(code)\n return continuationRawTagOpen\n }\n if (code === 62 && marker === 4) {\n effects.consume(code)\n return continuationClose\n }\n if (code === 63 && marker === 3) {\n effects.consume(code)\n return continuationDeclarationInside\n }\n if (code === 93 && marker === 5) {\n effects.consume(code)\n return continuationCdataInside\n }\n if (markdownLineEnding(code) && (marker === 6 || marker === 7)) {\n effects.exit('htmlFlowData')\n return effects.check(\n blankLineBefore,\n continuationAfter,\n continuationStart\n )(code)\n }\n if (code === null || markdownLineEnding(code)) {\n effects.exit('htmlFlowData')\n return continuationStart(code)\n }\n effects.consume(code)\n return continuation\n }\n\n /**\n * In continuation, at eol.\n *\n * ```markdown\n * > | <x>\n * ^\n * | asd\n * ```\n *\n * @type {State}\n */\n function continuationStart(code) {\n return effects.check(\n nonLazyContinuationStart,\n continuationStartNonLazy,\n continuationAfter\n )(code)\n }\n\n /**\n * In continuation, at eol, before non-lazy content.\n *\n * ```markdown\n * > | <x>\n * ^\n * | asd\n * ```\n *\n * @type {State}\n */\n function continuationStartNonLazy(code) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return continuationBefore\n }\n\n /**\n * In continuation, before non-lazy content.\n *\n * ```markdown\n * | <x>\n * > | asd\n * ^\n * ```\n *\n * @type {State}\n */\n function continuationBefore(code) {\n if (code === null || markdownLineEnding(code)) {\n return continuationStart(code)\n }\n effects.enter('htmlFlowData')\n return continuation(code)\n }\n\n /**\n * In comment continuation, after one `-`, expecting another.\n *\n * ```markdown\n * > | <!--xxx-->\n * ^\n * ```\n *\n * @type {State}\n */\n function continuationCommentInside(code) {\n if (code === 45) {\n effects.consume(code)\n return continuationDeclarationInside\n }\n return continuation(code)\n }\n\n /**\n * In raw continuation, after `<`, at `/`.\n *\n * ```markdown\n * > | <script>console.log(1)</script>\n * ^\n * ```\n *\n * @type {State}\n */\n function continuationRawTagOpen(code) {\n if (code === 47) {\n effects.consume(code)\n buffer = ''\n return continuationRawEndTag\n }\n return continuation(code)\n }\n\n /**\n * In raw continuation, after `</`, in a raw tag name.\n *\n * ```markdown\n * > | <script>console.log(1)</script>\n * ^^^^^^\n * ```\n *\n * @type {State}\n */\n function continuationRawEndTag(code) {\n if (code === 62) {\n const name = buffer.toLowerCase()\n if (htmlRawNames.includes(name)) {\n effects.consume(code)\n return continuationClose\n }\n return continuation(code)\n }\n if (asciiAlpha(code) && buffer.length < 8) {\n effects.consume(code)\n // @ts-expect-error: not null.\n buffer += String.fromCharCode(code)\n return continuationRawEndTag\n }\n return continuation(code)\n }\n\n /**\n * In cdata continuation, after `]`, expecting `]>`.\n *\n * ```markdown\n * > | <![CDATA[>&<]]>\n * ^\n * ```\n *\n * @type {State}\n */\n function continuationCdataInside(code) {\n if (code === 93) {\n effects.consume(code)\n return continuationDeclarationInside\n }\n return continuation(code)\n }\n\n /**\n * In declaration or instruction continuation, at `>`.\n *\n * ```markdown\n * > | <!-->\n * ^\n * > | <?>\n * ^\n * > | <!q>\n * ^\n * > | <!--ab-->\n * ^\n * > | <![CDATA[>&<]]>\n * ^\n * ```\n *\n * @type {State}\n */\n function continuationDeclarationInside(code) {\n if (code === 62) {\n effects.consume(code)\n return continuationClose\n }\n\n // More dashes.\n if (code === 45 && marker === 2) {\n effects.consume(code)\n return continuationDeclarationInside\n }\n return continuation(code)\n }\n\n /**\n * In closed continuation: everything we get until the eol/eof is part of it.\n *\n * ```markdown\n * > | <!doctype>\n * ^\n * ```\n *\n * @type {State}\n */\n function continuationClose(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('htmlFlowData')\n return continuationAfter(code)\n }\n effects.consume(code)\n return continuationClose\n }\n\n /**\n * Done.\n *\n * ```markdown\n * > | <!doctype>\n * ^\n * ```\n *\n * @type {State}\n */\n function continuationAfter(code) {\n effects.exit('htmlFlow')\n // // Feel free to interrupt.\n // tokenizer.interrupt = false\n // // No longer concrete.\n // tokenizer.concrete = false\n return ok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeNonLazyContinuationStart(effects, ok, nok) {\n const self = this\n return start\n\n /**\n * At eol, before continuation.\n *\n * ```markdown\n * > | * ```js\n * ^\n * | b\n * ```\n *\n * @type {State}\n */\n function start(code) {\n if (markdownLineEnding(code)) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return after\n }\n return nok(code)\n }\n\n /**\n * A continuation.\n *\n * ```markdown\n * | * ```js\n * > | b\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n return self.parser.lazy[self.now().line] ? nok(code) : ok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeBlankLineBefore(effects, ok, nok) {\n return start\n\n /**\n * Before eol, expecting blank line.\n *\n * ```markdown\n * > | <div>\n * ^\n * |\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return effects.attempt(blankLine, ok, nok)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding, markdownSpace} from 'micromark-util-character'\n/** @type {Construct} */\nconst nonLazyContinuation = {\n tokenize: tokenizeNonLazyContinuation,\n partial: true\n}\n\n/** @type {Construct} */\nexport const codeFenced = {\n name: 'codeFenced',\n tokenize: tokenizeCodeFenced,\n concrete: true\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeCodeFenced(effects, ok, nok) {\n const self = this\n /** @type {Construct} */\n const closeStart = {\n tokenize: tokenizeCloseStart,\n partial: true\n }\n let initialPrefix = 0\n let sizeOpen = 0\n /** @type {NonNullable<Code>} */\n let marker\n return start\n\n /**\n * Start of code.\n *\n * ```markdown\n * > | ~~~js\n * ^\n * | alert(1)\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // To do: parse whitespace like `markdown-rs`.\n return beforeSequenceOpen(code)\n }\n\n /**\n * In opening fence, after prefix, at sequence.\n *\n * ```markdown\n * > | ~~~js\n * ^\n * | alert(1)\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function beforeSequenceOpen(code) {\n const tail = self.events[self.events.length - 1]\n initialPrefix =\n tail && tail[1].type === 'linePrefix'\n ? tail[2].sliceSerialize(tail[1], true).length\n : 0\n marker = code\n effects.enter('codeFenced')\n effects.enter('codeFencedFence')\n effects.enter('codeFencedFenceSequence')\n return sequenceOpen(code)\n }\n\n /**\n * In opening fence sequence.\n *\n * ```markdown\n * > | ~~~js\n * ^\n * | alert(1)\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function sequenceOpen(code) {\n if (code === marker) {\n sizeOpen++\n effects.consume(code)\n return sequenceOpen\n }\n if (sizeOpen < 3) {\n return nok(code)\n }\n effects.exit('codeFencedFenceSequence')\n return markdownSpace(code)\n ? factorySpace(effects, infoBefore, 'whitespace')(code)\n : infoBefore(code)\n }\n\n /**\n * In opening fence, after the sequence (and optional whitespace), before info.\n *\n * ```markdown\n * > | ~~~js\n * ^\n * | alert(1)\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function infoBefore(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('codeFencedFence')\n return self.interrupt\n ? ok(code)\n : effects.check(nonLazyContinuation, atNonLazyBreak, after)(code)\n }\n effects.enter('codeFencedFenceInfo')\n effects.enter('chunkString', {\n contentType: 'string'\n })\n return info(code)\n }\n\n /**\n * In info.\n *\n * ```markdown\n * > | ~~~js\n * ^\n * | alert(1)\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function info(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('chunkString')\n effects.exit('codeFencedFenceInfo')\n return infoBefore(code)\n }\n if (markdownSpace(code)) {\n effects.exit('chunkString')\n effects.exit('codeFencedFenceInfo')\n return factorySpace(effects, metaBefore, 'whitespace')(code)\n }\n if (code === 96 && code === marker) {\n return nok(code)\n }\n effects.consume(code)\n return info\n }\n\n /**\n * In opening fence, after info and whitespace, before meta.\n *\n * ```markdown\n * > | ~~~js eval\n * ^\n * | alert(1)\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function metaBefore(code) {\n if (code === null || markdownLineEnding(code)) {\n return infoBefore(code)\n }\n effects.enter('codeFencedFenceMeta')\n effects.enter('chunkString', {\n contentType: 'string'\n })\n return meta(code)\n }\n\n /**\n * In meta.\n *\n * ```markdown\n * > | ~~~js eval\n * ^\n * | alert(1)\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function meta(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('chunkString')\n effects.exit('codeFencedFenceMeta')\n return infoBefore(code)\n }\n if (code === 96 && code === marker) {\n return nok(code)\n }\n effects.consume(code)\n return meta\n }\n\n /**\n * At eol/eof in code, before a non-lazy closing fence or content.\n *\n * ```markdown\n * > | ~~~js\n * ^\n * > | alert(1)\n * ^\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function atNonLazyBreak(code) {\n return effects.attempt(closeStart, after, contentBefore)(code)\n }\n\n /**\n * Before code content, not a closing fence, at eol.\n *\n * ```markdown\n * | ~~~js\n * > | alert(1)\n * ^\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function contentBefore(code) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return contentStart\n }\n\n /**\n * Before code content, not a closing fence.\n *\n * ```markdown\n * | ~~~js\n * > | alert(1)\n * ^\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function contentStart(code) {\n return initialPrefix > 0 && markdownSpace(code)\n ? factorySpace(\n effects,\n beforeContentChunk,\n 'linePrefix',\n initialPrefix + 1\n )(code)\n : beforeContentChunk(code)\n }\n\n /**\n * Before code content, after optional prefix.\n *\n * ```markdown\n * | ~~~js\n * > | alert(1)\n * ^\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function beforeContentChunk(code) {\n if (code === null || markdownLineEnding(code)) {\n return effects.check(nonLazyContinuation, atNonLazyBreak, after)(code)\n }\n effects.enter('codeFlowValue')\n return contentChunk(code)\n }\n\n /**\n * In code content.\n *\n * ```markdown\n * | ~~~js\n * > | alert(1)\n * ^^^^^^^^\n * | ~~~\n * ```\n *\n * @type {State}\n */\n function contentChunk(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('codeFlowValue')\n return beforeContentChunk(code)\n }\n effects.consume(code)\n return contentChunk\n }\n\n /**\n * After code.\n *\n * ```markdown\n * | ~~~js\n * | alert(1)\n * > | ~~~\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n effects.exit('codeFenced')\n return ok(code)\n }\n\n /**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\n function tokenizeCloseStart(effects, ok, nok) {\n let size = 0\n return startBefore\n\n /**\n *\n *\n * @type {State}\n */\n function startBefore(code) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return start\n }\n\n /**\n * Before closing fence, at optional whitespace.\n *\n * ```markdown\n * | ~~~js\n * | alert(1)\n * > | ~~~\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // Always populated by defaults.\n\n // To do: `enter` here or in next state?\n effects.enter('codeFencedFence')\n return markdownSpace(code)\n ? factorySpace(\n effects,\n beforeSequenceClose,\n 'linePrefix',\n self.parser.constructs.disable.null.includes('codeIndented')\n ? undefined\n : 4\n )(code)\n : beforeSequenceClose(code)\n }\n\n /**\n * In closing fence, after optional whitespace, at sequence.\n *\n * ```markdown\n * | ~~~js\n * | alert(1)\n * > | ~~~\n * ^\n * ```\n *\n * @type {State}\n */\n function beforeSequenceClose(code) {\n if (code === marker) {\n effects.enter('codeFencedFenceSequence')\n return sequenceClose(code)\n }\n return nok(code)\n }\n\n /**\n * In closing fence sequence.\n *\n * ```markdown\n * | ~~~js\n * | alert(1)\n * > | ~~~\n * ^\n * ```\n *\n * @type {State}\n */\n function sequenceClose(code) {\n if (code === marker) {\n size++\n effects.consume(code)\n return sequenceClose\n }\n if (size >= sizeOpen) {\n effects.exit('codeFencedFenceSequence')\n return markdownSpace(code)\n ? factorySpace(effects, sequenceCloseAfter, 'whitespace')(code)\n : sequenceCloseAfter(code)\n }\n return nok(code)\n }\n\n /**\n * After closing fence sequence, after optional whitespace.\n *\n * ```markdown\n * | ~~~js\n * | alert(1)\n * > | ~~~\n * ^\n * ```\n *\n * @type {State}\n */\n function sequenceCloseAfter(code) {\n if (code === null || markdownLineEnding(code)) {\n effects.exit('codeFencedFence')\n return ok(code)\n }\n return nok(code)\n }\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeNonLazyContinuation(effects, ok, nok) {\n const self = this\n return start\n\n /**\n *\n *\n * @type {State}\n */\n function start(code) {\n if (code === null) {\n return nok(code)\n }\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return lineStart\n }\n\n /**\n *\n *\n * @type {State}\n */\n function lineStart(code) {\n return self.parser.lazy[self.now().line] ? nok(code) : ok(code)\n }\n}\n","/// <reference lib=\"dom\" />\n\n/* eslint-env browser */\n\nconst element = document.createElement('i')\n\n/**\n * @param {string} value\n * @returns {string|false}\n */\nexport function decodeNamedCharacterReference(value) {\n const characterReference = '&' + value + ';'\n element.innerHTML = characterReference\n const char = element.textContent\n\n // Some named character references do not require the closing semicolon\n // (`¬`, for instance), which leads to situations where parsing the assumed\n // named reference of `¬it;` will result in the string `¬it;`.\n // When we encounter a trailing semicolon after parsing, and the character\n // reference to decode was not a semicolon (`;`), we can assume that the\n // matching was not complete.\n // @ts-expect-error: TypeScript is wrong that `textContent` on elements can\n // yield `null`.\n if (char.charCodeAt(char.length - 1) === 59 /* `;` */ && value !== 'semi') {\n return false\n }\n\n // If the decoded string is equal to the input, the character reference was\n // not valid.\n // @ts-expect-error: TypeScript is wrong that `textContent` on elements can\n // yield `null`.\n return char === characterReference ? false : char\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {decodeNamedCharacterReference} from 'decode-named-character-reference'\nimport {\n asciiAlphanumeric,\n asciiDigit,\n asciiHexDigit\n} from 'micromark-util-character'\n/** @type {Construct} */\nexport const characterReference = {\n name: 'characterReference',\n tokenize: tokenizeCharacterReference\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeCharacterReference(effects, ok, nok) {\n const self = this\n let size = 0\n /** @type {number} */\n let max\n /** @type {(code: Code) => boolean} */\n let test\n return start\n\n /**\n * Start of character reference.\n *\n * ```markdown\n * > | a&b\n * ^\n * > | a{b\n * ^\n * > | a	b\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('characterReference')\n effects.enter('characterReferenceMarker')\n effects.consume(code)\n effects.exit('characterReferenceMarker')\n return open\n }\n\n /**\n * After `&`, at `#` for numeric references or alphanumeric for named\n * references.\n *\n * ```markdown\n * > | a&b\n * ^\n * > | a{b\n * ^\n * > | a	b\n * ^\n * ```\n *\n * @type {State}\n */\n function open(code) {\n if (code === 35) {\n effects.enter('characterReferenceMarkerNumeric')\n effects.consume(code)\n effects.exit('characterReferenceMarkerNumeric')\n return numeric\n }\n effects.enter('characterReferenceValue')\n max = 31\n test = asciiAlphanumeric\n return value(code)\n }\n\n /**\n * After `#`, at `x` for hexadecimals or digit for decimals.\n *\n * ```markdown\n * > | a{b\n * ^\n * > | a	b\n * ^\n * ```\n *\n * @type {State}\n */\n function numeric(code) {\n if (code === 88 || code === 120) {\n effects.enter('characterReferenceMarkerHexadecimal')\n effects.consume(code)\n effects.exit('characterReferenceMarkerHexadecimal')\n effects.enter('characterReferenceValue')\n max = 6\n test = asciiHexDigit\n return value\n }\n effects.enter('characterReferenceValue')\n max = 7\n test = asciiDigit\n return value(code)\n }\n\n /**\n * After markers (`&#x`, `&#`, or `&`), in value, before `;`.\n *\n * The character reference kind defines what and how many characters are\n * allowed.\n *\n * ```markdown\n * > | a&b\n * ^^^\n * > | a{b\n * ^^^\n * > | a	b\n * ^\n * ```\n *\n * @type {State}\n */\n function value(code) {\n if (code === 59 && size) {\n const token = effects.exit('characterReferenceValue')\n if (\n test === asciiAlphanumeric &&\n !decodeNamedCharacterReference(self.sliceSerialize(token))\n ) {\n return nok(code)\n }\n\n // To do: `markdown-rs` uses a different name:\n // `CharacterReferenceMarkerSemi`.\n effects.enter('characterReferenceMarker')\n effects.consume(code)\n effects.exit('characterReferenceMarker')\n effects.exit('characterReference')\n return ok\n }\n if (test(code) && size++ < max) {\n effects.consume(code)\n return value\n }\n return nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {asciiPunctuation} from 'micromark-util-character'\n/** @type {Construct} */\nexport const characterEscape = {\n name: 'characterEscape',\n tokenize: tokenizeCharacterEscape\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeCharacterEscape(effects, ok, nok) {\n return start\n\n /**\n * Start of character escape.\n *\n * ```markdown\n * > | a\\*b\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('characterEscape')\n effects.enter('escapeMarker')\n effects.consume(code)\n effects.exit('escapeMarker')\n return inside\n }\n\n /**\n * After `\\`, at punctuation.\n *\n * ```markdown\n * > | a\\*b\n * ^\n * ```\n *\n * @type {State}\n */\n function inside(code) {\n // ASCII punctuation.\n if (asciiPunctuation(code)) {\n effects.enter('characterEscapeValue')\n effects.consume(code)\n effects.exit('characterEscapeValue')\n effects.exit('characterEscape')\n return ok\n }\n return nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEnding} from 'micromark-util-character'\n/** @type {Construct} */\nexport const lineEnding = {\n name: 'lineEnding',\n tokenize: tokenizeLineEnding\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeLineEnding(effects, ok) {\n return start\n\n /** @type {State} */\n function start(code) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return factorySpace(effects, ok, 'linePrefix')\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factoryDestination} from 'micromark-factory-destination'\nimport {factoryLabel} from 'micromark-factory-label'\nimport {factoryTitle} from 'micromark-factory-title'\nimport {factoryWhitespace} from 'micromark-factory-whitespace'\nimport {markdownLineEndingOrSpace} from 'micromark-util-character'\nimport {push, splice} from 'micromark-util-chunked'\nimport {normalizeIdentifier} from 'micromark-util-normalize-identifier'\nimport {resolveAll} from 'micromark-util-resolve-all'\n/** @type {Construct} */\nexport const labelEnd = {\n name: 'labelEnd',\n tokenize: tokenizeLabelEnd,\n resolveTo: resolveToLabelEnd,\n resolveAll: resolveAllLabelEnd\n}\n\n/** @type {Construct} */\nconst resourceConstruct = {\n tokenize: tokenizeResource\n}\n/** @type {Construct} */\nconst referenceFullConstruct = {\n tokenize: tokenizeReferenceFull\n}\n/** @type {Construct} */\nconst referenceCollapsedConstruct = {\n tokenize: tokenizeReferenceCollapsed\n}\n\n/** @type {Resolver} */\nfunction resolveAllLabelEnd(events) {\n let index = -1\n while (++index < events.length) {\n const token = events[index][1]\n if (\n token.type === 'labelImage' ||\n token.type === 'labelLink' ||\n token.type === 'labelEnd'\n ) {\n // Remove the marker.\n events.splice(index + 1, token.type === 'labelImage' ? 4 : 2)\n token.type = 'data'\n index++\n }\n }\n return events\n}\n\n/** @type {Resolver} */\nfunction resolveToLabelEnd(events, context) {\n let index = events.length\n let offset = 0\n /** @type {Token} */\n let token\n /** @type {number | undefined} */\n let open\n /** @type {number | undefined} */\n let close\n /** @type {Array<Event>} */\n let media\n\n // Find an opening.\n while (index--) {\n token = events[index][1]\n if (open) {\n // If we see another link, or inactive link label, we’ve been here before.\n if (\n token.type === 'link' ||\n (token.type === 'labelLink' && token._inactive)\n ) {\n break\n }\n\n // Mark other link openings as inactive, as we can’t have links in\n // links.\n if (events[index][0] === 'enter' && token.type === 'labelLink') {\n token._inactive = true\n }\n } else if (close) {\n if (\n events[index][0] === 'enter' &&\n (token.type === 'labelImage' || token.type === 'labelLink') &&\n !token._balanced\n ) {\n open = index\n if (token.type !== 'labelLink') {\n offset = 2\n break\n }\n }\n } else if (token.type === 'labelEnd') {\n close = index\n }\n }\n const group = {\n type: events[open][1].type === 'labelLink' ? 'link' : 'image',\n start: Object.assign({}, events[open][1].start),\n end: Object.assign({}, events[events.length - 1][1].end)\n }\n const label = {\n type: 'label',\n start: Object.assign({}, events[open][1].start),\n end: Object.assign({}, events[close][1].end)\n }\n const text = {\n type: 'labelText',\n start: Object.assign({}, events[open + offset + 2][1].end),\n end: Object.assign({}, events[close - 2][1].start)\n }\n media = [\n ['enter', group, context],\n ['enter', label, context]\n ]\n\n // Opening marker.\n media = push(media, events.slice(open + 1, open + offset + 3))\n\n // Text open.\n media = push(media, [['enter', text, context]])\n\n // Always populated by defaults.\n\n // Between.\n media = push(\n media,\n resolveAll(\n context.parser.constructs.insideSpan.null,\n events.slice(open + offset + 4, close - 3),\n context\n )\n )\n\n // Text close, marker close, label close.\n media = push(media, [\n ['exit', text, context],\n events[close - 2],\n events[close - 1],\n ['exit', label, context]\n ])\n\n // Reference, resource, or so.\n media = push(media, events.slice(close + 1))\n\n // Media close.\n media = push(media, [['exit', group, context]])\n splice(events, open, events.length, media)\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeLabelEnd(effects, ok, nok) {\n const self = this\n let index = self.events.length\n /** @type {Token} */\n let labelStart\n /** @type {boolean} */\n let defined\n\n // Find an opening.\n while (index--) {\n if (\n (self.events[index][1].type === 'labelImage' ||\n self.events[index][1].type === 'labelLink') &&\n !self.events[index][1]._balanced\n ) {\n labelStart = self.events[index][1]\n break\n }\n }\n return start\n\n /**\n * Start of label end.\n *\n * ```markdown\n * > | [a](b) c\n * ^\n * > | [a][b] c\n * ^\n * > | [a][] b\n * ^\n * > | [a] b\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // If there is not an okay opening.\n if (!labelStart) {\n return nok(code)\n }\n\n // If the corresponding label (link) start is marked as inactive,\n // it means we’d be wrapping a link, like this:\n //\n // ```markdown\n // > | a [b [c](d) e](f) g.\n // ^\n // ```\n //\n // We can’t have that, so it’s just balanced brackets.\n if (labelStart._inactive) {\n return labelEndNok(code)\n }\n defined = self.parser.defined.includes(\n normalizeIdentifier(\n self.sliceSerialize({\n start: labelStart.end,\n end: self.now()\n })\n )\n )\n effects.enter('labelEnd')\n effects.enter('labelMarker')\n effects.consume(code)\n effects.exit('labelMarker')\n effects.exit('labelEnd')\n return after\n }\n\n /**\n * After `]`.\n *\n * ```markdown\n * > | [a](b) c\n * ^\n * > | [a][b] c\n * ^\n * > | [a][] b\n * ^\n * > | [a] b\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n // Note: `markdown-rs` also parses GFM footnotes here, which for us is in\n // an extension.\n\n // Resource (`[asd](fgh)`)?\n if (code === 40) {\n return effects.attempt(\n resourceConstruct,\n labelEndOk,\n defined ? labelEndOk : labelEndNok\n )(code)\n }\n\n // Full (`[asd][fgh]`) or collapsed (`[asd][]`) reference?\n if (code === 91) {\n return effects.attempt(\n referenceFullConstruct,\n labelEndOk,\n defined ? referenceNotFull : labelEndNok\n )(code)\n }\n\n // Shortcut (`[asd]`) reference?\n return defined ? labelEndOk(code) : labelEndNok(code)\n }\n\n /**\n * After `]`, at `[`, but not at a full reference.\n *\n * > 👉 **Note**: we only get here if the label is defined.\n *\n * ```markdown\n * > | [a][] b\n * ^\n * > | [a] b\n * ^\n * ```\n *\n * @type {State}\n */\n function referenceNotFull(code) {\n return effects.attempt(\n referenceCollapsedConstruct,\n labelEndOk,\n labelEndNok\n )(code)\n }\n\n /**\n * Done, we found something.\n *\n * ```markdown\n * > | [a](b) c\n * ^\n * > | [a][b] c\n * ^\n * > | [a][] b\n * ^\n * > | [a] b\n * ^\n * ```\n *\n * @type {State}\n */\n function labelEndOk(code) {\n // Note: `markdown-rs` does a bunch of stuff here.\n return ok(code)\n }\n\n /**\n * Done, it’s nothing.\n *\n * There was an okay opening, but we didn’t match anything.\n *\n * ```markdown\n * > | [a](b c\n * ^\n * > | [a][b c\n * ^\n * > | [a] b\n * ^\n * ```\n *\n * @type {State}\n */\n function labelEndNok(code) {\n labelStart._balanced = true\n return nok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeResource(effects, ok, nok) {\n return resourceStart\n\n /**\n * At a resource.\n *\n * ```markdown\n * > | [a](b) c\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceStart(code) {\n effects.enter('resource')\n effects.enter('resourceMarker')\n effects.consume(code)\n effects.exit('resourceMarker')\n return resourceBefore\n }\n\n /**\n * In resource, after `(`, at optional whitespace.\n *\n * ```markdown\n * > | [a](b) c\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceBefore(code) {\n return markdownLineEndingOrSpace(code)\n ? factoryWhitespace(effects, resourceOpen)(code)\n : resourceOpen(code)\n }\n\n /**\n * In resource, after optional whitespace, at `)` or a destination.\n *\n * ```markdown\n * > | [a](b) c\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceOpen(code) {\n if (code === 41) {\n return resourceEnd(code)\n }\n return factoryDestination(\n effects,\n resourceDestinationAfter,\n resourceDestinationMissing,\n 'resourceDestination',\n 'resourceDestinationLiteral',\n 'resourceDestinationLiteralMarker',\n 'resourceDestinationRaw',\n 'resourceDestinationString',\n 32\n )(code)\n }\n\n /**\n * In resource, after destination, at optional whitespace.\n *\n * ```markdown\n * > | [a](b) c\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceDestinationAfter(code) {\n return markdownLineEndingOrSpace(code)\n ? factoryWhitespace(effects, resourceBetween)(code)\n : resourceEnd(code)\n }\n\n /**\n * At invalid destination.\n *\n * ```markdown\n * > | [a](<<) b\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceDestinationMissing(code) {\n return nok(code)\n }\n\n /**\n * In resource, after destination and whitespace, at `(` or title.\n *\n * ```markdown\n * > | [a](b ) c\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceBetween(code) {\n if (code === 34 || code === 39 || code === 40) {\n return factoryTitle(\n effects,\n resourceTitleAfter,\n nok,\n 'resourceTitle',\n 'resourceTitleMarker',\n 'resourceTitleString'\n )(code)\n }\n return resourceEnd(code)\n }\n\n /**\n * In resource, after title, at optional whitespace.\n *\n * ```markdown\n * > | [a](b \"c\") d\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceTitleAfter(code) {\n return markdownLineEndingOrSpace(code)\n ? factoryWhitespace(effects, resourceEnd)(code)\n : resourceEnd(code)\n }\n\n /**\n * In resource, at `)`.\n *\n * ```markdown\n * > | [a](b) d\n * ^\n * ```\n *\n * @type {State}\n */\n function resourceEnd(code) {\n if (code === 41) {\n effects.enter('resourceMarker')\n effects.consume(code)\n effects.exit('resourceMarker')\n effects.exit('resource')\n return ok\n }\n return nok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeReferenceFull(effects, ok, nok) {\n const self = this\n return referenceFull\n\n /**\n * In a reference (full), at the `[`.\n *\n * ```markdown\n * > | [a][b] d\n * ^\n * ```\n *\n * @type {State}\n */\n function referenceFull(code) {\n return factoryLabel.call(\n self,\n effects,\n referenceFullAfter,\n referenceFullMissing,\n 'reference',\n 'referenceMarker',\n 'referenceString'\n )(code)\n }\n\n /**\n * In a reference (full), after `]`.\n *\n * ```markdown\n * > | [a][b] d\n * ^\n * ```\n *\n * @type {State}\n */\n function referenceFullAfter(code) {\n return self.parser.defined.includes(\n normalizeIdentifier(\n self.sliceSerialize(self.events[self.events.length - 1][1]).slice(1, -1)\n )\n )\n ? ok(code)\n : nok(code)\n }\n\n /**\n * In reference (full) that was missing.\n *\n * ```markdown\n * > | [a][b d\n * ^\n * ```\n *\n * @type {State}\n */\n function referenceFullMissing(code) {\n return nok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeReferenceCollapsed(effects, ok, nok) {\n return referenceCollapsedStart\n\n /**\n * In reference (collapsed), at `[`.\n *\n * > 👉 **Note**: we only get here if the label is defined.\n *\n * ```markdown\n * > | [a][] d\n * ^\n * ```\n *\n * @type {State}\n */\n function referenceCollapsedStart(code) {\n // We only attempt a collapsed label if there’s a `[`.\n\n effects.enter('reference')\n effects.enter('referenceMarker')\n effects.consume(code)\n effects.exit('referenceMarker')\n return referenceCollapsedOpen\n }\n\n /**\n * In reference (collapsed), at `]`.\n *\n * > 👉 **Note**: we only get here if the label is defined.\n *\n * ```markdown\n * > | [a][] d\n * ^\n * ```\n *\n * @type {State}\n */\n function referenceCollapsedOpen(code) {\n if (code === 93) {\n effects.enter('referenceMarker')\n effects.consume(code)\n effects.exit('referenceMarker')\n effects.exit('reference')\n return ok\n }\n return nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {labelEnd} from './label-end.js'\n\n/** @type {Construct} */\nexport const labelStartImage = {\n name: 'labelStartImage',\n tokenize: tokenizeLabelStartImage,\n resolveAll: labelEnd.resolveAll\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeLabelStartImage(effects, ok, nok) {\n const self = this\n return start\n\n /**\n * Start of label (image) start.\n *\n * ```markdown\n * > | a ![b] c\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('labelImage')\n effects.enter('labelImageMarker')\n effects.consume(code)\n effects.exit('labelImageMarker')\n return open\n }\n\n /**\n * After `!`, at `[`.\n *\n * ```markdown\n * > | a ![b] c\n * ^\n * ```\n *\n * @type {State}\n */\n function open(code) {\n if (code === 91) {\n effects.enter('labelMarker')\n effects.consume(code)\n effects.exit('labelMarker')\n effects.exit('labelImage')\n return after\n }\n return nok(code)\n }\n\n /**\n * After `![`.\n *\n * ```markdown\n * > | a ![b] c\n * ^\n * ```\n *\n * This is needed in because, when GFM footnotes are enabled, images never\n * form when started with a `^`.\n * Instead, links form:\n *\n * ```markdown\n * \n *\n * ![^a][b]\n *\n * [b]: c\n * ```\n *\n * ```html\n * <p>!<a href=\\\"b\\\">^a</a></p>\n * <p>!<a href=\\\"c\\\">^a</a></p>\n * ```\n *\n * @type {State}\n */\n function after(code) {\n // To do: use a new field to do this, this is still needed for\n // `micromark-extension-gfm-footnote`, but the `label-start-link`\n // behavior isn’t.\n // Hidden footnotes hook.\n /* c8 ignore next 3 */\n return code === 94 && '_hiddenFootnoteSupport' in self.parser.constructs\n ? nok(code)\n : ok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n */\n\nimport {\n markdownLineEndingOrSpace,\n unicodePunctuation,\n unicodeWhitespace\n} from 'micromark-util-character'\n/**\n * Classify whether a code represents whitespace, punctuation, or something\n * else.\n *\n * Used for attention (emphasis, strong), whose sequences can open or close\n * based on the class of surrounding characters.\n *\n * > 👉 **Note**: eof (`null`) is seen as whitespace.\n *\n * @param {Code} code\n * Code.\n * @returns {typeof constants.characterGroupWhitespace | typeof constants.characterGroupPunctuation | undefined}\n * Group.\n */\nexport function classifyCharacter(code) {\n if (\n code === null ||\n markdownLineEndingOrSpace(code) ||\n unicodeWhitespace(code)\n ) {\n return 1\n }\n if (unicodePunctuation(code)) {\n return 2\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Point} Point\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {push, splice} from 'micromark-util-chunked'\nimport {classifyCharacter} from 'micromark-util-classify-character'\nimport {resolveAll} from 'micromark-util-resolve-all'\n/** @type {Construct} */\nexport const attention = {\n name: 'attention',\n tokenize: tokenizeAttention,\n resolveAll: resolveAllAttention\n}\n\n/**\n * Take all events and resolve attention to emphasis or strong.\n *\n * @type {Resolver}\n */\nfunction resolveAllAttention(events, context) {\n let index = -1\n /** @type {number} */\n let open\n /** @type {Token} */\n let group\n /** @type {Token} */\n let text\n /** @type {Token} */\n let openingSequence\n /** @type {Token} */\n let closingSequence\n /** @type {number} */\n let use\n /** @type {Array<Event>} */\n let nextEvents\n /** @type {number} */\n let offset\n\n // Walk through all events.\n //\n // Note: performance of this is fine on an mb of normal markdown, but it’s\n // a bottleneck for malicious stuff.\n while (++index < events.length) {\n // Find a token that can close.\n if (\n events[index][0] === 'enter' &&\n events[index][1].type === 'attentionSequence' &&\n events[index][1]._close\n ) {\n open = index\n\n // Now walk back to find an opener.\n while (open--) {\n // Find a token that can open the closer.\n if (\n events[open][0] === 'exit' &&\n events[open][1].type === 'attentionSequence' &&\n events[open][1]._open &&\n // If the markers are the same:\n context.sliceSerialize(events[open][1]).charCodeAt(0) ===\n context.sliceSerialize(events[index][1]).charCodeAt(0)\n ) {\n // If the opening can close or the closing can open,\n // and the close size *is not* a multiple of three,\n // but the sum of the opening and closing size *is* multiple of three,\n // then don’t match.\n if (\n (events[open][1]._close || events[index][1]._open) &&\n (events[index][1].end.offset - events[index][1].start.offset) % 3 &&\n !(\n (events[open][1].end.offset -\n events[open][1].start.offset +\n events[index][1].end.offset -\n events[index][1].start.offset) %\n 3\n )\n ) {\n continue\n }\n\n // Number of markers to use from the sequence.\n use =\n events[open][1].end.offset - events[open][1].start.offset > 1 &&\n events[index][1].end.offset - events[index][1].start.offset > 1\n ? 2\n : 1\n const start = Object.assign({}, events[open][1].end)\n const end = Object.assign({}, events[index][1].start)\n movePoint(start, -use)\n movePoint(end, use)\n openingSequence = {\n type: use > 1 ? 'strongSequence' : 'emphasisSequence',\n start,\n end: Object.assign({}, events[open][1].end)\n }\n closingSequence = {\n type: use > 1 ? 'strongSequence' : 'emphasisSequence',\n start: Object.assign({}, events[index][1].start),\n end\n }\n text = {\n type: use > 1 ? 'strongText' : 'emphasisText',\n start: Object.assign({}, events[open][1].end),\n end: Object.assign({}, events[index][1].start)\n }\n group = {\n type: use > 1 ? 'strong' : 'emphasis',\n start: Object.assign({}, openingSequence.start),\n end: Object.assign({}, closingSequence.end)\n }\n events[open][1].end = Object.assign({}, openingSequence.start)\n events[index][1].start = Object.assign({}, closingSequence.end)\n nextEvents = []\n\n // If there are more markers in the opening, add them before.\n if (events[open][1].end.offset - events[open][1].start.offset) {\n nextEvents = push(nextEvents, [\n ['enter', events[open][1], context],\n ['exit', events[open][1], context]\n ])\n }\n\n // Opening.\n nextEvents = push(nextEvents, [\n ['enter', group, context],\n ['enter', openingSequence, context],\n ['exit', openingSequence, context],\n ['enter', text, context]\n ])\n\n // Always populated by defaults.\n\n // Between.\n nextEvents = push(\n nextEvents,\n resolveAll(\n context.parser.constructs.insideSpan.null,\n events.slice(open + 1, index),\n context\n )\n )\n\n // Closing.\n nextEvents = push(nextEvents, [\n ['exit', text, context],\n ['enter', closingSequence, context],\n ['exit', closingSequence, context],\n ['exit', group, context]\n ])\n\n // If there are more markers in the closing, add them after.\n if (events[index][1].end.offset - events[index][1].start.offset) {\n offset = 2\n nextEvents = push(nextEvents, [\n ['enter', events[index][1], context],\n ['exit', events[index][1], context]\n ])\n } else {\n offset = 0\n }\n splice(events, open - 1, index - open + 3, nextEvents)\n index = open + nextEvents.length - offset - 2\n break\n }\n }\n }\n }\n\n // Remove remaining sequences.\n index = -1\n while (++index < events.length) {\n if (events[index][1].type === 'attentionSequence') {\n events[index][1].type = 'data'\n }\n }\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeAttention(effects, ok) {\n const attentionMarkers = this.parser.constructs.attentionMarkers.null\n const previous = this.previous\n const before = classifyCharacter(previous)\n\n /** @type {NonNullable<Code>} */\n let marker\n return start\n\n /**\n * Before a sequence.\n *\n * ```markdown\n * > | **\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n marker = code\n effects.enter('attentionSequence')\n return inside(code)\n }\n\n /**\n * In a sequence.\n *\n * ```markdown\n * > | **\n * ^^\n * ```\n *\n * @type {State}\n */\n function inside(code) {\n if (code === marker) {\n effects.consume(code)\n return inside\n }\n const token = effects.exit('attentionSequence')\n\n // To do: next major: move this to resolver, just like `markdown-rs`.\n const after = classifyCharacter(code)\n\n // Always populated by defaults.\n\n const open =\n !after || (after === 2 && before) || attentionMarkers.includes(code)\n const close =\n !before || (before === 2 && after) || attentionMarkers.includes(previous)\n token._open = Boolean(marker === 42 ? open : open && (before || !close))\n token._close = Boolean(marker === 42 ? close : close && (after || !open))\n return ok(code)\n }\n}\n\n/**\n * Move a point a bit.\n *\n * Note: `move` only works inside lines! It’s not possible to move past other\n * chunks (replacement characters, tabs, or line endings).\n *\n * @param {Point} point\n * @param {number} offset\n * @returns {void}\n */\nfunction movePoint(point, offset) {\n point.column += offset\n point.offset += offset\n point._bufferIndex += offset\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {\n asciiAlpha,\n asciiAlphanumeric,\n asciiAtext,\n asciiControl\n} from 'micromark-util-character'\n/** @type {Construct} */\nexport const autolink = {\n name: 'autolink',\n tokenize: tokenizeAutolink\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeAutolink(effects, ok, nok) {\n let size = 0\n return start\n\n /**\n * Start of an autolink.\n *\n * ```markdown\n * > | a<https://example.com>b\n * ^\n * > | a<user@example.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('autolink')\n effects.enter('autolinkMarker')\n effects.consume(code)\n effects.exit('autolinkMarker')\n effects.enter('autolinkProtocol')\n return open\n }\n\n /**\n * After `<`, at protocol or atext.\n *\n * ```markdown\n * > | a<https://example.com>b\n * ^\n * > | a<user@example.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function open(code) {\n if (asciiAlpha(code)) {\n effects.consume(code)\n return schemeOrEmailAtext\n }\n return emailAtext(code)\n }\n\n /**\n * At second byte of protocol or atext.\n *\n * ```markdown\n * > | a<https://example.com>b\n * ^\n * > | a<user@example.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function schemeOrEmailAtext(code) {\n // ASCII alphanumeric and `+`, `-`, and `.`.\n if (code === 43 || code === 45 || code === 46 || asciiAlphanumeric(code)) {\n // Count the previous alphabetical from `open` too.\n size = 1\n return schemeInsideOrEmailAtext(code)\n }\n return emailAtext(code)\n }\n\n /**\n * In ambiguous protocol or atext.\n *\n * ```markdown\n * > | a<https://example.com>b\n * ^\n * > | a<user@example.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function schemeInsideOrEmailAtext(code) {\n if (code === 58) {\n effects.consume(code)\n size = 0\n return urlInside\n }\n\n // ASCII alphanumeric and `+`, `-`, and `.`.\n if (\n (code === 43 || code === 45 || code === 46 || asciiAlphanumeric(code)) &&\n size++ < 32\n ) {\n effects.consume(code)\n return schemeInsideOrEmailAtext\n }\n size = 0\n return emailAtext(code)\n }\n\n /**\n * After protocol, in URL.\n *\n * ```markdown\n * > | a<https://example.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function urlInside(code) {\n if (code === 62) {\n effects.exit('autolinkProtocol')\n effects.enter('autolinkMarker')\n effects.consume(code)\n effects.exit('autolinkMarker')\n effects.exit('autolink')\n return ok\n }\n\n // ASCII control, space, or `<`.\n if (code === null || code === 32 || code === 60 || asciiControl(code)) {\n return nok(code)\n }\n effects.consume(code)\n return urlInside\n }\n\n /**\n * In email atext.\n *\n * ```markdown\n * > | a<user.name@example.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function emailAtext(code) {\n if (code === 64) {\n effects.consume(code)\n return emailAtSignOrDot\n }\n if (asciiAtext(code)) {\n effects.consume(code)\n return emailAtext\n }\n return nok(code)\n }\n\n /**\n * In label, after at-sign or dot.\n *\n * ```markdown\n * > | a<user.name@example.com>b\n * ^ ^\n * ```\n *\n * @type {State}\n */\n function emailAtSignOrDot(code) {\n return asciiAlphanumeric(code) ? emailLabel(code) : nok(code)\n }\n\n /**\n * In label, where `.` and `>` are allowed.\n *\n * ```markdown\n * > | a<user.name@example.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function emailLabel(code) {\n if (code === 46) {\n effects.consume(code)\n size = 0\n return emailAtSignOrDot\n }\n if (code === 62) {\n // Exit, then change the token type.\n effects.exit('autolinkProtocol').type = 'autolinkEmail'\n effects.enter('autolinkMarker')\n effects.consume(code)\n effects.exit('autolinkMarker')\n effects.exit('autolink')\n return ok\n }\n return emailValue(code)\n }\n\n /**\n * In label, where `.` and `>` are *not* allowed.\n *\n * Though, this is also used in `emailLabel` to parse other values.\n *\n * ```markdown\n * > | a<user.name@ex-ample.com>b\n * ^\n * ```\n *\n * @type {State}\n */\n function emailValue(code) {\n // ASCII alphanumeric or `-`.\n if ((code === 45 || asciiAlphanumeric(code)) && size++ < 63) {\n const next = code === 45 ? emailValue : emailLabel\n effects.consume(code)\n return next\n }\n return nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {\n asciiAlpha,\n asciiAlphanumeric,\n markdownLineEnding,\n markdownLineEndingOrSpace,\n markdownSpace\n} from 'micromark-util-character'\n/** @type {Construct} */\nexport const htmlText = {\n name: 'htmlText',\n tokenize: tokenizeHtmlText\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeHtmlText(effects, ok, nok) {\n const self = this\n /** @type {NonNullable<Code> | undefined} */\n let marker\n /** @type {number} */\n let index\n /** @type {State} */\n let returnState\n return start\n\n /**\n * Start of HTML (text).\n *\n * ```markdown\n * > | a <b> c\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('htmlText')\n effects.enter('htmlTextData')\n effects.consume(code)\n return open\n }\n\n /**\n * After `<`, at tag name or other stuff.\n *\n * ```markdown\n * > | a <b> c\n * ^\n * > | a <!doctype> c\n * ^\n * > | a <!--b--> c\n * ^\n * ```\n *\n * @type {State}\n */\n function open(code) {\n if (code === 33) {\n effects.consume(code)\n return declarationOpen\n }\n if (code === 47) {\n effects.consume(code)\n return tagCloseStart\n }\n if (code === 63) {\n effects.consume(code)\n return instruction\n }\n\n // ASCII alphabetical.\n if (asciiAlpha(code)) {\n effects.consume(code)\n return tagOpen\n }\n return nok(code)\n }\n\n /**\n * After `<!`, at declaration, comment, or CDATA.\n *\n * ```markdown\n * > | a <!doctype> c\n * ^\n * > | a <!--b--> c\n * ^\n * > | a <![CDATA[>&<]]> c\n * ^\n * ```\n *\n * @type {State}\n */\n function declarationOpen(code) {\n if (code === 45) {\n effects.consume(code)\n return commentOpenInside\n }\n if (code === 91) {\n effects.consume(code)\n index = 0\n return cdataOpenInside\n }\n if (asciiAlpha(code)) {\n effects.consume(code)\n return declaration\n }\n return nok(code)\n }\n\n /**\n * In a comment, after `<!-`, at another `-`.\n *\n * ```markdown\n * > | a <!--b--> c\n * ^\n * ```\n *\n * @type {State}\n */\n function commentOpenInside(code) {\n if (code === 45) {\n effects.consume(code)\n return commentEnd\n }\n return nok(code)\n }\n\n /**\n * In comment.\n *\n * ```markdown\n * > | a <!--b--> c\n * ^\n * ```\n *\n * @type {State}\n */\n function comment(code) {\n if (code === null) {\n return nok(code)\n }\n if (code === 45) {\n effects.consume(code)\n return commentClose\n }\n if (markdownLineEnding(code)) {\n returnState = comment\n return lineEndingBefore(code)\n }\n effects.consume(code)\n return comment\n }\n\n /**\n * In comment, after `-`.\n *\n * ```markdown\n * > | a <!--b--> c\n * ^\n * ```\n *\n * @type {State}\n */\n function commentClose(code) {\n if (code === 45) {\n effects.consume(code)\n return commentEnd\n }\n return comment(code)\n }\n\n /**\n * In comment, after `--`.\n *\n * ```markdown\n * > | a <!--b--> c\n * ^\n * ```\n *\n * @type {State}\n */\n function commentEnd(code) {\n return code === 62\n ? end(code)\n : code === 45\n ? commentClose(code)\n : comment(code)\n }\n\n /**\n * After `<![`, in CDATA, expecting `CDATA[`.\n *\n * ```markdown\n * > | a <![CDATA[>&<]]> b\n * ^^^^^^\n * ```\n *\n * @type {State}\n */\n function cdataOpenInside(code) {\n const value = 'CDATA['\n if (code === value.charCodeAt(index++)) {\n effects.consume(code)\n return index === value.length ? cdata : cdataOpenInside\n }\n return nok(code)\n }\n\n /**\n * In CDATA.\n *\n * ```markdown\n * > | a <![CDATA[>&<]]> b\n * ^^^\n * ```\n *\n * @type {State}\n */\n function cdata(code) {\n if (code === null) {\n return nok(code)\n }\n if (code === 93) {\n effects.consume(code)\n return cdataClose\n }\n if (markdownLineEnding(code)) {\n returnState = cdata\n return lineEndingBefore(code)\n }\n effects.consume(code)\n return cdata\n }\n\n /**\n * In CDATA, after `]`, at another `]`.\n *\n * ```markdown\n * > | a <![CDATA[>&<]]> b\n * ^\n * ```\n *\n * @type {State}\n */\n function cdataClose(code) {\n if (code === 93) {\n effects.consume(code)\n return cdataEnd\n }\n return cdata(code)\n }\n\n /**\n * In CDATA, after `]]`, at `>`.\n *\n * ```markdown\n * > | a <![CDATA[>&<]]> b\n * ^\n * ```\n *\n * @type {State}\n */\n function cdataEnd(code) {\n if (code === 62) {\n return end(code)\n }\n if (code === 93) {\n effects.consume(code)\n return cdataEnd\n }\n return cdata(code)\n }\n\n /**\n * In declaration.\n *\n * ```markdown\n * > | a <!b> c\n * ^\n * ```\n *\n * @type {State}\n */\n function declaration(code) {\n if (code === null || code === 62) {\n return end(code)\n }\n if (markdownLineEnding(code)) {\n returnState = declaration\n return lineEndingBefore(code)\n }\n effects.consume(code)\n return declaration\n }\n\n /**\n * In instruction.\n *\n * ```markdown\n * > | a <?b?> c\n * ^\n * ```\n *\n * @type {State}\n */\n function instruction(code) {\n if (code === null) {\n return nok(code)\n }\n if (code === 63) {\n effects.consume(code)\n return instructionClose\n }\n if (markdownLineEnding(code)) {\n returnState = instruction\n return lineEndingBefore(code)\n }\n effects.consume(code)\n return instruction\n }\n\n /**\n * In instruction, after `?`, at `>`.\n *\n * ```markdown\n * > | a <?b?> c\n * ^\n * ```\n *\n * @type {State}\n */\n function instructionClose(code) {\n return code === 62 ? end(code) : instruction(code)\n }\n\n /**\n * After `</`, in closing tag, at tag name.\n *\n * ```markdown\n * > | a </b> c\n * ^\n * ```\n *\n * @type {State}\n */\n function tagCloseStart(code) {\n // ASCII alphabetical.\n if (asciiAlpha(code)) {\n effects.consume(code)\n return tagClose\n }\n return nok(code)\n }\n\n /**\n * After `</x`, in a tag name.\n *\n * ```markdown\n * > | a </b> c\n * ^\n * ```\n *\n * @type {State}\n */\n function tagClose(code) {\n // ASCII alphanumerical and `-`.\n if (code === 45 || asciiAlphanumeric(code)) {\n effects.consume(code)\n return tagClose\n }\n return tagCloseBetween(code)\n }\n\n /**\n * In closing tag, after tag name.\n *\n * ```markdown\n * > | a </b> c\n * ^\n * ```\n *\n * @type {State}\n */\n function tagCloseBetween(code) {\n if (markdownLineEnding(code)) {\n returnState = tagCloseBetween\n return lineEndingBefore(code)\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return tagCloseBetween\n }\n return end(code)\n }\n\n /**\n * After `<x`, in opening tag name.\n *\n * ```markdown\n * > | a <b> c\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpen(code) {\n // ASCII alphanumerical and `-`.\n if (code === 45 || asciiAlphanumeric(code)) {\n effects.consume(code)\n return tagOpen\n }\n if (code === 47 || code === 62 || markdownLineEndingOrSpace(code)) {\n return tagOpenBetween(code)\n }\n return nok(code)\n }\n\n /**\n * In opening tag, after tag name.\n *\n * ```markdown\n * > | a <b> c\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpenBetween(code) {\n if (code === 47) {\n effects.consume(code)\n return end\n }\n\n // ASCII alphabetical and `:` and `_`.\n if (code === 58 || code === 95 || asciiAlpha(code)) {\n effects.consume(code)\n return tagOpenAttributeName\n }\n if (markdownLineEnding(code)) {\n returnState = tagOpenBetween\n return lineEndingBefore(code)\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return tagOpenBetween\n }\n return end(code)\n }\n\n /**\n * In attribute name.\n *\n * ```markdown\n * > | a <b c> d\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpenAttributeName(code) {\n // ASCII alphabetical and `-`, `.`, `:`, and `_`.\n if (\n code === 45 ||\n code === 46 ||\n code === 58 ||\n code === 95 ||\n asciiAlphanumeric(code)\n ) {\n effects.consume(code)\n return tagOpenAttributeName\n }\n return tagOpenAttributeNameAfter(code)\n }\n\n /**\n * After attribute name, before initializer, the end of the tag, or\n * whitespace.\n *\n * ```markdown\n * > | a <b c> d\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpenAttributeNameAfter(code) {\n if (code === 61) {\n effects.consume(code)\n return tagOpenAttributeValueBefore\n }\n if (markdownLineEnding(code)) {\n returnState = tagOpenAttributeNameAfter\n return lineEndingBefore(code)\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return tagOpenAttributeNameAfter\n }\n return tagOpenBetween(code)\n }\n\n /**\n * Before unquoted, double quoted, or single quoted attribute value, allowing\n * whitespace.\n *\n * ```markdown\n * > | a <b c=d> e\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpenAttributeValueBefore(code) {\n if (\n code === null ||\n code === 60 ||\n code === 61 ||\n code === 62 ||\n code === 96\n ) {\n return nok(code)\n }\n if (code === 34 || code === 39) {\n effects.consume(code)\n marker = code\n return tagOpenAttributeValueQuoted\n }\n if (markdownLineEnding(code)) {\n returnState = tagOpenAttributeValueBefore\n return lineEndingBefore(code)\n }\n if (markdownSpace(code)) {\n effects.consume(code)\n return tagOpenAttributeValueBefore\n }\n effects.consume(code)\n return tagOpenAttributeValueUnquoted\n }\n\n /**\n * In double or single quoted attribute value.\n *\n * ```markdown\n * > | a <b c=\"d\"> e\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpenAttributeValueQuoted(code) {\n if (code === marker) {\n effects.consume(code)\n marker = undefined\n return tagOpenAttributeValueQuotedAfter\n }\n if (code === null) {\n return nok(code)\n }\n if (markdownLineEnding(code)) {\n returnState = tagOpenAttributeValueQuoted\n return lineEndingBefore(code)\n }\n effects.consume(code)\n return tagOpenAttributeValueQuoted\n }\n\n /**\n * In unquoted attribute value.\n *\n * ```markdown\n * > | a <b c=d> e\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpenAttributeValueUnquoted(code) {\n if (\n code === null ||\n code === 34 ||\n code === 39 ||\n code === 60 ||\n code === 61 ||\n code === 96\n ) {\n return nok(code)\n }\n if (code === 47 || code === 62 || markdownLineEndingOrSpace(code)) {\n return tagOpenBetween(code)\n }\n effects.consume(code)\n return tagOpenAttributeValueUnquoted\n }\n\n /**\n * After double or single quoted attribute value, before whitespace or the end\n * of the tag.\n *\n * ```markdown\n * > | a <b c=\"d\"> e\n * ^\n * ```\n *\n * @type {State}\n */\n function tagOpenAttributeValueQuotedAfter(code) {\n if (code === 47 || code === 62 || markdownLineEndingOrSpace(code)) {\n return tagOpenBetween(code)\n }\n return nok(code)\n }\n\n /**\n * In certain circumstances of a tag where only an `>` is allowed.\n *\n * ```markdown\n * > | a <b c=\"d\"> e\n * ^\n * ```\n *\n * @type {State}\n */\n function end(code) {\n if (code === 62) {\n effects.consume(code)\n effects.exit('htmlTextData')\n effects.exit('htmlText')\n return ok\n }\n return nok(code)\n }\n\n /**\n * At eol.\n *\n * > 👉 **Note**: we can’t have blank lines in text, so no need to worry about\n * > empty tokens.\n *\n * ```markdown\n * > | a <!--a\n * ^\n * | b-->\n * ```\n *\n * @type {State}\n */\n function lineEndingBefore(code) {\n effects.exit('htmlTextData')\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return lineEndingAfter\n }\n\n /**\n * After eol, at optional whitespace.\n *\n * > 👉 **Note**: we can’t have blank lines in text, so no need to worry about\n * > empty tokens.\n *\n * ```markdown\n * | a <!--a\n * > | b-->\n * ^\n * ```\n *\n * @type {State}\n */\n function lineEndingAfter(code) {\n // Always populated by defaults.\n\n return markdownSpace(code)\n ? factorySpace(\n effects,\n lineEndingAfterPrefix,\n 'linePrefix',\n self.parser.constructs.disable.null.includes('codeIndented')\n ? undefined\n : 4\n )(code)\n : lineEndingAfterPrefix(code)\n }\n\n /**\n * After eol, after optional whitespace.\n *\n * > 👉 **Note**: we can’t have blank lines in text, so no need to worry about\n * > empty tokens.\n *\n * ```markdown\n * | a <!--a\n * > | b-->\n * ^\n * ```\n *\n * @type {State}\n */\n function lineEndingAfterPrefix(code) {\n effects.enter('htmlTextData')\n return returnState(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {labelEnd} from './label-end.js'\n\n/** @type {Construct} */\nexport const labelStartLink = {\n name: 'labelStartLink',\n tokenize: tokenizeLabelStartLink,\n resolveAll: labelEnd.resolveAll\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeLabelStartLink(effects, ok, nok) {\n const self = this\n return start\n\n /**\n * Start of label (link) start.\n *\n * ```markdown\n * > | a [b] c\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('labelLink')\n effects.enter('labelMarker')\n effects.consume(code)\n effects.exit('labelMarker')\n effects.exit('labelLink')\n return after\n }\n\n /** @type {State} */\n function after(code) {\n // To do: this isn’t needed in `micromark-extension-gfm-footnote`,\n // remove.\n // Hidden footnotes hook.\n /* c8 ignore next 3 */\n return code === 94 && '_hiddenFootnoteSupport' in self.parser.constructs\n ? nok(code)\n : ok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {markdownLineEnding} from 'micromark-util-character'\n/** @type {Construct} */\nexport const hardBreakEscape = {\n name: 'hardBreakEscape',\n tokenize: tokenizeHardBreakEscape\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeHardBreakEscape(effects, ok, nok) {\n return start\n\n /**\n * Start of a hard break (escape).\n *\n * ```markdown\n * > | a\\\n * ^\n * | b\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('hardBreakEscape')\n effects.consume(code)\n return after\n }\n\n /**\n * After `\\`, at eol.\n *\n * ```markdown\n * > | a\\\n * ^\n * | b\n * ```\n *\n * @type {State}\n */\n function after(code) {\n if (markdownLineEnding(code)) {\n effects.exit('hardBreakEscape')\n return ok(code)\n }\n return nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Construct} Construct\n * @typedef {import('micromark-util-types').Previous} Previous\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {markdownLineEnding} from 'micromark-util-character'\n/** @type {Construct} */\nexport const codeText = {\n name: 'codeText',\n tokenize: tokenizeCodeText,\n resolve: resolveCodeText,\n previous\n}\n\n// To do: next major: don’t resolve, like `markdown-rs`.\n/** @type {Resolver} */\nfunction resolveCodeText(events) {\n let tailExitIndex = events.length - 4\n let headEnterIndex = 3\n /** @type {number} */\n let index\n /** @type {number | undefined} */\n let enter\n\n // If we start and end with an EOL or a space.\n if (\n (events[headEnterIndex][1].type === 'lineEnding' ||\n events[headEnterIndex][1].type === 'space') &&\n (events[tailExitIndex][1].type === 'lineEnding' ||\n events[tailExitIndex][1].type === 'space')\n ) {\n index = headEnterIndex\n\n // And we have data.\n while (++index < tailExitIndex) {\n if (events[index][1].type === 'codeTextData') {\n // Then we have padding.\n events[headEnterIndex][1].type = 'codeTextPadding'\n events[tailExitIndex][1].type = 'codeTextPadding'\n headEnterIndex += 2\n tailExitIndex -= 2\n break\n }\n }\n }\n\n // Merge adjacent spaces and data.\n index = headEnterIndex - 1\n tailExitIndex++\n while (++index <= tailExitIndex) {\n if (enter === undefined) {\n if (index !== tailExitIndex && events[index][1].type !== 'lineEnding') {\n enter = index\n }\n } else if (\n index === tailExitIndex ||\n events[index][1].type === 'lineEnding'\n ) {\n events[enter][1].type = 'codeTextData'\n if (index !== enter + 2) {\n events[enter][1].end = events[index - 1][1].end\n events.splice(enter + 2, index - enter - 2)\n tailExitIndex -= index - enter - 2\n index = enter + 2\n }\n enter = undefined\n }\n }\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Previous}\n */\nfunction previous(code) {\n // If there is a previous code, there will always be a tail.\n return (\n code !== 96 ||\n this.events[this.events.length - 1][1].type === 'characterEscape'\n )\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeCodeText(effects, ok, nok) {\n const self = this\n let sizeOpen = 0\n /** @type {number} */\n let size\n /** @type {Token} */\n let token\n return start\n\n /**\n * Start of code (text).\n *\n * ```markdown\n * > | `a`\n * ^\n * > | \\`a`\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('codeText')\n effects.enter('codeTextSequence')\n return sequenceOpen(code)\n }\n\n /**\n * In opening sequence.\n *\n * ```markdown\n * > | `a`\n * ^\n * ```\n *\n * @type {State}\n */\n function sequenceOpen(code) {\n if (code === 96) {\n effects.consume(code)\n sizeOpen++\n return sequenceOpen\n }\n effects.exit('codeTextSequence')\n return between(code)\n }\n\n /**\n * Between something and something else.\n *\n * ```markdown\n * > | `a`\n * ^^\n * ```\n *\n * @type {State}\n */\n function between(code) {\n // EOF.\n if (code === null) {\n return nok(code)\n }\n\n // To do: next major: don’t do spaces in resolve, but when compiling,\n // like `markdown-rs`.\n // Tabs don’t work, and virtual spaces don’t make sense.\n if (code === 32) {\n effects.enter('space')\n effects.consume(code)\n effects.exit('space')\n return between\n }\n\n // Closing fence? Could also be data.\n if (code === 96) {\n token = effects.enter('codeTextSequence')\n size = 0\n return sequenceClose(code)\n }\n if (markdownLineEnding(code)) {\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return between\n }\n\n // Data.\n effects.enter('codeTextData')\n return data(code)\n }\n\n /**\n * In data.\n *\n * ```markdown\n * > | `a`\n * ^\n * ```\n *\n * @type {State}\n */\n function data(code) {\n if (\n code === null ||\n code === 32 ||\n code === 96 ||\n markdownLineEnding(code)\n ) {\n effects.exit('codeTextData')\n return between(code)\n }\n effects.consume(code)\n return data\n }\n\n /**\n * In closing sequence.\n *\n * ```markdown\n * > | `a`\n * ^\n * ```\n *\n * @type {State}\n */\n function sequenceClose(code) {\n // More.\n if (code === 96) {\n effects.consume(code)\n size++\n return sequenceClose\n }\n\n // Done!\n if (size === sizeOpen) {\n effects.exit('codeTextSequence')\n effects.exit('codeText')\n return ok(code)\n }\n\n // More or less accents: mark as data.\n token.type = 'codeTextData'\n return data(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Extension} Extension\n */\n\nimport {\n attention,\n autolink,\n blockQuote,\n characterEscape,\n characterReference,\n codeFenced,\n codeIndented,\n codeText,\n definition,\n hardBreakEscape,\n headingAtx,\n htmlFlow,\n htmlText,\n labelEnd,\n labelStartImage,\n labelStartLink,\n lineEnding,\n list,\n setextUnderline,\n thematicBreak\n} from 'micromark-core-commonmark'\nimport {resolver as resolveText} from './initialize/text.js'\n\n/** @satisfies {Extension['document']} */\nexport const document = {\n [42]: list,\n [43]: list,\n [45]: list,\n [48]: list,\n [49]: list,\n [50]: list,\n [51]: list,\n [52]: list,\n [53]: list,\n [54]: list,\n [55]: list,\n [56]: list,\n [57]: list,\n [62]: blockQuote\n}\n\n/** @satisfies {Extension['contentInitial']} */\nexport const contentInitial = {\n [91]: definition\n}\n\n/** @satisfies {Extension['flowInitial']} */\nexport const flowInitial = {\n [-2]: codeIndented,\n [-1]: codeIndented,\n [32]: codeIndented\n}\n\n/** @satisfies {Extension['flow']} */\nexport const flow = {\n [35]: headingAtx,\n [42]: thematicBreak,\n [45]: [setextUnderline, thematicBreak],\n [60]: htmlFlow,\n [61]: setextUnderline,\n [95]: thematicBreak,\n [96]: codeFenced,\n [126]: codeFenced\n}\n\n/** @satisfies {Extension['string']} */\nexport const string = {\n [38]: characterReference,\n [92]: characterEscape\n}\n\n/** @satisfies {Extension['text']} */\nexport const text = {\n [-5]: lineEnding,\n [-4]: lineEnding,\n [-3]: lineEnding,\n [33]: labelStartImage,\n [38]: characterReference,\n [42]: attention,\n [60]: [autolink, htmlText],\n [91]: labelStartLink,\n [92]: [hardBreakEscape, characterEscape],\n [93]: labelEnd,\n [95]: attention,\n [96]: codeText\n}\n\n/** @satisfies {Extension['insideSpan']} */\nexport const insideSpan = {\n null: [attention, resolveText]\n}\n\n/** @satisfies {Extension['attentionMarkers']} */\nexport const attentionMarkers = {\n null: [42, 95]\n}\n\n/** @satisfies {Extension['disable']} */\nexport const disable = {\n null: []\n}\n","/**\n * @typedef {import('micromark-util-types').Chunk} Chunk\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').Encoding} Encoding\n * @typedef {import('micromark-util-types').Value} Value\n */\n\n/**\n * @callback Preprocessor\n * @param {Value} value\n * @param {Encoding | null | undefined} [encoding]\n * @param {boolean | null | undefined} [end=false]\n * @returns {Array<Chunk>}\n */\n\nconst search = /[\\0\\t\\n\\r]/g\n\n/**\n * @returns {Preprocessor}\n */\nexport function preprocess() {\n let column = 1\n let buffer = ''\n /** @type {boolean | undefined} */\n let start = true\n /** @type {boolean | undefined} */\n let atCarriageReturn\n return preprocessor\n\n /** @type {Preprocessor} */\n function preprocessor(value, encoding, end) {\n /** @type {Array<Chunk>} */\n const chunks = []\n /** @type {RegExpMatchArray | null} */\n let match\n /** @type {number} */\n let next\n /** @type {number} */\n let startPosition\n /** @type {number} */\n let endPosition\n /** @type {Code} */\n let code\n\n // @ts-expect-error `Buffer` does allow an encoding.\n value = buffer + value.toString(encoding)\n startPosition = 0\n buffer = ''\n if (start) {\n // To do: `markdown-rs` actually parses BOMs (byte order mark).\n if (value.charCodeAt(0) === 65279) {\n startPosition++\n }\n start = undefined\n }\n while (startPosition < value.length) {\n search.lastIndex = startPosition\n match = search.exec(value)\n endPosition =\n match && match.index !== undefined ? match.index : value.length\n code = value.charCodeAt(endPosition)\n if (!match) {\n buffer = value.slice(startPosition)\n break\n }\n if (code === 10 && startPosition === endPosition && atCarriageReturn) {\n chunks.push(-3)\n atCarriageReturn = undefined\n } else {\n if (atCarriageReturn) {\n chunks.push(-5)\n atCarriageReturn = undefined\n }\n if (startPosition < endPosition) {\n chunks.push(value.slice(startPosition, endPosition))\n column += endPosition - startPosition\n }\n switch (code) {\n case 0: {\n chunks.push(65533)\n column++\n break\n }\n case 9: {\n next = Math.ceil(column / 4) * 4\n chunks.push(-2)\n while (column++ < next) chunks.push(-1)\n break\n }\n case 10: {\n chunks.push(-4)\n column = 1\n break\n }\n default: {\n atCarriageReturn = true\n column = 1\n }\n }\n }\n startPosition = endPosition + 1\n }\n if (end) {\n if (atCarriageReturn) chunks.push(-5)\n if (buffer) chunks.push(buffer)\n chunks.push(null)\n }\n return chunks\n }\n}\n","/**\n * Turn the number (in string form as either hexa- or plain decimal) coming from\n * a numeric character reference into a character.\n *\n * Sort of like `String.fromCharCode(Number.parseInt(value, base))`, but makes\n * non-characters and control characters safe.\n *\n * @param {string} value\n * Value to decode.\n * @param {number} base\n * Numeric base.\n * @returns {string}\n * Character.\n */\nexport function decodeNumericCharacterReference(value, base) {\n const code = Number.parseInt(value, base)\n if (\n // C0 except for HT, LF, FF, CR, space.\n code < 9 ||\n code === 11 ||\n (code > 13 && code < 32) ||\n // Control character (DEL) of C0, and C1 controls.\n (code > 126 && code < 160) ||\n // Lone high surrogates and low surrogates.\n (code > 55295 && code < 57344) ||\n // Noncharacters.\n (code > 64975 && code < 65008) /* eslint-disable no-bitwise */ ||\n (code & 65535) === 65535 ||\n (code & 65535) === 65534 /* eslint-enable no-bitwise */ ||\n // Out of range\n code > 1114111\n ) {\n return '\\uFFFD'\n }\n return String.fromCharCode(code)\n}\n","import {decodeNamedCharacterReference} from 'decode-named-character-reference'\nimport {decodeNumericCharacterReference} from 'micromark-util-decode-numeric-character-reference'\nconst characterEscapeOrReference =\n /\\\\([!-/:-@[-`{-~])|&(#(?:\\d{1,7}|x[\\da-f]{1,6})|[\\da-z]{1,31});/gi\n\n/**\n * Decode markdown strings (which occur in places such as fenced code info\n * strings, destinations, labels, and titles).\n *\n * The “string” content type allows character escapes and -references.\n * This decodes those.\n *\n * @param {string} value\n * Value to decode.\n * @returns {string}\n * Decoded value.\n */\nexport function decodeString(value) {\n return value.replace(characterEscapeOrReference, decode)\n}\n\n/**\n * @param {string} $0\n * @param {string} $1\n * @param {string} $2\n * @returns {string}\n */\nfunction decode($0, $1, $2) {\n if ($1) {\n // Escape.\n return $1\n }\n\n // Reference.\n const head = $2.charCodeAt(0)\n if (head === 35) {\n const head = $2.charCodeAt(1)\n const hex = head === 120 || head === 88\n return decodeNumericCharacterReference($2.slice(hex ? 2 : 1), hex ? 16 : 10)\n }\n return decodeNamedCharacterReference($2) || $0\n}\n","/**\n * @typedef {import('micromark-util-types').Encoding} Encoding\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').ParseOptions} ParseOptions\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Value} Value\n *\n * @typedef {import('unist').Parent} UnistParent\n * @typedef {import('unist').Point} Point\n *\n * @typedef {import('mdast').PhrasingContent} PhrasingContent\n * @typedef {import('mdast').StaticPhrasingContent} StaticPhrasingContent\n * @typedef {import('mdast').Content} Content\n * @typedef {import('mdast').Break} Break\n * @typedef {import('mdast').Blockquote} Blockquote\n * @typedef {import('mdast').Code} Code\n * @typedef {import('mdast').Definition} Definition\n * @typedef {import('mdast').Emphasis} Emphasis\n * @typedef {import('mdast').Heading} Heading\n * @typedef {import('mdast').HTML} HTML\n * @typedef {import('mdast').Image} Image\n * @typedef {import('mdast').ImageReference} ImageReference\n * @typedef {import('mdast').InlineCode} InlineCode\n * @typedef {import('mdast').Link} Link\n * @typedef {import('mdast').LinkReference} LinkReference\n * @typedef {import('mdast').List} List\n * @typedef {import('mdast').ListItem} ListItem\n * @typedef {import('mdast').Paragraph} Paragraph\n * @typedef {import('mdast').Root} Root\n * @typedef {import('mdast').Strong} Strong\n * @typedef {import('mdast').Text} Text\n * @typedef {import('mdast').ThematicBreak} ThematicBreak\n * @typedef {import('mdast').ReferenceType} ReferenceType\n * @typedef {import('../index.js').CompileData} CompileData\n */\n\n/**\n * @typedef {Root | Content} Node\n * @typedef {Extract<Node, UnistParent>} Parent\n *\n * @typedef {Omit<UnistParent, 'type' | 'children'> & {type: 'fragment', children: Array<PhrasingContent>}} Fragment\n */\n\n/**\n * @callback Transform\n * Extra transform, to change the AST afterwards.\n * @param {Root} tree\n * Tree to transform.\n * @returns {Root | undefined | null | void}\n * New tree or nothing (in which case the current tree is used).\n *\n * @callback Handle\n * Handle a token.\n * @param {CompileContext} this\n * Context.\n * @param {Token} token\n * Current token.\n * @returns {void}\n * Nothing.\n *\n * @typedef {Record<string, Handle>} Handles\n * Token types mapping to handles\n *\n * @callback OnEnterError\n * Handle the case where the `right` token is open, but it is closed (by the\n * `left` token) or because we reached the end of the document.\n * @param {Omit<CompileContext, 'sliceSerialize'>} this\n * Context.\n * @param {Token | undefined} left\n * Left token.\n * @param {Token} right\n * Right token.\n * @returns {void}\n * Nothing.\n *\n * @callback OnExitError\n * Handle the case where the `right` token is open but it is closed by\n * exiting the `left` token.\n * @param {Omit<CompileContext, 'sliceSerialize'>} this\n * Context.\n * @param {Token} left\n * Left token.\n * @param {Token} right\n * Right token.\n * @returns {void}\n * Nothing.\n *\n * @typedef {[Token, OnEnterError | undefined]} TokenTuple\n * Open token on the stack, with an optional error handler for when\n * that token isn’t closed properly.\n */\n\n/**\n * @typedef Config\n * Configuration.\n *\n * We have our defaults, but extensions will add more.\n * @property {Array<string>} canContainEols\n * Token types where line endings are used.\n * @property {Handles} enter\n * Opening handles.\n * @property {Handles} exit\n * Closing handles.\n * @property {Array<Transform>} transforms\n * Tree transforms.\n *\n * @typedef {Partial<Config>} Extension\n * Change how markdown tokens from micromark are turned into mdast.\n *\n * @typedef CompileContext\n * mdast compiler context.\n * @property {Array<Node | Fragment>} stack\n * Stack of nodes.\n * @property {Array<TokenTuple>} tokenStack\n * Stack of tokens.\n * @property {<Key extends keyof CompileData>(key: Key) => CompileData[Key]} getData\n * Get data from the key/value store.\n * @property {<Key extends keyof CompileData>(key: Key, value?: CompileData[Key]) => void} setData\n * Set data into the key/value store.\n * @property {(this: CompileContext) => void} buffer\n * Capture some of the output data.\n * @property {(this: CompileContext) => string} resume\n * Stop capturing and access the output data.\n * @property {<Kind extends Node>(this: CompileContext, node: Kind, token: Token, onError?: OnEnterError) => Kind} enter\n * Enter a token.\n * @property {(this: CompileContext, token: Token, onError?: OnExitError) => Node} exit\n * Exit a token.\n * @property {TokenizeContext['sliceSerialize']} sliceSerialize\n * Get the string value of a token.\n * @property {Config} config\n * Configuration.\n *\n * @typedef FromMarkdownOptions\n * Configuration for how to build mdast.\n * @property {Array<Extension | Array<Extension>> | null | undefined} [mdastExtensions]\n * Extensions for this utility to change how tokens are turned into a tree.\n *\n * @typedef {ParseOptions & FromMarkdownOptions} Options\n * Configuration.\n */\n\n// To do: micromark: create a registry of tokens?\n// To do: next major: don’t return given `Node` from `enter`.\n// To do: next major: remove setter/getter.\n\nimport {toString} from 'mdast-util-to-string'\nimport {parse} from 'micromark/lib/parse.js'\nimport {preprocess} from 'micromark/lib/preprocess.js'\nimport {postprocess} from 'micromark/lib/postprocess.js'\nimport {decodeNumericCharacterReference} from 'micromark-util-decode-numeric-character-reference'\nimport {decodeString} from 'micromark-util-decode-string'\nimport {normalizeIdentifier} from 'micromark-util-normalize-identifier'\nimport {decodeNamedCharacterReference} from 'decode-named-character-reference'\nimport {stringifyPosition} from 'unist-util-stringify-position'\nconst own = {}.hasOwnProperty\n\n/**\n * @param value\n * Markdown to parse.\n * @param encoding\n * Character encoding for when `value` is `Buffer`.\n * @param options\n * Configuration.\n * @returns\n * mdast tree.\n */\nexport const fromMarkdown =\n /**\n * @type {(\n * ((value: Value, encoding: Encoding, options?: Options | null | undefined) => Root) &\n * ((value: Value, options?: Options | null | undefined) => Root)\n * )}\n */\n\n /**\n * @param {Value} value\n * @param {Encoding | Options | null | undefined} [encoding]\n * @param {Options | null | undefined} [options]\n * @returns {Root}\n */\n function (value, encoding, options) {\n if (typeof encoding !== 'string') {\n options = encoding\n encoding = undefined\n }\n return compiler(options)(\n postprocess(\n parse(options).document().write(preprocess()(value, encoding, true))\n )\n )\n }\n\n/**\n * Note this compiler only understand complete buffering, not streaming.\n *\n * @param {Options | null | undefined} [options]\n */\nfunction compiler(options) {\n /** @type {Config} */\n const config = {\n transforms: [],\n canContainEols: ['emphasis', 'fragment', 'heading', 'paragraph', 'strong'],\n enter: {\n autolink: opener(link),\n autolinkProtocol: onenterdata,\n autolinkEmail: onenterdata,\n atxHeading: opener(heading),\n blockQuote: opener(blockQuote),\n characterEscape: onenterdata,\n characterReference: onenterdata,\n codeFenced: opener(codeFlow),\n codeFencedFenceInfo: buffer,\n codeFencedFenceMeta: buffer,\n codeIndented: opener(codeFlow, buffer),\n codeText: opener(codeText, buffer),\n codeTextData: onenterdata,\n data: onenterdata,\n codeFlowValue: onenterdata,\n definition: opener(definition),\n definitionDestinationString: buffer,\n definitionLabelString: buffer,\n definitionTitleString: buffer,\n emphasis: opener(emphasis),\n hardBreakEscape: opener(hardBreak),\n hardBreakTrailing: opener(hardBreak),\n htmlFlow: opener(html, buffer),\n htmlFlowData: onenterdata,\n htmlText: opener(html, buffer),\n htmlTextData: onenterdata,\n image: opener(image),\n label: buffer,\n link: opener(link),\n listItem: opener(listItem),\n listItemValue: onenterlistitemvalue,\n listOrdered: opener(list, onenterlistordered),\n listUnordered: opener(list),\n paragraph: opener(paragraph),\n reference: onenterreference,\n referenceString: buffer,\n resourceDestinationString: buffer,\n resourceTitleString: buffer,\n setextHeading: opener(heading),\n strong: opener(strong),\n thematicBreak: opener(thematicBreak)\n },\n exit: {\n atxHeading: closer(),\n atxHeadingSequence: onexitatxheadingsequence,\n autolink: closer(),\n autolinkEmail: onexitautolinkemail,\n autolinkProtocol: onexitautolinkprotocol,\n blockQuote: closer(),\n characterEscapeValue: onexitdata,\n characterReferenceMarkerHexadecimal: onexitcharacterreferencemarker,\n characterReferenceMarkerNumeric: onexitcharacterreferencemarker,\n characterReferenceValue: onexitcharacterreferencevalue,\n codeFenced: closer(onexitcodefenced),\n codeFencedFence: onexitcodefencedfence,\n codeFencedFenceInfo: onexitcodefencedfenceinfo,\n codeFencedFenceMeta: onexitcodefencedfencemeta,\n codeFlowValue: onexitdata,\n codeIndented: closer(onexitcodeindented),\n codeText: closer(onexitcodetext),\n codeTextData: onexitdata,\n data: onexitdata,\n definition: closer(),\n definitionDestinationString: onexitdefinitiondestinationstring,\n definitionLabelString: onexitdefinitionlabelstring,\n definitionTitleString: onexitdefinitiontitlestring,\n emphasis: closer(),\n hardBreakEscape: closer(onexithardbreak),\n hardBreakTrailing: closer(onexithardbreak),\n htmlFlow: closer(onexithtmlflow),\n htmlFlowData: onexitdata,\n htmlText: closer(onexithtmltext),\n htmlTextData: onexitdata,\n image: closer(onexitimage),\n label: onexitlabel,\n labelText: onexitlabeltext,\n lineEnding: onexitlineending,\n link: closer(onexitlink),\n listItem: closer(),\n listOrdered: closer(),\n listUnordered: closer(),\n paragraph: closer(),\n referenceString: onexitreferencestring,\n resourceDestinationString: onexitresourcedestinationstring,\n resourceTitleString: onexitresourcetitlestring,\n resource: onexitresource,\n setextHeading: closer(onexitsetextheading),\n setextHeadingLineSequence: onexitsetextheadinglinesequence,\n setextHeadingText: onexitsetextheadingtext,\n strong: closer(),\n thematicBreak: closer()\n }\n }\n configure(config, (options || {}).mdastExtensions || [])\n\n /** @type {CompileData} */\n const data = {}\n return compile\n\n /**\n * Turn micromark events into an mdast tree.\n *\n * @param {Array<Event>} events\n * Events.\n * @returns {Root}\n * mdast tree.\n */\n function compile(events) {\n /** @type {Root} */\n let tree = {\n type: 'root',\n children: []\n }\n /** @type {Omit<CompileContext, 'sliceSerialize'>} */\n const context = {\n stack: [tree],\n tokenStack: [],\n config,\n enter,\n exit,\n buffer,\n resume,\n setData,\n getData\n }\n /** @type {Array<number>} */\n const listStack = []\n let index = -1\n while (++index < events.length) {\n // We preprocess lists to add `listItem` tokens, and to infer whether\n // items the list itself are spread out.\n if (\n events[index][1].type === 'listOrdered' ||\n events[index][1].type === 'listUnordered'\n ) {\n if (events[index][0] === 'enter') {\n listStack.push(index)\n } else {\n const tail = listStack.pop()\n index = prepareList(events, tail, index)\n }\n }\n }\n index = -1\n while (++index < events.length) {\n const handler = config[events[index][0]]\n if (own.call(handler, events[index][1].type)) {\n handler[events[index][1].type].call(\n Object.assign(\n {\n sliceSerialize: events[index][2].sliceSerialize\n },\n context\n ),\n events[index][1]\n )\n }\n }\n\n // Handle tokens still being open.\n if (context.tokenStack.length > 0) {\n const tail = context.tokenStack[context.tokenStack.length - 1]\n const handler = tail[1] || defaultOnError\n handler.call(context, undefined, tail[0])\n }\n\n // Figure out `root` position.\n tree.position = {\n start: point(\n events.length > 0\n ? events[0][1].start\n : {\n line: 1,\n column: 1,\n offset: 0\n }\n ),\n end: point(\n events.length > 0\n ? events[events.length - 2][1].end\n : {\n line: 1,\n column: 1,\n offset: 0\n }\n )\n }\n\n // Call transforms.\n index = -1\n while (++index < config.transforms.length) {\n tree = config.transforms[index](tree) || tree\n }\n return tree\n }\n\n /**\n * @param {Array<Event>} events\n * @param {number} start\n * @param {number} length\n * @returns {number}\n */\n function prepareList(events, start, length) {\n let index = start - 1\n let containerBalance = -1\n let listSpread = false\n /** @type {Token | undefined} */\n let listItem\n /** @type {number | undefined} */\n let lineIndex\n /** @type {number | undefined} */\n let firstBlankLineIndex\n /** @type {boolean | undefined} */\n let atMarker\n while (++index <= length) {\n const event = events[index]\n if (\n event[1].type === 'listUnordered' ||\n event[1].type === 'listOrdered' ||\n event[1].type === 'blockQuote'\n ) {\n if (event[0] === 'enter') {\n containerBalance++\n } else {\n containerBalance--\n }\n atMarker = undefined\n } else if (event[1].type === 'lineEndingBlank') {\n if (event[0] === 'enter') {\n if (\n listItem &&\n !atMarker &&\n !containerBalance &&\n !firstBlankLineIndex\n ) {\n firstBlankLineIndex = index\n }\n atMarker = undefined\n }\n } else if (\n event[1].type === 'linePrefix' ||\n event[1].type === 'listItemValue' ||\n event[1].type === 'listItemMarker' ||\n event[1].type === 'listItemPrefix' ||\n event[1].type === 'listItemPrefixWhitespace'\n ) {\n // Empty.\n } else {\n atMarker = undefined\n }\n if (\n (!containerBalance &&\n event[0] === 'enter' &&\n event[1].type === 'listItemPrefix') ||\n (containerBalance === -1 &&\n event[0] === 'exit' &&\n (event[1].type === 'listUnordered' ||\n event[1].type === 'listOrdered'))\n ) {\n if (listItem) {\n let tailIndex = index\n lineIndex = undefined\n while (tailIndex--) {\n const tailEvent = events[tailIndex]\n if (\n tailEvent[1].type === 'lineEnding' ||\n tailEvent[1].type === 'lineEndingBlank'\n ) {\n if (tailEvent[0] === 'exit') continue\n if (lineIndex) {\n events[lineIndex][1].type = 'lineEndingBlank'\n listSpread = true\n }\n tailEvent[1].type = 'lineEnding'\n lineIndex = tailIndex\n } else if (\n tailEvent[1].type === 'linePrefix' ||\n tailEvent[1].type === 'blockQuotePrefix' ||\n tailEvent[1].type === 'blockQuotePrefixWhitespace' ||\n tailEvent[1].type === 'blockQuoteMarker' ||\n tailEvent[1].type === 'listItemIndent'\n ) {\n // Empty\n } else {\n break\n }\n }\n if (\n firstBlankLineIndex &&\n (!lineIndex || firstBlankLineIndex < lineIndex)\n ) {\n listItem._spread = true\n }\n\n // Fix position.\n listItem.end = Object.assign(\n {},\n lineIndex ? events[lineIndex][1].start : event[1].end\n )\n events.splice(lineIndex || index, 0, ['exit', listItem, event[2]])\n index++\n length++\n }\n\n // Create a new list item.\n if (event[1].type === 'listItemPrefix') {\n listItem = {\n type: 'listItem',\n _spread: false,\n start: Object.assign({}, event[1].start),\n // @ts-expect-error: we’ll add `end` in a second.\n end: undefined\n }\n // @ts-expect-error: `listItem` is most definitely defined, TS...\n events.splice(index, 0, ['enter', listItem, event[2]])\n index++\n length++\n firstBlankLineIndex = undefined\n atMarker = true\n }\n }\n }\n events[start][1]._spread = listSpread\n return length\n }\n\n /**\n * Set data.\n *\n * @template {keyof CompileData} Key\n * Field type.\n * @param {Key} key\n * Key of field.\n * @param {CompileData[Key]} [value]\n * New value.\n * @returns {void}\n * Nothing.\n */\n function setData(key, value) {\n data[key] = value\n }\n\n /**\n * Get data.\n *\n * @template {keyof CompileData} Key\n * Field type.\n * @param {Key} key\n * Key of field.\n * @returns {CompileData[Key]}\n * Value.\n */\n function getData(key) {\n return data[key]\n }\n\n /**\n * Create an opener handle.\n *\n * @param {(token: Token) => Node} create\n * Create a node.\n * @param {Handle} [and]\n * Optional function to also run.\n * @returns {Handle}\n * Handle.\n */\n function opener(create, and) {\n return open\n\n /**\n * @this {CompileContext}\n * @param {Token} token\n * @returns {void}\n */\n function open(token) {\n enter.call(this, create(token), token)\n if (and) and.call(this, token)\n }\n }\n\n /**\n * @this {CompileContext}\n * @returns {void}\n */\n function buffer() {\n this.stack.push({\n type: 'fragment',\n children: []\n })\n }\n\n /**\n * @template {Node} Kind\n * Node type.\n * @this {CompileContext}\n * Context.\n * @param {Kind} node\n * Node to enter.\n * @param {Token} token\n * Corresponding token.\n * @param {OnEnterError | undefined} [errorHandler]\n * Handle the case where this token is open, but it is closed by something else.\n * @returns {Kind}\n * The given node.\n */\n function enter(node, token, errorHandler) {\n const parent = this.stack[this.stack.length - 1]\n // @ts-expect-error: Assume `Node` can exist as a child of `parent`.\n parent.children.push(node)\n this.stack.push(node)\n this.tokenStack.push([token, errorHandler])\n // @ts-expect-error: `end` will be patched later.\n node.position = {\n start: point(token.start)\n }\n return node\n }\n\n /**\n * Create a closer handle.\n *\n * @param {Handle} [and]\n * Optional function to also run.\n * @returns {Handle}\n * Handle.\n */\n function closer(and) {\n return close\n\n /**\n * @this {CompileContext}\n * @param {Token} token\n * @returns {void}\n */\n function close(token) {\n if (and) and.call(this, token)\n exit.call(this, token)\n }\n }\n\n /**\n * @this {CompileContext}\n * Context.\n * @param {Token} token\n * Corresponding token.\n * @param {OnExitError | undefined} [onExitError]\n * Handle the case where another token is open.\n * @returns {Node}\n * The closed node.\n */\n function exit(token, onExitError) {\n const node = this.stack.pop()\n const open = this.tokenStack.pop()\n if (!open) {\n throw new Error(\n 'Cannot close `' +\n token.type +\n '` (' +\n stringifyPosition({\n start: token.start,\n end: token.end\n }) +\n '): it’s not open'\n )\n } else if (open[0].type !== token.type) {\n if (onExitError) {\n onExitError.call(this, token, open[0])\n } else {\n const handler = open[1] || defaultOnError\n handler.call(this, token, open[0])\n }\n }\n node.position.end = point(token.end)\n return node\n }\n\n /**\n * @this {CompileContext}\n * @returns {string}\n */\n function resume() {\n return toString(this.stack.pop())\n }\n\n //\n // Handlers.\n //\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onenterlistordered() {\n setData('expectingFirstListItemValue', true)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onenterlistitemvalue(token) {\n if (getData('expectingFirstListItemValue')) {\n const ancestor = this.stack[this.stack.length - 2]\n ancestor.start = Number.parseInt(this.sliceSerialize(token), 10)\n setData('expectingFirstListItemValue')\n }\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitcodefencedfenceinfo() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.lang = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitcodefencedfencemeta() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.meta = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitcodefencedfence() {\n // Exit if this is the closing fence.\n if (getData('flowCodeInside')) return\n this.buffer()\n setData('flowCodeInside', true)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitcodefenced() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.value = data.replace(/^(\\r?\\n|\\r)|(\\r?\\n|\\r)$/g, '')\n setData('flowCodeInside')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitcodeindented() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.value = data.replace(/(\\r?\\n|\\r)$/g, '')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitdefinitionlabelstring(token) {\n const label = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.label = label\n node.identifier = normalizeIdentifier(\n this.sliceSerialize(token)\n ).toLowerCase()\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitdefinitiontitlestring() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.title = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitdefinitiondestinationstring() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.url = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitatxheadingsequence(token) {\n const node = this.stack[this.stack.length - 1]\n if (!node.depth) {\n const depth = this.sliceSerialize(token).length\n node.depth = depth\n }\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitsetextheadingtext() {\n setData('setextHeadingSlurpLineEnding', true)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitsetextheadinglinesequence(token) {\n const node = this.stack[this.stack.length - 1]\n node.depth = this.sliceSerialize(token).charCodeAt(0) === 61 ? 1 : 2\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitsetextheading() {\n setData('setextHeadingSlurpLineEnding')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onenterdata(token) {\n const node = this.stack[this.stack.length - 1]\n let tail = node.children[node.children.length - 1]\n if (!tail || tail.type !== 'text') {\n // Add a new text node.\n tail = text()\n // @ts-expect-error: we’ll add `end` later.\n tail.position = {\n start: point(token.start)\n }\n // @ts-expect-error: Assume `parent` accepts `text`.\n node.children.push(tail)\n }\n this.stack.push(tail)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitdata(token) {\n const tail = this.stack.pop()\n tail.value += this.sliceSerialize(token)\n tail.position.end = point(token.end)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitlineending(token) {\n const context = this.stack[this.stack.length - 1]\n // If we’re at a hard break, include the line ending in there.\n if (getData('atHardBreak')) {\n const tail = context.children[context.children.length - 1]\n tail.position.end = point(token.end)\n setData('atHardBreak')\n return\n }\n if (\n !getData('setextHeadingSlurpLineEnding') &&\n config.canContainEols.includes(context.type)\n ) {\n onenterdata.call(this, token)\n onexitdata.call(this, token)\n }\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexithardbreak() {\n setData('atHardBreak', true)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexithtmlflow() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.value = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexithtmltext() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.value = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitcodetext() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.value = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitlink() {\n const node = this.stack[this.stack.length - 1]\n // Note: there are also `identifier` and `label` fields on this link node!\n // These are used / cleaned here.\n // To do: clean.\n if (getData('inReference')) {\n /** @type {ReferenceType} */\n const referenceType = getData('referenceType') || 'shortcut'\n node.type += 'Reference'\n // @ts-expect-error: mutate.\n node.referenceType = referenceType\n // @ts-expect-error: mutate.\n delete node.url\n delete node.title\n } else {\n // @ts-expect-error: mutate.\n delete node.identifier\n // @ts-expect-error: mutate.\n delete node.label\n }\n setData('referenceType')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitimage() {\n const node = this.stack[this.stack.length - 1]\n // Note: there are also `identifier` and `label` fields on this link node!\n // These are used / cleaned here.\n // To do: clean.\n if (getData('inReference')) {\n /** @type {ReferenceType} */\n const referenceType = getData('referenceType') || 'shortcut'\n node.type += 'Reference'\n // @ts-expect-error: mutate.\n node.referenceType = referenceType\n // @ts-expect-error: mutate.\n delete node.url\n delete node.title\n } else {\n // @ts-expect-error: mutate.\n delete node.identifier\n // @ts-expect-error: mutate.\n delete node.label\n }\n setData('referenceType')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitlabeltext(token) {\n const string = this.sliceSerialize(token)\n const ancestor = this.stack[this.stack.length - 2]\n // @ts-expect-error: stash this on the node, as it might become a reference\n // later.\n ancestor.label = decodeString(string)\n // @ts-expect-error: same as above.\n ancestor.identifier = normalizeIdentifier(string).toLowerCase()\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitlabel() {\n const fragment = this.stack[this.stack.length - 1]\n const value = this.resume()\n const node = this.stack[this.stack.length - 1]\n // Assume a reference.\n setData('inReference', true)\n if (node.type === 'link') {\n /** @type {Array<StaticPhrasingContent>} */\n // @ts-expect-error: Assume static phrasing content.\n const children = fragment.children\n node.children = children\n } else {\n node.alt = value\n }\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitresourcedestinationstring() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.url = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitresourcetitlestring() {\n const data = this.resume()\n const node = this.stack[this.stack.length - 1]\n node.title = data\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitresource() {\n setData('inReference')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onenterreference() {\n setData('referenceType', 'collapsed')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitreferencestring(token) {\n const label = this.resume()\n const node = this.stack[this.stack.length - 1]\n // @ts-expect-error: stash this on the node, as it might become a reference\n // later.\n node.label = label\n // @ts-expect-error: same as above.\n node.identifier = normalizeIdentifier(\n this.sliceSerialize(token)\n ).toLowerCase()\n setData('referenceType', 'full')\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n\n function onexitcharacterreferencemarker(token) {\n setData('characterReferenceType', token.type)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitcharacterreferencevalue(token) {\n const data = this.sliceSerialize(token)\n const type = getData('characterReferenceType')\n /** @type {string} */\n let value\n if (type) {\n value = decodeNumericCharacterReference(\n data,\n type === 'characterReferenceMarkerNumeric' ? 10 : 16\n )\n setData('characterReferenceType')\n } else {\n const result = decodeNamedCharacterReference(data)\n value = result\n }\n const tail = this.stack.pop()\n tail.value += value\n tail.position.end = point(token.end)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitautolinkprotocol(token) {\n onexitdata.call(this, token)\n const node = this.stack[this.stack.length - 1]\n node.url = this.sliceSerialize(token)\n }\n\n /**\n * @this {CompileContext}\n * @type {Handle}\n */\n function onexitautolinkemail(token) {\n onexitdata.call(this, token)\n const node = this.stack[this.stack.length - 1]\n node.url = 'mailto:' + this.sliceSerialize(token)\n }\n\n //\n // Creaters.\n //\n\n /** @returns {Blockquote} */\n function blockQuote() {\n return {\n type: 'blockquote',\n children: []\n }\n }\n\n /** @returns {Code} */\n function codeFlow() {\n return {\n type: 'code',\n lang: null,\n meta: null,\n value: ''\n }\n }\n\n /** @returns {InlineCode} */\n function codeText() {\n return {\n type: 'inlineCode',\n value: ''\n }\n }\n\n /** @returns {Definition} */\n function definition() {\n return {\n type: 'definition',\n identifier: '',\n label: null,\n title: null,\n url: ''\n }\n }\n\n /** @returns {Emphasis} */\n function emphasis() {\n return {\n type: 'emphasis',\n children: []\n }\n }\n\n /** @returns {Heading} */\n function heading() {\n // @ts-expect-error `depth` will be set later.\n return {\n type: 'heading',\n depth: undefined,\n children: []\n }\n }\n\n /** @returns {Break} */\n function hardBreak() {\n return {\n type: 'break'\n }\n }\n\n /** @returns {HTML} */\n function html() {\n return {\n type: 'html',\n value: ''\n }\n }\n\n /** @returns {Image} */\n function image() {\n return {\n type: 'image',\n title: null,\n url: '',\n alt: null\n }\n }\n\n /** @returns {Link} */\n function link() {\n return {\n type: 'link',\n title: null,\n url: '',\n children: []\n }\n }\n\n /**\n * @param {Token} token\n * @returns {List}\n */\n function list(token) {\n return {\n type: 'list',\n ordered: token.type === 'listOrdered',\n start: null,\n spread: token._spread,\n children: []\n }\n }\n\n /**\n * @param {Token} token\n * @returns {ListItem}\n */\n function listItem(token) {\n return {\n type: 'listItem',\n spread: token._spread,\n checked: null,\n children: []\n }\n }\n\n /** @returns {Paragraph} */\n function paragraph() {\n return {\n type: 'paragraph',\n children: []\n }\n }\n\n /** @returns {Strong} */\n function strong() {\n return {\n type: 'strong',\n children: []\n }\n }\n\n /** @returns {Text} */\n function text() {\n return {\n type: 'text',\n value: ''\n }\n }\n\n /** @returns {ThematicBreak} */\n function thematicBreak() {\n return {\n type: 'thematicBreak'\n }\n }\n}\n\n/**\n * Copy a point-like value.\n *\n * @param {Point} d\n * Point-like value.\n * @returns {Point}\n * unist point.\n */\nfunction point(d) {\n return {\n line: d.line,\n column: d.column,\n offset: d.offset\n }\n}\n\n/**\n * @param {Config} combined\n * @param {Array<Extension | Array<Extension>>} extensions\n * @returns {void}\n */\nfunction configure(combined, extensions) {\n let index = -1\n while (++index < extensions.length) {\n const value = extensions[index]\n if (Array.isArray(value)) {\n configure(combined, value)\n } else {\n extension(combined, value)\n }\n }\n}\n\n/**\n * @param {Config} combined\n * @param {Extension} extension\n * @returns {void}\n */\nfunction extension(combined, extension) {\n /** @type {keyof Extension} */\n let key\n for (key in extension) {\n if (own.call(extension, key)) {\n if (key === 'canContainEols') {\n const right = extension[key]\n if (right) {\n combined[key].push(...right)\n }\n } else if (key === 'transforms') {\n const right = extension[key]\n if (right) {\n combined[key].push(...right)\n }\n } else if (key === 'enter' || key === 'exit') {\n const right = extension[key]\n if (right) {\n Object.assign(combined[key], right)\n }\n }\n }\n }\n}\n\n/** @type {OnEnterError} */\nfunction defaultOnError(left, right) {\n if (left) {\n throw new Error(\n 'Cannot close `' +\n left.type +\n '` (' +\n stringifyPosition({\n start: left.start,\n end: left.end\n }) +\n '): a different token (`' +\n right.type +\n '`, ' +\n stringifyPosition({\n start: right.start,\n end: right.end\n }) +\n ') is open'\n )\n } else {\n throw new Error(\n 'Cannot close document, a token (`' +\n right.type +\n '`, ' +\n stringifyPosition({\n start: right.start,\n end: right.end\n }) +\n ') is still open'\n )\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Event} Event\n */\n\nimport {subtokenize} from 'micromark-util-subtokenize'\n\n/**\n * @param {Array<Event>} events\n * @returns {Array<Event>}\n */\nexport function postprocess(events) {\n while (!subtokenize(events)) {\n // Empty\n }\n return events\n}\n","/**\n * @typedef {import('micromark-util-types').Create} Create\n * @typedef {import('micromark-util-types').FullNormalizedExtension} FullNormalizedExtension\n * @typedef {import('micromark-util-types').InitialConstruct} InitialConstruct\n * @typedef {import('micromark-util-types').ParseContext} ParseContext\n * @typedef {import('micromark-util-types').ParseOptions} ParseOptions\n */\n\nimport {combineExtensions} from 'micromark-util-combine-extensions'\nimport {content} from './initialize/content.js'\nimport {document} from './initialize/document.js'\nimport {flow} from './initialize/flow.js'\nimport {text, string} from './initialize/text.js'\nimport {createTokenizer} from './create-tokenizer.js'\nimport * as defaultConstructs from './constructs.js'\n\n/**\n * @param {ParseOptions | null | undefined} [options]\n * @returns {ParseContext}\n */\nexport function parse(options) {\n const settings = options || {}\n const constructs =\n /** @type {FullNormalizedExtension} */\n combineExtensions([defaultConstructs, ...(settings.extensions || [])])\n\n /** @type {ParseContext} */\n const parser = {\n defined: [],\n lazy: {},\n constructs,\n content: create(content),\n document: create(document),\n flow: create(flow),\n string: create(string),\n text: create(text)\n }\n return parser\n\n /**\n * @param {InitialConstruct} initial\n */\n function create(initial) {\n return creator\n /** @type {Create} */\n function creator(from) {\n return createTokenizer(parser, initial, from)\n }\n }\n}\n","/**\n * @typedef {import('mdast').Root} Root\n * @typedef {import('mdast-util-from-markdown').Options} Options\n */\n\nimport {fromMarkdown} from 'mdast-util-from-markdown'\n\n/**\n * @this {import('unified').Processor}\n * @type {import('unified').Plugin<[Options?] | void[], string, Root>}\n */\nexport default function remarkParse(options) {\n /** @type {import('unified').ParserFunction<Root>} */\n const parser = (doc) => {\n // Assume options.\n const settings = /** @type {Options} */ (this.data('settings'))\n\n return fromMarkdown(\n doc,\n Object.assign({}, settings, options, {\n // Note: these options are not in the readme.\n // The goal is for them to be set by plugins on `data` instead of being\n // passed by users.\n extensions: this.data('micromarkExtensions') || [],\n mdastExtensions: this.data('fromMarkdownExtensions') || []\n })\n )\n }\n\n Object.assign(this, {Parser: parser})\n}\n","import {asciiAlphanumeric} from 'micromark-util-character'\nimport {encode} from 'micromark-util-encode'\n/**\n * Make a value safe for injection as a URL.\n *\n * This encodes unsafe characters with percent-encoding and skips already\n * encoded sequences (see `normalizeUri`).\n * Further unsafe characters are encoded as character references (see\n * `micromark-util-encode`).\n *\n * A regex of allowed protocols can be given, in which case the URL is\n * sanitized.\n * For example, `/^(https?|ircs?|mailto|xmpp)$/i` can be used for `a[href]`, or\n * `/^https?$/i` for `img[src]` (this is what `github.com` allows).\n * If the URL includes an unknown protocol (one not matched by `protocol`, such\n * as a dangerous example, `javascript:`), the value is ignored.\n *\n * @param {string | undefined} url\n * URI to sanitize.\n * @param {RegExp | null | undefined} [protocol]\n * Allowed protocols.\n * @returns {string}\n * Sanitized URI.\n */\nexport function sanitizeUri(url, protocol) {\n const value = encode(normalizeUri(url || ''))\n if (!protocol) {\n return value\n }\n const colon = value.indexOf(':')\n const questionMark = value.indexOf('?')\n const numberSign = value.indexOf('#')\n const slash = value.indexOf('/')\n if (\n // If there is no protocol, it’s relative.\n colon < 0 ||\n // If the first colon is after a `?`, `#`, or `/`, it’s not a protocol.\n (slash > -1 && colon > slash) ||\n (questionMark > -1 && colon > questionMark) ||\n (numberSign > -1 && colon > numberSign) ||\n // It is a protocol, it should be allowed.\n protocol.test(value.slice(0, colon))\n ) {\n return value\n }\n return ''\n}\n\n/**\n * Normalize a URL.\n *\n * Encode unsafe characters with percent-encoding, skipping already encoded\n * sequences.\n *\n * @param {string} value\n * URI to normalize.\n * @returns {string}\n * Normalized URI.\n */\nexport function normalizeUri(value) {\n /** @type {Array<string>} */\n const result = []\n let index = -1\n let start = 0\n let skip = 0\n while (++index < value.length) {\n const code = value.charCodeAt(index)\n /** @type {string} */\n let replace = ''\n\n // A correct percent encoded value.\n if (\n code === 37 &&\n asciiAlphanumeric(value.charCodeAt(index + 1)) &&\n asciiAlphanumeric(value.charCodeAt(index + 2))\n ) {\n skip = 2\n }\n // ASCII.\n else if (code < 128) {\n if (!/[!#$&-;=?-Z_a-z~]/.test(String.fromCharCode(code))) {\n replace = String.fromCharCode(code)\n }\n }\n // Astral.\n else if (code > 55295 && code < 57344) {\n const next = value.charCodeAt(index + 1)\n\n // A correct surrogate pair.\n if (code < 56320 && next > 56319 && next < 57344) {\n replace = String.fromCharCode(code, next)\n skip = 1\n }\n // Lone surrogate.\n else {\n replace = '\\uFFFD'\n }\n }\n // Unicode.\n else {\n replace = String.fromCharCode(code)\n }\n if (replace) {\n result.push(value.slice(start, index), encodeURIComponent(replace))\n start = index + skip + 1\n replace = ''\n }\n if (skip) {\n index += skip\n skip = 0\n }\n }\n return result.join('') + value.slice(start)\n}\n","/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('unist').Parent} Parent\n */\n\n/**\n * @typedef {Record<string, unknown>} Props\n * @typedef {null | undefined | string | Props | TestFunctionAnything | Array<string | Props | TestFunctionAnything>} Test\n * Check for an arbitrary node, unaware of TypeScript inferral.\n *\n * @callback TestFunctionAnything\n * Check if a node passes a test, unaware of TypeScript inferral.\n * @param {unknown} this\n * The given context.\n * @param {Node} node\n * A node.\n * @param {number | null | undefined} [index]\n * The node’s position in its parent.\n * @param {Parent | null | undefined} [parent]\n * The node’s parent.\n * @returns {boolean | void}\n * Whether this node passes the test.\n */\n\n/**\n * @template {Node} Kind\n * Node type.\n * @typedef {Kind['type'] | Partial<Kind> | TestFunctionPredicate<Kind> | Array<Kind['type'] | Partial<Kind> | TestFunctionPredicate<Kind>>} PredicateTest\n * Check for a node that can be inferred by TypeScript.\n */\n\n/**\n * Check if a node passes a certain test.\n *\n * @template {Node} Kind\n * Node type.\n * @callback TestFunctionPredicate\n * Complex test function for a node that can be inferred by TypeScript.\n * @param {Node} node\n * A node.\n * @param {number | null | undefined} [index]\n * The node’s position in its parent.\n * @param {Parent | null | undefined} [parent]\n * The node’s parent.\n * @returns {node is Kind}\n * Whether this node passes the test.\n */\n\n/**\n * @callback AssertAnything\n * Check that an arbitrary value is a node, unaware of TypeScript inferral.\n * @param {unknown} [node]\n * Anything (typically a node).\n * @param {number | null | undefined} [index]\n * The node’s position in its parent.\n * @param {Parent | null | undefined} [parent]\n * The node’s parent.\n * @returns {boolean}\n * Whether this is a node and passes a test.\n */\n\n/**\n * Check if a node is a node and passes a certain node test.\n *\n * @template {Node} Kind\n * Node type.\n * @callback AssertPredicate\n * Check that an arbitrary value is a specific node, aware of TypeScript.\n * @param {unknown} [node]\n * Anything (typically a node).\n * @param {number | null | undefined} [index]\n * The node’s position in its parent.\n * @param {Parent | null | undefined} [parent]\n * The node’s parent.\n * @returns {node is Kind}\n * Whether this is a node and passes a test.\n */\n\n/**\n * Check if `node` is a `Node` and whether it passes the given test.\n *\n * @param node\n * Thing to check, typically `Node`.\n * @param test\n * A check for a specific node.\n * @param index\n * The node’s position in its parent.\n * @param parent\n * The node’s parent.\n * @returns\n * Whether `node` is a node and passes a test.\n */\nexport const is =\n /**\n * @type {(\n * (() => false) &\n * (<Kind extends Node = Node>(node: unknown, test: PredicateTest<Kind>, index: number, parent: Parent, context?: unknown) => node is Kind) &\n * (<Kind extends Node = Node>(node: unknown, test: PredicateTest<Kind>, index?: null | undefined, parent?: null | undefined, context?: unknown) => node is Kind) &\n * ((node: unknown, test: Test, index: number, parent: Parent, context?: unknown) => boolean) &\n * ((node: unknown, test?: Test, index?: null | undefined, parent?: null | undefined, context?: unknown) => boolean)\n * )}\n */\n (\n /**\n * @param {unknown} [node]\n * @param {Test} [test]\n * @param {number | null | undefined} [index]\n * @param {Parent | null | undefined} [parent]\n * @param {unknown} [context]\n * @returns {boolean}\n */\n // eslint-disable-next-line max-params\n function is(node, test, index, parent, context) {\n const check = convert(test)\n\n if (\n index !== undefined &&\n index !== null &&\n (typeof index !== 'number' ||\n index < 0 ||\n index === Number.POSITIVE_INFINITY)\n ) {\n throw new Error('Expected positive finite index')\n }\n\n if (\n parent !== undefined &&\n parent !== null &&\n (!is(parent) || !parent.children)\n ) {\n throw new Error('Expected parent node')\n }\n\n if (\n (parent === undefined || parent === null) !==\n (index === undefined || index === null)\n ) {\n throw new Error('Expected both parent and index')\n }\n\n // @ts-expect-error Looks like a node.\n return node && node.type && typeof node.type === 'string'\n ? Boolean(check.call(context, node, index, parent))\n : false\n }\n )\n\n/**\n * Generate an assertion from a test.\n *\n * Useful if you’re going to test many nodes, for example when creating a\n * utility where something else passes a compatible test.\n *\n * The created function is a bit faster because it expects valid input only:\n * a `node`, `index`, and `parent`.\n *\n * @param test\n * * when nullish, checks if `node` is a `Node`.\n * * when `string`, works like passing `(node) => node.type === test`.\n * * when `function` checks if function passed the node is true.\n * * when `object`, checks that all keys in test are in node, and that they have (strictly) equal values.\n * * when `array`, checks if any one of the subtests pass.\n * @returns\n * An assertion.\n */\nexport const convert =\n /**\n * @type {(\n * (<Kind extends Node>(test: PredicateTest<Kind>) => AssertPredicate<Kind>) &\n * ((test?: Test) => AssertAnything)\n * )}\n */\n (\n /**\n * @param {Test} [test]\n * @returns {AssertAnything}\n */\n function (test) {\n if (test === undefined || test === null) {\n return ok\n }\n\n if (typeof test === 'string') {\n return typeFactory(test)\n }\n\n if (typeof test === 'object') {\n return Array.isArray(test) ? anyFactory(test) : propsFactory(test)\n }\n\n if (typeof test === 'function') {\n return castFactory(test)\n }\n\n throw new Error('Expected function, string, or object as test')\n }\n )\n\n/**\n * @param {Array<string | Props | TestFunctionAnything>} tests\n * @returns {AssertAnything}\n */\nfunction anyFactory(tests) {\n /** @type {Array<AssertAnything>} */\n const checks = []\n let index = -1\n\n while (++index < tests.length) {\n checks[index] = convert(tests[index])\n }\n\n return castFactory(any)\n\n /**\n * @this {unknown}\n * @param {Array<unknown>} parameters\n * @returns {boolean}\n */\n function any(...parameters) {\n let index = -1\n\n while (++index < checks.length) {\n if (checks[index].call(this, ...parameters)) return true\n }\n\n return false\n }\n}\n\n/**\n * Turn an object into a test for a node with a certain fields.\n *\n * @param {Props} check\n * @returns {AssertAnything}\n */\nfunction propsFactory(check) {\n return castFactory(all)\n\n /**\n * @param {Node} node\n * @returns {boolean}\n */\n function all(node) {\n /** @type {string} */\n let key\n\n for (key in check) {\n // @ts-expect-error: hush, it sure works as an index.\n if (node[key] !== check[key]) return false\n }\n\n return true\n }\n}\n\n/**\n * Turn a string into a test for a node with a certain type.\n *\n * @param {string} check\n * @returns {AssertAnything}\n */\nfunction typeFactory(check) {\n return castFactory(type)\n\n /**\n * @param {Node} node\n */\n function type(node) {\n return node && node.type === check\n }\n}\n\n/**\n * Turn a custom test into a test for a node that passes that test.\n *\n * @param {TestFunctionAnything} check\n * @returns {AssertAnything}\n */\nfunction castFactory(check) {\n return assertion\n\n /**\n * @this {unknown}\n * @param {unknown} node\n * @param {Array<unknown>} parameters\n * @returns {boolean}\n */\n function assertion(node, ...parameters) {\n return Boolean(\n node &&\n typeof node === 'object' &&\n 'type' in node &&\n // @ts-expect-error: fine.\n Boolean(check.call(this, node, ...parameters))\n )\n }\n}\n\nfunction ok() {\n return true\n}\n","/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('unist').Parent} Parent\n * @typedef {import('unist-util-is').Test} Test\n */\n\n/**\n * @typedef {boolean | 'skip'} Action\n * Union of the action types.\n *\n * @typedef {number} Index\n * Move to the sibling at `index` next (after node itself is completely\n * traversed).\n *\n * Useful if mutating the tree, such as removing the node the visitor is\n * currently on, or any of its previous siblings.\n * Results less than 0 or greater than or equal to `children.length` stop\n * traversing the parent.\n *\n * @typedef {[(Action | null | undefined | void)?, (Index | null | undefined)?]} ActionTuple\n * List with one or two values, the first an action, the second an index.\n *\n * @typedef {Action | ActionTuple | Index | null | undefined | void} VisitorResult\n * Any value that can be returned from a visitor.\n */\n\n/**\n * @template {Node} [Visited=Node]\n * Visited node type.\n * @template {Parent} [Ancestor=Parent]\n * Ancestor type.\n * @callback Visitor\n * Handle a node (matching `test`, if given).\n *\n * Visitors are free to transform `node`.\n * They can also transform the parent of node (the last of `ancestors`).\n *\n * Replacing `node` itself, if `SKIP` is not returned, still causes its\n * descendants to be walked (which is a bug).\n *\n * When adding or removing previous siblings of `node` (or next siblings, in\n * case of reverse), the `Visitor` should return a new `Index` to specify the\n * sibling to traverse after `node` is traversed.\n * Adding or removing next siblings of `node` (or previous siblings, in case\n * of reverse) is handled as expected without needing to return a new `Index`.\n *\n * Removing the children property of an ancestor still results in them being\n * traversed.\n * @param {Visited} node\n * Found node.\n * @param {Array<Ancestor>} ancestors\n * Ancestors of `node`.\n * @returns {VisitorResult}\n * What to do next.\n *\n * An `Index` is treated as a tuple of `[CONTINUE, Index]`.\n * An `Action` is treated as a tuple of `[Action]`.\n *\n * Passing a tuple back only makes sense if the `Action` is `SKIP`.\n * When the `Action` is `EXIT`, that action can be returned.\n * When the `Action` is `CONTINUE`, `Index` can be returned.\n */\n\n/**\n * @template {Node} [Tree=Node]\n * Tree type.\n * @template {Test} [Check=string]\n * Test type.\n * @typedef {Visitor<import('./complex-types.js').Matches<import('./complex-types.js').InclusiveDescendant<Tree>, Check>, Extract<import('./complex-types.js').InclusiveDescendant<Tree>, Parent>>} BuildVisitor\n * Build a typed `Visitor` function from a tree and a test.\n *\n * It will infer which values are passed as `node` and which as `parents`.\n */\n\nimport {convert} from 'unist-util-is'\nimport {color} from './color.js'\n\n/**\n * Continue traversing as normal.\n */\nexport const CONTINUE = true\n\n/**\n * Stop traversing immediately.\n */\nexport const EXIT = false\n\n/**\n * Do not traverse this node’s children.\n */\nexport const SKIP = 'skip'\n\n/**\n * Visit nodes, with ancestral information.\n *\n * This algorithm performs *depth-first* *tree traversal* in *preorder*\n * (**NLR**) or if `reverse` is given, in *reverse preorder* (**NRL**).\n *\n * You can choose for which nodes `visitor` is called by passing a `test`.\n * For complex tests, you should test yourself in `visitor`, as it will be\n * faster and will have improved type information.\n *\n * Walking the tree is an intensive task.\n * Make use of the return values of the visitor when possible.\n * Instead of walking a tree multiple times, walk it once, use `unist-util-is`\n * to check if a node matches, and then perform different operations.\n *\n * You can change the tree.\n * See `Visitor` for more info.\n *\n * @param tree\n * Tree to traverse.\n * @param test\n * `unist-util-is`-compatible test\n * @param visitor\n * Handle each node.\n * @param reverse\n * Traverse in reverse preorder (NRL) instead of the default preorder (NLR).\n * @returns\n * Nothing.\n */\nexport const visitParents =\n /**\n * @type {(\n * (<Tree extends Node, Check extends Test>(tree: Tree, test: Check, visitor: BuildVisitor<Tree, Check>, reverse?: boolean | null | undefined) => void) &\n * (<Tree extends Node>(tree: Tree, visitor: BuildVisitor<Tree>, reverse?: boolean | null | undefined) => void)\n * )}\n */\n (\n /**\n * @param {Node} tree\n * @param {Test} test\n * @param {Visitor<Node>} visitor\n * @param {boolean | null | undefined} [reverse]\n * @returns {void}\n */\n function (tree, test, visitor, reverse) {\n if (typeof test === 'function' && typeof visitor !== 'function') {\n reverse = visitor\n // @ts-expect-error no visitor given, so `visitor` is test.\n visitor = test\n test = null\n }\n\n const is = convert(test)\n const step = reverse ? -1 : 1\n\n factory(tree, undefined, [])()\n\n /**\n * @param {Node} node\n * @param {number | undefined} index\n * @param {Array<Parent>} parents\n */\n function factory(node, index, parents) {\n /** @type {Record<string, unknown>} */\n // @ts-expect-error: hush\n const value = node && typeof node === 'object' ? node : {}\n\n if (typeof value.type === 'string') {\n const name =\n // `hast`\n typeof value.tagName === 'string'\n ? value.tagName\n : // `xast`\n typeof value.name === 'string'\n ? value.name\n : undefined\n\n Object.defineProperty(visit, 'name', {\n value:\n 'node (' + color(node.type + (name ? '<' + name + '>' : '')) + ')'\n })\n }\n\n return visit\n\n function visit() {\n /** @type {ActionTuple} */\n let result = []\n /** @type {ActionTuple} */\n let subresult\n /** @type {number} */\n let offset\n /** @type {Array<Parent>} */\n let grandparents\n\n if (!test || is(node, index, parents[parents.length - 1] || null)) {\n result = toResult(visitor(node, parents))\n\n if (result[0] === EXIT) {\n return result\n }\n }\n\n // @ts-expect-error looks like a parent.\n if (node.children && result[0] !== SKIP) {\n // @ts-expect-error looks like a parent.\n offset = (reverse ? node.children.length : -1) + step\n // @ts-expect-error looks like a parent.\n grandparents = parents.concat(node)\n\n // @ts-expect-error looks like a parent.\n while (offset > -1 && offset < node.children.length) {\n // @ts-expect-error looks like a parent.\n subresult = factory(node.children[offset], offset, grandparents)()\n\n if (subresult[0] === EXIT) {\n return subresult\n }\n\n offset =\n typeof subresult[1] === 'number' ? subresult[1] : offset + step\n }\n }\n\n return result\n }\n }\n }\n )\n\n/**\n * Turn a return value into a clean result.\n *\n * @param {VisitorResult} value\n * Valid return values from visitors.\n * @returns {ActionTuple}\n * Clean result.\n */\nfunction toResult(value) {\n if (Array.isArray(value)) {\n return value\n }\n\n if (typeof value === 'number') {\n return [CONTINUE, value]\n }\n\n return [value]\n}\n","/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('unist').Parent} Parent\n * @typedef {import('unist-util-is').Test} Test\n * @typedef {import('unist-util-visit-parents').VisitorResult} VisitorResult\n */\n\n/**\n * Check if `Child` can be a child of `Ancestor`.\n *\n * Returns the ancestor when `Child` can be a child of `Ancestor`, or returns\n * `never`.\n *\n * @template {Node} Ancestor\n * Node type.\n * @template {Node} Child\n * Node type.\n * @typedef {(\n * Ancestor extends Parent\n * ? Child extends Ancestor['children'][number]\n * ? Ancestor\n * : never\n * : never\n * )} ParentsOf\n */\n\n/**\n * @template {Node} [Visited=Node]\n * Visited node type.\n * @template {Parent} [Ancestor=Parent]\n * Ancestor type.\n * @callback Visitor\n * Handle a node (matching `test`, if given).\n *\n * Visitors are free to transform `node`.\n * They can also transform `parent`.\n *\n * Replacing `node` itself, if `SKIP` is not returned, still causes its\n * descendants to be walked (which is a bug).\n *\n * When adding or removing previous siblings of `node` (or next siblings, in\n * case of reverse), the `Visitor` should return a new `Index` to specify the\n * sibling to traverse after `node` is traversed.\n * Adding or removing next siblings of `node` (or previous siblings, in case\n * of reverse) is handled as expected without needing to return a new `Index`.\n *\n * Removing the children property of `parent` still results in them being\n * traversed.\n * @param {Visited} node\n * Found node.\n * @param {Visited extends Node ? number | null : never} index\n * Index of `node` in `parent`.\n * @param {Ancestor extends Node ? Ancestor | null : never} parent\n * Parent of `node`.\n * @returns {VisitorResult}\n * What to do next.\n *\n * An `Index` is treated as a tuple of `[CONTINUE, Index]`.\n * An `Action` is treated as a tuple of `[Action]`.\n *\n * Passing a tuple back only makes sense if the `Action` is `SKIP`.\n * When the `Action` is `EXIT`, that action can be returned.\n * When the `Action` is `CONTINUE`, `Index` can be returned.\n */\n\n/**\n * Build a typed `Visitor` function from a node and all possible parents.\n *\n * It will infer which values are passed as `node` and which as `parent`.\n *\n * @template {Node} Visited\n * Node type.\n * @template {Parent} Ancestor\n * Parent type.\n * @typedef {Visitor<Visited, ParentsOf<Ancestor, Visited>>} BuildVisitorFromMatch\n */\n\n/**\n * Build a typed `Visitor` function from a list of descendants and a test.\n *\n * It will infer which values are passed as `node` and which as `parent`.\n *\n * @template {Node} Descendant\n * Node type.\n * @template {Test} Check\n * Test type.\n * @typedef {(\n * BuildVisitorFromMatch<\n * import('unist-util-visit-parents/complex-types.js').Matches<Descendant, Check>,\n * Extract<Descendant, Parent>\n * >\n * )} BuildVisitorFromDescendants\n */\n\n/**\n * Build a typed `Visitor` function from a tree and a test.\n *\n * It will infer which values are passed as `node` and which as `parent`.\n *\n * @template {Node} [Tree=Node]\n * Node type.\n * @template {Test} [Check=string]\n * Test type.\n * @typedef {(\n * BuildVisitorFromDescendants<\n * import('unist-util-visit-parents/complex-types.js').InclusiveDescendant<Tree>,\n * Check\n * >\n * )} BuildVisitor\n */\n\nimport {visitParents} from 'unist-util-visit-parents'\n\n/**\n * Visit nodes.\n *\n * This algorithm performs *depth-first* *tree traversal* in *preorder*\n * (**NLR**) or if `reverse` is given, in *reverse preorder* (**NRL**).\n *\n * You can choose for which nodes `visitor` is called by passing a `test`.\n * For complex tests, you should test yourself in `visitor`, as it will be\n * faster and will have improved type information.\n *\n * Walking the tree is an intensive task.\n * Make use of the return values of the visitor when possible.\n * Instead of walking a tree multiple times, walk it once, use `unist-util-is`\n * to check if a node matches, and then perform different operations.\n *\n * You can change the tree.\n * See `Visitor` for more info.\n *\n * @param tree\n * Tree to traverse.\n * @param test\n * `unist-util-is`-compatible test\n * @param visitor\n * Handle each node.\n * @param reverse\n * Traverse in reverse preorder (NRL) instead of the default preorder (NLR).\n * @returns\n * Nothing.\n */\nexport const visit =\n /**\n * @type {(\n * (<Tree extends Node, Check extends Test>(tree: Tree, test: Check, visitor: BuildVisitor<Tree, Check>, reverse?: boolean | null | undefined) => void) &\n * (<Tree extends Node>(tree: Tree, visitor: BuildVisitor<Tree>, reverse?: boolean | null | undefined) => void)\n * )}\n */\n (\n /**\n * @param {Node} tree\n * @param {Test} test\n * @param {Visitor} visitor\n * @param {boolean | null | undefined} [reverse]\n * @returns {void}\n */\n function (tree, test, visitor, reverse) {\n if (typeof test === 'function' && typeof visitor !== 'function') {\n reverse = visitor\n visitor = test\n test = null\n }\n\n visitParents(tree, test, overload, reverse)\n\n /**\n * @param {Node} node\n * @param {Array<Parent>} parents\n */\n function overload(node, parents) {\n const parent = parents[parents.length - 1]\n return visitor(\n node,\n parent ? parent.children.indexOf(node) : null,\n parent\n )\n }\n }\n )\n\nexport {CONTINUE, EXIT, SKIP} from 'unist-util-visit-parents'\n","/**\n * @typedef {import('unist').Position} Position\n * @typedef {import('unist').Node} Node\n * @typedef {import('unist').Point} Point\n */\n\n/**\n * @typedef NodeLike\n * @property {string} type\n * @property {PositionLike | null | undefined} [position]\n *\n * @typedef PositionLike\n * @property {PointLike | null | undefined} [start]\n * @property {PointLike | null | undefined} [end]\n *\n * @typedef PointLike\n * @property {number | null | undefined} [line]\n * @property {number | null | undefined} [column]\n * @property {number | null | undefined} [offset]\n */\n\n/**\n * Get the starting point of `node`.\n *\n * @param node\n * Node.\n * @returns\n * Point.\n */\nexport const pointStart = point('start')\n\n/**\n * Get the ending point of `node`.\n *\n * @param node\n * Node.\n * @returns\n * Point.\n */\nexport const pointEnd = point('end')\n\n/**\n * Get the positional info of `node`.\n *\n * @param {NodeLike | Node | null | undefined} [node]\n * Node.\n * @returns {Position}\n * Position.\n */\nexport function position(node) {\n return {start: pointStart(node), end: pointEnd(node)}\n}\n\n/**\n * Get the positional info of `node`.\n *\n * @param {'start' | 'end'} type\n * Side.\n * @returns\n * Getter.\n */\nfunction point(type) {\n return point\n\n /**\n * Get the point info of `node` at a bound side.\n *\n * @param {NodeLike | Node | null | undefined} [node]\n * @returns {Point}\n */\n function point(node) {\n const point = (node && node.position && node.position[type]) || {}\n\n // To do: next major: don’t return points when invalid.\n return {\n // @ts-expect-error: in practice, null is allowed.\n line: point.line || null,\n // @ts-expect-error: in practice, null is allowed.\n column: point.column || null,\n // @ts-expect-error: in practice, null is allowed.\n offset: point.offset > -1 ? point.offset : null\n }\n }\n}\n","/**\n * @typedef {import('mdast').Root} Root\n * @typedef {import('mdast').Content} Content\n * @typedef {import('mdast').Definition} Definition\n */\n\n/**\n * @typedef {Root | Content} Node\n *\n * @callback GetDefinition\n * Get a definition by identifier.\n * @param {string | null | undefined} [identifier]\n * Identifier of definition.\n * @returns {Definition | null}\n * Definition corresponding to `identifier` or `null`.\n */\n\nimport {visit} from 'unist-util-visit'\n\nconst own = {}.hasOwnProperty\n\n/**\n * Find definitions in `tree`.\n *\n * Uses CommonMark precedence, which means that earlier definitions are\n * preferred over duplicate later definitions.\n *\n * @param {Node} tree\n * Tree to check.\n * @returns {GetDefinition}\n * Getter.\n */\nexport function definitions(tree) {\n /** @type {Record<string, Definition>} */\n const cache = Object.create(null)\n\n if (!tree || !tree.type) {\n throw new Error('mdast-util-definitions expected node')\n }\n\n visit(tree, 'definition', (definition) => {\n const id = clean(definition.identifier)\n if (id && !own.call(cache, id)) {\n cache[id] = definition\n }\n })\n\n return definition\n\n /** @type {GetDefinition} */\n function definition(identifier) {\n const id = clean(identifier)\n // To do: next major: return `undefined` when not found.\n return id && own.call(cache, id) ? cache[id] : null\n }\n}\n\n/**\n * @param {string | null | undefined} [value]\n * @returns {string}\n */\nfunction clean(value) {\n return String(value || '').toUpperCase()\n}\n","/**\n * @typedef {import('mdast').FootnoteReference} FootnoteReference\n * @typedef {import('hast').Element} Element\n * @typedef {import('../state.js').State} State\n */\n\nimport {normalizeUri} from 'micromark-util-sanitize-uri'\n\n/**\n * Turn an mdast `footnoteReference` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {FootnoteReference} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function footnoteReference(state, node) {\n const id = String(node.identifier).toUpperCase()\n const safeId = normalizeUri(id.toLowerCase())\n const index = state.footnoteOrder.indexOf(id)\n /** @type {number} */\n let counter\n\n if (index === -1) {\n state.footnoteOrder.push(id)\n state.footnoteCounts[id] = 1\n counter = state.footnoteOrder.length\n } else {\n state.footnoteCounts[id]++\n counter = index + 1\n }\n\n const reuseCounter = state.footnoteCounts[id]\n\n /** @type {Element} */\n const link = {\n type: 'element',\n tagName: 'a',\n properties: {\n href: '#' + state.clobberPrefix + 'fn-' + safeId,\n id:\n state.clobberPrefix +\n 'fnref-' +\n safeId +\n (reuseCounter > 1 ? '-' + reuseCounter : ''),\n dataFootnoteRef: true,\n ariaDescribedBy: ['footnote-label']\n },\n children: [{type: 'text', value: String(counter)}]\n }\n state.patch(node, link)\n\n /** @type {Element} */\n const sup = {\n type: 'element',\n tagName: 'sup',\n properties: {},\n children: [link]\n }\n state.patch(node, sup)\n return state.applyData(node, sup)\n}\n","/**\n * @typedef {import('hast').ElementContent} ElementContent\n *\n * @typedef {import('mdast').Content} Content\n * @typedef {import('mdast').Reference} Reference\n * @typedef {import('mdast').Root} Root\n *\n * @typedef {import('./state.js').State} State\n */\n\n/**\n * @typedef {Root | Content} Nodes\n * @typedef {Extract<Nodes, Reference>} References\n */\n\n// To do: next major: always return array.\n\n/**\n * Return the content of a reference without definition as plain text.\n *\n * @param {State} state\n * Info passed around.\n * @param {References} node\n * Reference node (image, link).\n * @returns {ElementContent | Array<ElementContent>}\n * hast content.\n */\nexport function revert(state, node) {\n const subtype = node.referenceType\n let suffix = ']'\n\n if (subtype === 'collapsed') {\n suffix += '[]'\n } else if (subtype === 'full') {\n suffix += '[' + (node.label || node.identifier) + ']'\n }\n\n if (node.type === 'imageReference') {\n return {type: 'text', value: '![' + node.alt + suffix}\n }\n\n const contents = state.all(node)\n const head = contents[0]\n\n if (head && head.type === 'text') {\n head.value = '[' + head.value\n } else {\n contents.unshift({type: 'text', value: '['})\n }\n\n const tail = contents[contents.length - 1]\n\n if (tail && tail.type === 'text') {\n tail.value += suffix\n } else {\n contents.push({type: 'text', value: suffix})\n }\n\n return contents\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').ElementContent} ElementContent\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('mdast').Content} Content\n * @typedef {import('mdast').ListItem} ListItem\n * @typedef {import('mdast').Parent} Parent\n * @typedef {import('mdast').Root} Root\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * @typedef {Root | Content} Nodes\n * @typedef {Extract<Nodes, Parent>} Parents\n */\n\n/**\n * Turn an mdast `listItem` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {ListItem} node\n * mdast node.\n * @param {Parents | null | undefined} parent\n * Parent of `node`.\n * @returns {Element}\n * hast node.\n */\nexport function listItem(state, node, parent) {\n const results = state.all(node)\n const loose = parent ? listLoose(parent) : listItemLoose(node)\n /** @type {Properties} */\n const properties = {}\n /** @type {Array<ElementContent>} */\n const children = []\n\n if (typeof node.checked === 'boolean') {\n const head = results[0]\n /** @type {Element} */\n let paragraph\n\n if (head && head.type === 'element' && head.tagName === 'p') {\n paragraph = head\n } else {\n paragraph = {type: 'element', tagName: 'p', properties: {}, children: []}\n results.unshift(paragraph)\n }\n\n if (paragraph.children.length > 0) {\n paragraph.children.unshift({type: 'text', value: ' '})\n }\n\n paragraph.children.unshift({\n type: 'element',\n tagName: 'input',\n properties: {type: 'checkbox', checked: node.checked, disabled: true},\n children: []\n })\n\n // According to github-markdown-css, this class hides bullet.\n // See: <https://github.com/sindresorhus/github-markdown-css>.\n properties.className = ['task-list-item']\n }\n\n let index = -1\n\n while (++index < results.length) {\n const child = results[index]\n\n // Add eols before nodes, except if this is a loose, first paragraph.\n if (\n loose ||\n index !== 0 ||\n child.type !== 'element' ||\n child.tagName !== 'p'\n ) {\n children.push({type: 'text', value: '\\n'})\n }\n\n if (child.type === 'element' && child.tagName === 'p' && !loose) {\n children.push(...child.children)\n } else {\n children.push(child)\n }\n }\n\n const tail = results[results.length - 1]\n\n // Add a final eol.\n if (tail && (loose || tail.type !== 'element' || tail.tagName !== 'p')) {\n children.push({type: 'text', value: '\\n'})\n }\n\n /** @type {Element} */\n const result = {type: 'element', tagName: 'li', properties, children}\n state.patch(node, result)\n return state.applyData(node, result)\n}\n\n/**\n * @param {Parents} node\n * @return {Boolean}\n */\nfunction listLoose(node) {\n let loose = false\n if (node.type === 'list') {\n loose = node.spread || false\n const children = node.children\n let index = -1\n\n while (!loose && ++index < children.length) {\n loose = listItemLoose(children[index])\n }\n }\n\n return loose\n}\n\n/**\n * @param {ListItem} node\n * @return {Boolean}\n */\nfunction listItemLoose(node) {\n const spread = node.spread\n\n return spread === undefined || spread === null\n ? node.children.length > 1\n : spread\n}\n","const tab = 9 /* `\\t` */\nconst space = 32 /* ` ` */\n\n/**\n * Remove initial and final spaces and tabs at the line breaks in `value`.\n * Does not trim initial and final spaces and tabs of the value itself.\n *\n * @param {string} value\n * Value to trim.\n * @returns {string}\n * Trimmed value.\n */\nexport function trimLines(value) {\n const source = String(value)\n const search = /\\r?\\n|\\r/g\n let match = search.exec(source)\n let last = 0\n /** @type {Array<string>} */\n const lines = []\n\n while (match) {\n lines.push(\n trimLine(source.slice(last, match.index), last > 0, true),\n match[0]\n )\n\n last = match.index + match[0].length\n match = search.exec(source)\n }\n\n lines.push(trimLine(source.slice(last), last > 0, false))\n\n return lines.join('')\n}\n\n/**\n * @param {string} value\n * Line to trim.\n * @param {boolean} start\n * Whether to trim the start of the line.\n * @param {boolean} end\n * Whether to trim the end of the line.\n * @returns {string}\n * Trimmed line.\n */\nfunction trimLine(value, start, end) {\n let startIndex = 0\n let endIndex = value.length\n\n if (start) {\n let code = value.codePointAt(startIndex)\n\n while (code === tab || code === space) {\n startIndex++\n code = value.codePointAt(startIndex)\n }\n }\n\n if (end) {\n let code = value.codePointAt(endIndex - 1)\n\n while (code === tab || code === space) {\n endIndex--\n code = value.codePointAt(endIndex - 1)\n }\n }\n\n return endIndex > startIndex ? value.slice(startIndex, endIndex) : ''\n}\n","import {blockquote} from './blockquote.js'\nimport {hardBreak} from './break.js'\nimport {code} from './code.js'\nimport {strikethrough} from './delete.js'\nimport {emphasis} from './emphasis.js'\nimport {footnoteReference} from './footnote-reference.js'\nimport {footnote} from './footnote.js'\nimport {heading} from './heading.js'\nimport {html} from './html.js'\nimport {imageReference} from './image-reference.js'\nimport {image} from './image.js'\nimport {inlineCode} from './inline-code.js'\nimport {linkReference} from './link-reference.js'\nimport {link} from './link.js'\nimport {listItem} from './list-item.js'\nimport {list} from './list.js'\nimport {paragraph} from './paragraph.js'\nimport {root} from './root.js'\nimport {strong} from './strong.js'\nimport {table} from './table.js'\nimport {tableRow} from './table-row.js'\nimport {tableCell} from './table-cell.js'\nimport {text} from './text.js'\nimport {thematicBreak} from './thematic-break.js'\n\n/**\n * Default handlers for nodes.\n */\nexport const handlers = {\n blockquote,\n break: hardBreak,\n code,\n delete: strikethrough,\n emphasis,\n footnoteReference,\n footnote,\n heading,\n html,\n imageReference,\n image,\n inlineCode,\n linkReference,\n link,\n listItem,\n list,\n paragraph,\n root,\n strong,\n table,\n tableCell,\n tableRow,\n text,\n thematicBreak,\n toml: ignore,\n yaml: ignore,\n definition: ignore,\n footnoteDefinition: ignore\n}\n\n// Return nothing for nodes that are ignored.\nfunction ignore() {\n // To do: next major: return `undefined`.\n return null\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Blockquote} Blockquote\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `blockquote` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Blockquote} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function blockquote(state, node) {\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'blockquote',\n properties: {},\n children: state.wrap(state.all(node), true)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Text} Text\n * @typedef {import('mdast').Break} Break\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `break` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Break} node\n * mdast node.\n * @returns {Array<Element | Text>}\n * hast element content.\n */\nexport function hardBreak(state, node) {\n /** @type {Element} */\n const result = {type: 'element', tagName: 'br', properties: {}, children: []}\n state.patch(node, result)\n return [state.applyData(node, result), {type: 'text', value: '\\n'}]\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('mdast').Code} Code\n * @typedef {import('../state.js').State} State\n\n */\n\n/**\n * Turn an mdast `code` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Code} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function code(state, node) {\n const value = node.value ? node.value + '\\n' : ''\n // To do: next major, use `node.lang` w/o regex, the splitting’s been going\n // on for years in remark now.\n const lang = node.lang ? node.lang.match(/^[^ \\t]+(?=[ \\t]|$)/) : null\n /** @type {Properties} */\n const properties = {}\n\n if (lang) {\n properties.className = ['language-' + lang]\n }\n\n // Create `<code>`.\n /** @type {Element} */\n let result = {\n type: 'element',\n tagName: 'code',\n properties,\n children: [{type: 'text', value}]\n }\n\n if (node.meta) {\n result.data = {meta: node.meta}\n }\n\n state.patch(node, result)\n result = state.applyData(node, result)\n\n // Create `<pre>`.\n result = {type: 'element', tagName: 'pre', properties: {}, children: [result]}\n state.patch(node, result)\n return result\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Delete} Delete\n * @typedef {import('../state.js').State} State\n\n */\n\n/**\n * Turn an mdast `delete` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Delete} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function strikethrough(state, node) {\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'del',\n properties: {},\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Emphasis} Emphasis\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `emphasis` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Emphasis} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function emphasis(state, node) {\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'em',\n properties: {},\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Footnote} Footnote\n * @typedef {import('../state.js').State} State\n */\n\nimport {footnoteReference} from './footnote-reference.js'\n\n// To do: when both:\n// * <https://github.com/micromark/micromark-extension-footnote>\n// * <https://github.com/syntax-tree/mdast-util-footnote>\n// …are archived, remove this (also from mdast).\n// These inline notes are not used in GFM.\n\n/**\n * Turn an mdast `footnote` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Footnote} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function footnote(state, node) {\n const footnoteById = state.footnoteById\n let no = 1\n\n while (no in footnoteById) no++\n\n const identifier = String(no)\n\n footnoteById[identifier] = {\n type: 'footnoteDefinition',\n identifier,\n children: [{type: 'paragraph', children: node.children}],\n position: node.position\n }\n\n return footnoteReference(state, {\n type: 'footnoteReference',\n identifier,\n position: node.position\n })\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Heading} Heading\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `heading` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Heading} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function heading(state, node) {\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'h' + node.depth,\n properties: {},\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').HTML} Html\n * @typedef {import('../state.js').State} State\n * @typedef {import('../../index.js').Raw} Raw\n */\n\n/**\n * Turn an mdast `html` node into hast (`raw` node in dangerous mode, otherwise\n * nothing).\n *\n * @param {State} state\n * Info passed around.\n * @param {Html} node\n * mdast node.\n * @returns {Raw | Element | null}\n * hast node.\n */\nexport function html(state, node) {\n if (state.dangerous) {\n /** @type {Raw} */\n const result = {type: 'raw', value: node.value}\n state.patch(node, result)\n return state.applyData(node, result)\n }\n\n // To do: next major: return `undefined`.\n return null\n}\n","/**\n * @typedef {import('hast').ElementContent} ElementContent\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('mdast').ImageReference} ImageReference\n * @typedef {import('../state.js').State} State\n */\n\nimport {normalizeUri} from 'micromark-util-sanitize-uri'\nimport {revert} from '../revert.js'\n\n/**\n * Turn an mdast `imageReference` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {ImageReference} node\n * mdast node.\n * @returns {ElementContent | Array<ElementContent>}\n * hast node.\n */\nexport function imageReference(state, node) {\n const def = state.definition(node.identifier)\n\n if (!def) {\n return revert(state, node)\n }\n\n /** @type {Properties} */\n const properties = {src: normalizeUri(def.url || ''), alt: node.alt}\n\n if (def.title !== null && def.title !== undefined) {\n properties.title = def.title\n }\n\n /** @type {Element} */\n const result = {type: 'element', tagName: 'img', properties, children: []}\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('mdast').Image} Image\n * @typedef {import('../state.js').State} State\n */\n\nimport {normalizeUri} from 'micromark-util-sanitize-uri'\n\n/**\n * Turn an mdast `image` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Image} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function image(state, node) {\n /** @type {Properties} */\n const properties = {src: normalizeUri(node.url)}\n\n if (node.alt !== null && node.alt !== undefined) {\n properties.alt = node.alt\n }\n\n if (node.title !== null && node.title !== undefined) {\n properties.title = node.title\n }\n\n /** @type {Element} */\n const result = {type: 'element', tagName: 'img', properties, children: []}\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Text} Text\n * @typedef {import('mdast').InlineCode} InlineCode\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `inlineCode` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {InlineCode} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function inlineCode(state, node) {\n /** @type {Text} */\n const text = {type: 'text', value: node.value.replace(/\\r?\\n|\\r/g, ' ')}\n state.patch(node, text)\n\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'code',\n properties: {},\n children: [text]\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').ElementContent} ElementContent\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('mdast').LinkReference} LinkReference\n * @typedef {import('../state.js').State} State\n */\n\nimport {normalizeUri} from 'micromark-util-sanitize-uri'\nimport {revert} from '../revert.js'\n\n/**\n * Turn an mdast `linkReference` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {LinkReference} node\n * mdast node.\n * @returns {ElementContent | Array<ElementContent>}\n * hast node.\n */\nexport function linkReference(state, node) {\n const def = state.definition(node.identifier)\n\n if (!def) {\n return revert(state, node)\n }\n\n /** @type {Properties} */\n const properties = {href: normalizeUri(def.url || '')}\n\n if (def.title !== null && def.title !== undefined) {\n properties.title = def.title\n }\n\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'a',\n properties,\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('mdast').Link} Link\n * @typedef {import('../state.js').State} State\n */\n\nimport {normalizeUri} from 'micromark-util-sanitize-uri'\n\n/**\n * Turn an mdast `link` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Link} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function link(state, node) {\n /** @type {Properties} */\n const properties = {href: normalizeUri(node.url)}\n\n if (node.title !== null && node.title !== undefined) {\n properties.title = node.title\n }\n\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'a',\n properties,\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('mdast').List} List\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `list` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {List} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function list(state, node) {\n /** @type {Properties} */\n const properties = {}\n const results = state.all(node)\n let index = -1\n\n if (typeof node.start === 'number' && node.start !== 1) {\n properties.start = node.start\n }\n\n // Like GitHub, add a class for custom styling.\n while (++index < results.length) {\n const child = results[index]\n\n if (\n child.type === 'element' &&\n child.tagName === 'li' &&\n child.properties &&\n Array.isArray(child.properties.className) &&\n child.properties.className.includes('task-list-item')\n ) {\n properties.className = ['contains-task-list']\n break\n }\n }\n\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: node.ordered ? 'ol' : 'ul',\n properties,\n children: state.wrap(results, true)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Paragraph} Paragraph\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `paragraph` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Paragraph} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function paragraph(state, node) {\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'p',\n properties: {},\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Root} HastRoot\n * @typedef {import('hast').Element} HastElement\n * @typedef {import('mdast').Root} MdastRoot\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `root` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {MdastRoot} node\n * mdast node.\n * @returns {HastRoot | HastElement}\n * hast node.\n */\nexport function root(state, node) {\n /** @type {HastRoot} */\n const result = {type: 'root', children: state.wrap(state.all(node))}\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Strong} Strong\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `strong` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Strong} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function strong(state, node) {\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'strong',\n properties: {},\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').Table} Table\n * @typedef {import('../state.js').State} State\n */\n\nimport {pointStart, pointEnd} from 'unist-util-position'\n\n/**\n * Turn an mdast `table` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {Table} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function table(state, node) {\n const rows = state.all(node)\n const firstRow = rows.shift()\n /** @type {Array<Element>} */\n const tableContent = []\n\n if (firstRow) {\n /** @type {Element} */\n const head = {\n type: 'element',\n tagName: 'thead',\n properties: {},\n children: state.wrap([firstRow], true)\n }\n state.patch(node.children[0], head)\n tableContent.push(head)\n }\n\n if (rows.length > 0) {\n /** @type {Element} */\n const body = {\n type: 'element',\n tagName: 'tbody',\n properties: {},\n children: state.wrap(rows, true)\n }\n\n const start = pointStart(node.children[1])\n const end = pointEnd(node.children[node.children.length - 1])\n if (start.line && end.line) body.position = {start, end}\n tableContent.push(body)\n }\n\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'table',\n properties: {},\n children: state.wrap(tableContent, true)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').TableCell} TableCell\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `tableCell` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {TableCell} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function tableCell(state, node) {\n // Note: this function is normally not called: see `table-row` for how rows\n // and their cells are compiled.\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'td', // Assume body cell.\n properties: {},\n children: state.all(node)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').ElementContent} ElementContent\n * @typedef {import('mdast').Content} Content\n * @typedef {import('mdast').Parent} Parent\n * @typedef {import('mdast').Root} Root\n * @typedef {import('mdast').TableRow} TableRow\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * @typedef {Root | Content} Nodes\n * @typedef {Extract<Nodes, Parent>} Parents\n */\n\n/**\n * Turn an mdast `tableRow` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {TableRow} node\n * mdast node.\n * @param {Parents | null | undefined} parent\n * Parent of `node`.\n * @returns {Element}\n * hast node.\n */\nexport function tableRow(state, node, parent) {\n const siblings = parent ? parent.children : undefined\n // Generate a body row when without parent.\n const rowIndex = siblings ? siblings.indexOf(node) : 1\n const tagName = rowIndex === 0 ? 'th' : 'td'\n const align = parent && parent.type === 'table' ? parent.align : undefined\n const length = align ? align.length : node.children.length\n let cellIndex = -1\n /** @type {Array<ElementContent>} */\n const cells = []\n\n while (++cellIndex < length) {\n // Note: can also be undefined.\n const cell = node.children[cellIndex]\n /** @type {Properties} */\n const properties = {}\n const alignValue = align ? align[cellIndex] : undefined\n\n if (alignValue) {\n properties.align = alignValue\n }\n\n /** @type {Element} */\n let result = {type: 'element', tagName, properties, children: []}\n\n if (cell) {\n result.children = state.all(cell)\n state.patch(cell, result)\n result = state.applyData(node, result)\n }\n\n cells.push(result)\n }\n\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'tr',\n properties: {},\n children: state.wrap(cells, true)\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} HastElement\n * @typedef {import('hast').Text} HastText\n * @typedef {import('mdast').Text} MdastText\n * @typedef {import('../state.js').State} State\n */\n\nimport {trimLines} from 'trim-lines'\n\n/**\n * Turn an mdast `text` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {MdastText} node\n * mdast node.\n * @returns {HastText | HastElement}\n * hast node.\n */\nexport function text(state, node) {\n /** @type {HastText} */\n const result = {type: 'text', value: trimLines(String(node.value))}\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('mdast').ThematicBreak} ThematicBreak\n * @typedef {import('../state.js').State} State\n */\n\n/**\n * Turn an mdast `thematicBreak` node into hast.\n *\n * @param {State} state\n * Info passed around.\n * @param {ThematicBreak} node\n * mdast node.\n * @returns {Element}\n * hast node.\n */\nexport function thematicBreak(state, node) {\n /** @type {Element} */\n const result = {\n type: 'element',\n tagName: 'hr',\n properties: {},\n children: []\n }\n state.patch(node, result)\n return state.applyData(node, result)\n}\n","/**\n * @typedef {import('hast').Content} HastContent\n * @typedef {import('hast').Element} HastElement\n * @typedef {import('hast').ElementContent} HastElementContent\n * @typedef {import('hast').Properties} HastProperties\n * @typedef {import('hast').Root} HastRoot\n * @typedef {import('hast').Text} HastText\n *\n * @typedef {import('mdast').Content} MdastContent\n * @typedef {import('mdast').Definition} MdastDefinition\n * @typedef {import('mdast').FootnoteDefinition} MdastFootnoteDefinition\n * @typedef {import('mdast').Parent} MdastParent\n * @typedef {import('mdast').Root} MdastRoot\n */\n\n/**\n * @typedef {HastRoot | HastContent} HastNodes\n * @typedef {MdastRoot | MdastContent} MdastNodes\n * @typedef {Extract<MdastNodes, MdastParent>} MdastParents\n *\n * @typedef EmbeddedHastFields\n * hast fields.\n * @property {string | null | undefined} [hName]\n * Generate a specific element with this tag name instead.\n * @property {HastProperties | null | undefined} [hProperties]\n * Generate an element with these properties instead.\n * @property {Array<HastElementContent> | null | undefined} [hChildren]\n * Generate an element with this content instead.\n *\n * @typedef {Record<string, unknown> & EmbeddedHastFields} MdastData\n * mdast data with embedded hast fields.\n *\n * @typedef {MdastNodes & {data?: MdastData | null | undefined}} MdastNodeWithData\n * mdast node with embedded hast data.\n *\n * @typedef PointLike\n * Point-like value.\n * @property {number | null | undefined} [line]\n * Line.\n * @property {number | null | undefined} [column]\n * Column.\n * @property {number | null | undefined} [offset]\n * Offset.\n *\n * @typedef PositionLike\n * Position-like value.\n * @property {PointLike | null | undefined} [start]\n * Point-like value.\n * @property {PointLike | null | undefined} [end]\n * Point-like value.\n *\n * @callback Handler\n * Handle a node.\n * @param {State} state\n * Info passed around.\n * @param {any} node\n * mdast node to handle.\n * @param {MdastParents | null | undefined} parent\n * Parent of `node`.\n * @returns {HastElementContent | Array<HastElementContent> | null | undefined}\n * hast node.\n *\n * @callback HFunctionProps\n * Signature of `state` for when props are passed.\n * @param {MdastNodes | PositionLike | null | undefined} node\n * mdast node or unist position.\n * @param {string} tagName\n * HTML tag name.\n * @param {HastProperties} props\n * Properties.\n * @param {Array<HastElementContent> | null | undefined} [children]\n * hast content.\n * @returns {HastElement}\n * Compiled element.\n *\n * @callback HFunctionNoProps\n * Signature of `state` for when no props are passed.\n * @param {MdastNodes | PositionLike | null | undefined} node\n * mdast node or unist position.\n * @param {string} tagName\n * HTML tag name.\n * @param {Array<HastElementContent> | null | undefined} [children]\n * hast content.\n * @returns {HastElement}\n * Compiled element.\n *\n * @typedef HFields\n * Info on `state`.\n * @property {boolean} dangerous\n * Whether HTML is allowed.\n * @property {string} clobberPrefix\n * Prefix to use to prevent DOM clobbering.\n * @property {string} footnoteLabel\n * Label to use to introduce the footnote section.\n * @property {string} footnoteLabelTagName\n * HTML used for the footnote label.\n * @property {HastProperties} footnoteLabelProperties\n * Properties on the HTML tag used for the footnote label.\n * @property {string} footnoteBackLabel\n * Label to use from backreferences back to their footnote call.\n * @property {(identifier: string) => MdastDefinition | null} definition\n * Definition cache.\n * @property {Record<string, MdastFootnoteDefinition>} footnoteById\n * Footnote definitions by their identifier.\n * @property {Array<string>} footnoteOrder\n * Identifiers of order when footnote calls first appear in tree order.\n * @property {Record<string, number>} footnoteCounts\n * Counts for how often the same footnote was called.\n * @property {Handlers} handlers\n * Applied handlers.\n * @property {Handler} unknownHandler\n * Handler for any none not in `passThrough` or otherwise handled.\n * @property {(from: MdastNodes, node: HastNodes) => void} patch\n * Copy a node’s positional info.\n * @property {<Type extends HastNodes>(from: MdastNodes, to: Type) => Type | HastElement} applyData\n * Honor the `data` of `from`, and generate an element instead of `node`.\n * @property {(node: MdastNodes, parent: MdastParents | null | undefined) => HastElementContent | Array<HastElementContent> | null | undefined} one\n * Transform an mdast node to hast.\n * @property {(node: MdastNodes) => Array<HastElementContent>} all\n * Transform the children of an mdast parent to hast.\n * @property {<Type extends HastContent>(nodes: Array<Type>, loose?: boolean | null | undefined) => Array<Type | HastText>} wrap\n * Wrap `nodes` with line endings between each node, adds initial/final line endings when `loose`.\n * @property {(left: MdastNodeWithData | PositionLike | null | undefined, right: HastElementContent) => HastElementContent} augment\n * Like `state` but lower-level and usable on non-elements.\n * Deprecated: use `patch` and `applyData`.\n * @property {Array<string>} passThrough\n * List of node types to pass through untouched (except for their children).\n *\n * @typedef Options\n * Configuration (optional).\n * @property {boolean | null | undefined} [allowDangerousHtml=false]\n * Whether to persist raw HTML in markdown in the hast tree.\n * @property {string | null | undefined} [clobberPrefix='user-content-']\n * Prefix to use before the `id` attribute on footnotes to prevent it from\n * *clobbering*.\n * @property {string | null | undefined} [footnoteBackLabel='Back to content']\n * Label to use from backreferences back to their footnote call (affects\n * screen readers).\n * @property {string | null | undefined} [footnoteLabel='Footnotes']\n * Label to use for the footnotes section (affects screen readers).\n * @property {HastProperties | null | undefined} [footnoteLabelProperties={className: ['sr-only']}]\n * Properties to use on the footnote label (note that `id: 'footnote-label'`\n * is always added as footnote calls use it with `aria-describedby` to\n * provide an accessible label).\n * @property {string | null | undefined} [footnoteLabelTagName='h2']\n * Tag name to use for the footnote label.\n * @property {Handlers | null | undefined} [handlers]\n * Extra handlers for nodes.\n * @property {Array<string> | null | undefined} [passThrough]\n * List of custom mdast node types to pass through (keep) in hast (note that\n * the node itself is passed, but eventual children are transformed).\n * @property {Handler | null | undefined} [unknownHandler]\n * Handler for all unknown nodes.\n *\n * @typedef {Record<string, Handler>} Handlers\n * Handle nodes.\n *\n * @typedef {HFunctionProps & HFunctionNoProps & HFields} State\n * Info passed around.\n */\n\nimport {visit} from 'unist-util-visit'\nimport {position, pointStart, pointEnd} from 'unist-util-position'\nimport {generated} from 'unist-util-generated'\nimport {definitions} from 'mdast-util-definitions'\nimport {handlers} from './handlers/index.js'\n\nconst own = {}.hasOwnProperty\n\n/**\n * Create `state` from an mdast tree.\n *\n * @param {MdastNodes} tree\n * mdast node to transform.\n * @param {Options | null | undefined} [options]\n * Configuration.\n * @returns {State}\n * `state` function.\n */\nexport function createState(tree, options) {\n const settings = options || {}\n const dangerous = settings.allowDangerousHtml || false\n /** @type {Record<string, MdastFootnoteDefinition>} */\n const footnoteById = {}\n\n // To do: next major: add `options` to state, remove:\n // `dangerous`, `clobberPrefix`, `footnoteLabel`, `footnoteLabelTagName`,\n // `footnoteLabelProperties`, `footnoteBackLabel`, `passThrough`,\n // `unknownHandler`.\n\n // To do: next major: move to `state.options.allowDangerousHtml`.\n state.dangerous = dangerous\n // To do: next major: move to `state.options`.\n state.clobberPrefix =\n settings.clobberPrefix === undefined || settings.clobberPrefix === null\n ? 'user-content-'\n : settings.clobberPrefix\n // To do: next major: move to `state.options`.\n state.footnoteLabel = settings.footnoteLabel || 'Footnotes'\n // To do: next major: move to `state.options`.\n state.footnoteLabelTagName = settings.footnoteLabelTagName || 'h2'\n // To do: next major: move to `state.options`.\n state.footnoteLabelProperties = settings.footnoteLabelProperties || {\n className: ['sr-only']\n }\n // To do: next major: move to `state.options`.\n state.footnoteBackLabel = settings.footnoteBackLabel || 'Back to content'\n // To do: next major: move to `state.options`.\n state.unknownHandler = settings.unknownHandler\n // To do: next major: move to `state.options`.\n state.passThrough = settings.passThrough\n\n state.handlers = {...handlers, ...settings.handlers}\n\n // To do: next major: replace utility with `definitionById` object, so we\n // only walk once (as we need footnotes too).\n state.definition = definitions(tree)\n state.footnoteById = footnoteById\n /** @type {Array<string>} */\n state.footnoteOrder = []\n /** @type {Record<string, number>} */\n state.footnoteCounts = {}\n\n state.patch = patch\n state.applyData = applyData\n state.one = oneBound\n state.all = allBound\n state.wrap = wrap\n // To do: next major: remove `augment`.\n state.augment = augment\n\n visit(tree, 'footnoteDefinition', (definition) => {\n const id = String(definition.identifier).toUpperCase()\n\n // Mimick CM behavior of link definitions.\n // See: <https://github.com/syntax-tree/mdast-util-definitions/blob/8290999/index.js#L26>.\n if (!own.call(footnoteById, id)) {\n footnoteById[id] = definition\n }\n })\n\n // @ts-expect-error Hush, it’s fine!\n return state\n\n /**\n * Finalise the created `right`, a hast node, from `left`, an mdast node.\n *\n * @param {MdastNodeWithData | PositionLike | null | undefined} left\n * @param {HastElementContent} right\n * @returns {HastElementContent}\n */\n /* c8 ignore start */\n // To do: next major: remove.\n function augment(left, right) {\n // Handle `data.hName`, `data.hProperties, `data.hChildren`.\n if (left && 'data' in left && left.data) {\n /** @type {MdastData} */\n const data = left.data\n\n if (data.hName) {\n if (right.type !== 'element') {\n right = {\n type: 'element',\n tagName: '',\n properties: {},\n children: []\n }\n }\n\n right.tagName = data.hName\n }\n\n if (right.type === 'element' && data.hProperties) {\n right.properties = {...right.properties, ...data.hProperties}\n }\n\n if ('children' in right && right.children && data.hChildren) {\n right.children = data.hChildren\n }\n }\n\n if (left) {\n const ctx = 'type' in left ? left : {position: left}\n\n if (!generated(ctx)) {\n // @ts-expect-error: fine.\n right.position = {start: pointStart(ctx), end: pointEnd(ctx)}\n }\n }\n\n return right\n }\n /* c8 ignore stop */\n\n /**\n * Create an element for `node`.\n *\n * @type {HFunctionProps}\n */\n /* c8 ignore start */\n // To do: next major: remove.\n function state(node, tagName, props, children) {\n if (Array.isArray(props)) {\n children = props\n props = {}\n }\n\n // @ts-expect-error augmenting an element yields an element.\n return augment(node, {\n type: 'element',\n tagName,\n properties: props || {},\n children: children || []\n })\n }\n /* c8 ignore stop */\n\n /**\n * Transform an mdast node into a hast node.\n *\n * @param {MdastNodes} node\n * mdast node.\n * @param {MdastParents | null | undefined} [parent]\n * Parent of `node`.\n * @returns {HastElementContent | Array<HastElementContent> | null | undefined}\n * Resulting hast node.\n */\n function oneBound(node, parent) {\n // @ts-expect-error: that’s a state :)\n return one(state, node, parent)\n }\n\n /**\n * Transform the children of an mdast node into hast nodes.\n *\n * @param {MdastNodes} parent\n * mdast node to compile\n * @returns {Array<HastElementContent>}\n * Resulting hast nodes.\n */\n function allBound(parent) {\n // @ts-expect-error: that’s a state :)\n return all(state, parent)\n }\n}\n\n/**\n * Copy a node’s positional info.\n *\n * @param {MdastNodes} from\n * mdast node to copy from.\n * @param {HastNodes} to\n * hast node to copy into.\n * @returns {void}\n * Nothing.\n */\nfunction patch(from, to) {\n if (from.position) to.position = position(from)\n}\n\n/**\n * Honor the `data` of `from` and maybe generate an element instead of `to`.\n *\n * @template {HastNodes} Type\n * Node type.\n * @param {MdastNodes} from\n * mdast node to use data from.\n * @param {Type} to\n * hast node to change.\n * @returns {Type | HastElement}\n * Nothing.\n */\nfunction applyData(from, to) {\n /** @type {Type | HastElement} */\n let result = to\n\n // Handle `data.hName`, `data.hProperties, `data.hChildren`.\n if (from && from.data) {\n const hName = from.data.hName\n const hChildren = from.data.hChildren\n const hProperties = from.data.hProperties\n\n if (typeof hName === 'string') {\n // Transforming the node resulted in an element with a different name\n // than wanted:\n if (result.type === 'element') {\n result.tagName = hName\n }\n // Transforming the node resulted in a non-element, which happens for\n // raw, text, and root nodes (unless custom handlers are passed).\n // The intent is likely to keep the content around (otherwise: pass\n // `hChildren`).\n else {\n result = {\n type: 'element',\n tagName: hName,\n properties: {},\n children: []\n }\n\n // To do: next major: take the children from the `root`, or inject the\n // raw/text/comment or so into the element?\n // if ('children' in node) {\n // // @ts-expect-error: assume `children` are allowed in elements.\n // result.children = node.children\n // } else {\n // // @ts-expect-error: assume `node` is allowed in elements.\n // result.children.push(node)\n // }\n }\n }\n\n if (result.type === 'element' && hProperties) {\n result.properties = {...result.properties, ...hProperties}\n }\n\n if (\n 'children' in result &&\n result.children &&\n hChildren !== null &&\n hChildren !== undefined\n ) {\n // @ts-expect-error: assume valid children are defined.\n result.children = hChildren\n }\n }\n\n return result\n}\n\n/**\n * Transform an mdast node into a hast node.\n *\n * @param {State} state\n * Info passed around.\n * @param {MdastNodes} node\n * mdast node.\n * @param {MdastParents | null | undefined} [parent]\n * Parent of `node`.\n * @returns {HastElementContent | Array<HastElementContent> | null | undefined}\n * Resulting hast node.\n */\n// To do: next major: do not expose, keep bound.\nexport function one(state, node, parent) {\n const type = node && node.type\n\n // Fail on non-nodes.\n if (!type) {\n throw new Error('Expected node, got `' + node + '`')\n }\n\n if (own.call(state.handlers, type)) {\n return state.handlers[type](state, node, parent)\n }\n\n if (state.passThrough && state.passThrough.includes(type)) {\n // To do: next major: deep clone.\n // @ts-expect-error: types of passed through nodes are expected to be added manually.\n return 'children' in node ? {...node, children: all(state, node)} : node\n }\n\n if (state.unknownHandler) {\n return state.unknownHandler(state, node, parent)\n }\n\n return defaultUnknownHandler(state, node)\n}\n\n/**\n * Transform the children of an mdast node into hast nodes.\n *\n * @param {State} state\n * Info passed around.\n * @param {MdastNodes} parent\n * mdast node to compile\n * @returns {Array<HastElementContent>}\n * Resulting hast nodes.\n */\n// To do: next major: do not expose, keep bound.\nexport function all(state, parent) {\n /** @type {Array<HastElementContent>} */\n const values = []\n\n if ('children' in parent) {\n const nodes = parent.children\n let index = -1\n while (++index < nodes.length) {\n const result = one(state, nodes[index], parent)\n\n // To do: see if we van clean this? Can we merge texts?\n if (result) {\n if (index && nodes[index - 1].type === 'break') {\n if (!Array.isArray(result) && result.type === 'text') {\n result.value = result.value.replace(/^\\s+/, '')\n }\n\n if (!Array.isArray(result) && result.type === 'element') {\n const head = result.children[0]\n\n if (head && head.type === 'text') {\n head.value = head.value.replace(/^\\s+/, '')\n }\n }\n }\n\n if (Array.isArray(result)) {\n values.push(...result)\n } else {\n values.push(result)\n }\n }\n }\n }\n\n return values\n}\n\n/**\n * Transform an unknown node.\n *\n * @param {State} state\n * Info passed around.\n * @param {MdastNodes} node\n * Unknown mdast node.\n * @returns {HastText | HastElement}\n * Resulting hast node.\n */\nfunction defaultUnknownHandler(state, node) {\n const data = node.data || {}\n /** @type {HastText | HastElement} */\n const result =\n 'value' in node &&\n !(own.call(data, 'hProperties') || own.call(data, 'hChildren'))\n ? {type: 'text', value: node.value}\n : {\n type: 'element',\n tagName: 'div',\n properties: {},\n children: all(state, node)\n }\n\n state.patch(node, result)\n return state.applyData(node, result)\n}\n\n/**\n * Wrap `nodes` with line endings between each node.\n *\n * @template {HastContent} Type\n * Node type.\n * @param {Array<Type>} nodes\n * List of nodes to wrap.\n * @param {boolean | null | undefined} [loose=false]\n * Whether to add line endings at start and end.\n * @returns {Array<Type | HastText>}\n * Wrapped nodes.\n */\nexport function wrap(nodes, loose) {\n /** @type {Array<Type | HastText>} */\n const result = []\n let index = -1\n\n if (loose) {\n result.push({type: 'text', value: '\\n'})\n }\n\n while (++index < nodes.length) {\n if (index) result.push({type: 'text', value: '\\n'})\n result.push(nodes[index])\n }\n\n if (loose && nodes.length > 0) {\n result.push({type: 'text', value: '\\n'})\n }\n\n return result\n}\n","/**\n * @typedef PointLike\n * @property {number | null | undefined} [line]\n * @property {number | null | undefined} [column]\n * @property {number | null | undefined} [offset]\n *\n * @typedef PositionLike\n * @property {PointLike | null | undefined} [start]\n * @property {PointLike | null | undefined} [end]\n *\n * @typedef NodeLike\n * @property {PositionLike | null | undefined} [position]\n */\n\n/**\n * Check if `node` is generated.\n *\n * @param {NodeLike | null | undefined} [node]\n * Node to check.\n * @returns {boolean}\n * Whether `node` is generated (does not have positional info).\n */\nexport function generated(node) {\n return (\n !node ||\n !node.position ||\n !node.position.start ||\n !node.position.start.line ||\n !node.position.start.column ||\n !node.position.end ||\n !node.position.end.line ||\n !node.position.end.column\n )\n}\n","/**\n * @typedef {import('hast').Content} HastContent\n * @typedef {import('hast').Root} HastRoot\n *\n * @typedef {import('mdast').Content} MdastContent\n * @typedef {import('mdast').Root} MdastRoot\n *\n * @typedef {import('./state.js').Options} Options\n */\n\n/**\n * @typedef {HastRoot | HastContent} HastNodes\n * @typedef {MdastRoot | MdastContent} MdastNodes\n */\n\nimport {footer} from './footer.js'\nimport {createState} from './state.js'\n\n/**\n * Transform mdast to hast.\n *\n * ##### Notes\n *\n * ###### HTML\n *\n * Raw HTML is available in mdast as `html` nodes and can be embedded in hast\n * as semistandard `raw` nodes.\n * Most utilities ignore `raw` nodes but two notable ones don’t:\n *\n * * `hast-util-to-html` also has an option `allowDangerousHtml` which will\n * output the raw HTML.\n * This is typically discouraged as noted by the option name but is useful\n * if you completely trust authors\n * * `hast-util-raw` can handle the raw embedded HTML strings by parsing them\n * into standard hast nodes (`element`, `text`, etc).\n * This is a heavy task as it needs a full HTML parser, but it is the only\n * way to support untrusted content\n *\n * ###### Footnotes\n *\n * Many options supported here relate to footnotes.\n * Footnotes are not specified by CommonMark, which we follow by default.\n * They are supported by GitHub, so footnotes can be enabled in markdown with\n * `mdast-util-gfm`.\n *\n * The options `footnoteBackLabel` and `footnoteLabel` define natural language\n * that explains footnotes, which is hidden for sighted users but shown to\n * assistive technology.\n * When your page is not in English, you must define translated values.\n *\n * Back references use ARIA attributes, but the section label itself uses a\n * heading that is hidden with an `sr-only` class.\n * To show it to sighted users, define different attributes in\n * `footnoteLabelProperties`.\n *\n * ###### Clobbering\n *\n * Footnotes introduces a problem, as it links footnote calls to footnote\n * definitions on the page through `id` attributes generated from user content,\n * which results in DOM clobbering.\n *\n * DOM clobbering is this:\n *\n * ```html\n * <p id=x></p>\n * <script>alert(x) // `x` now refers to the DOM `p#x` element</script>\n * ```\n *\n * Elements by their ID are made available by browsers on the `window` object,\n * which is a security risk.\n * Using a prefix solves this problem.\n *\n * More information on how to handle clobbering and the prefix is explained in\n * Example: headings (DOM clobbering) in `rehype-sanitize`.\n *\n * ###### Unknown nodes\n *\n * Unknown nodes are nodes with a type that isn’t in `handlers` or `passThrough`.\n * The default behavior for unknown nodes is:\n *\n * * when the node has a `value` (and doesn’t have `data.hName`,\n * `data.hProperties`, or `data.hChildren`, see later), create a hast `text`\n * node\n * * otherwise, create a `<div>` element (which could be changed with\n * `data.hName`), with its children mapped from mdast to hast as well\n *\n * This behavior can be changed by passing an `unknownHandler`.\n *\n * @param {MdastNodes} tree\n * mdast tree.\n * @param {Options | null | undefined} [options]\n * Configuration.\n * @returns {HastNodes | null | undefined}\n * hast tree.\n */\n// To do: next major: always return a single `root`.\nexport function toHast(tree, options) {\n const state = createState(tree, options)\n const node = state.one(tree, null)\n const foot = footer(state)\n\n if (foot) {\n // @ts-expect-error If there’s a footer, there were definitions, meaning block\n // content.\n // So assume `node` is a parent node.\n node.children.push({type: 'text', value: '\\n'}, foot)\n }\n\n // To do: next major: always return root?\n return Array.isArray(node) ? {type: 'root', children: node} : node\n}\n","/**\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').ElementContent} ElementContent\n *\n * @typedef {import('./state.js').State} State\n */\n\nimport {normalizeUri} from 'micromark-util-sanitize-uri'\n\n/**\n * Generate a hast footer for called footnote definitions.\n *\n * @param {State} state\n * Info passed around.\n * @returns {Element | undefined}\n * `section` element or `undefined`.\n */\nexport function footer(state) {\n /** @type {Array<ElementContent>} */\n const listItems = []\n let index = -1\n\n while (++index < state.footnoteOrder.length) {\n const def = state.footnoteById[state.footnoteOrder[index]]\n\n if (!def) {\n continue\n }\n\n const content = state.all(def)\n const id = String(def.identifier).toUpperCase()\n const safeId = normalizeUri(id.toLowerCase())\n let referenceIndex = 0\n /** @type {Array<ElementContent>} */\n const backReferences = []\n\n while (++referenceIndex <= state.footnoteCounts[id]) {\n /** @type {Element} */\n const backReference = {\n type: 'element',\n tagName: 'a',\n properties: {\n href:\n '#' +\n state.clobberPrefix +\n 'fnref-' +\n safeId +\n (referenceIndex > 1 ? '-' + referenceIndex : ''),\n dataFootnoteBackref: true,\n className: ['data-footnote-backref'],\n ariaLabel: state.footnoteBackLabel\n },\n children: [{type: 'text', value: '↩'}]\n }\n\n if (referenceIndex > 1) {\n backReference.children.push({\n type: 'element',\n tagName: 'sup',\n children: [{type: 'text', value: String(referenceIndex)}]\n })\n }\n\n if (backReferences.length > 0) {\n backReferences.push({type: 'text', value: ' '})\n }\n\n backReferences.push(backReference)\n }\n\n const tail = content[content.length - 1]\n\n if (tail && tail.type === 'element' && tail.tagName === 'p') {\n const tailTail = tail.children[tail.children.length - 1]\n if (tailTail && tailTail.type === 'text') {\n tailTail.value += ' '\n } else {\n tail.children.push({type: 'text', value: ' '})\n }\n\n tail.children.push(...backReferences)\n } else {\n content.push(...backReferences)\n }\n\n /** @type {Element} */\n const listItem = {\n type: 'element',\n tagName: 'li',\n properties: {id: state.clobberPrefix + 'fn-' + safeId},\n children: state.wrap(content, true)\n }\n\n state.patch(def, listItem)\n\n listItems.push(listItem)\n }\n\n if (listItems.length === 0) {\n return\n }\n\n return {\n type: 'element',\n tagName: 'section',\n properties: {dataFootnotes: true, className: ['footnotes']},\n children: [\n {\n type: 'element',\n tagName: state.footnoteLabelTagName,\n properties: {\n // To do: use structured clone.\n ...JSON.parse(JSON.stringify(state.footnoteLabelProperties)),\n id: 'footnote-label'\n },\n children: [{type: 'text', value: state.footnoteLabel}]\n },\n {type: 'text', value: '\\n'},\n {\n type: 'element',\n tagName: 'ol',\n properties: {},\n children: state.wrap(listItems, true)\n },\n {type: 'text', value: '\\n'}\n ]\n }\n}\n","/**\n * @typedef {import('hast').Root} HastRoot\n * @typedef {import('mdast').Root} MdastRoot\n * @typedef {import('mdast-util-to-hast').Options} Options\n * @typedef {import('unified').Processor<any, any, any, any>} Processor\n *\n * @typedef {import('mdast-util-to-hast')} DoNotTouchAsThisImportIncludesRawInTree\n */\n\nimport {toHast} from 'mdast-util-to-hast'\n\n// Note: the `<MdastRoot, HastRoot>` overload doesn’t seem to work :'(\n\n/**\n * Plugin that turns markdown into HTML to support rehype.\n *\n * * If a destination processor is given, that processor runs with a new HTML\n * (hast) tree (bridge-mode).\n * As the given processor runs with a hast tree, and rehype plugins support\n * hast, that means rehype plugins can be used with the given processor.\n * The hast tree is discarded in the end.\n * It’s highly unlikely that you want to do this.\n * * The common case is to not pass a destination processor, in which case the\n * current processor continues running with a new HTML (hast) tree\n * (mutate-mode).\n * As the current processor continues with a hast tree, and rehype plugins\n * support hast, that means rehype plugins can be used after\n * `remark-rehype`.\n * It’s likely that this is what you want to do.\n *\n * @param destination\n * Optional unified processor.\n * @param options\n * Options passed to `mdast-util-to-hast`.\n */\nconst remarkRehype =\n /** @type {(import('unified').Plugin<[Processor, Options?]|[null|undefined, Options?]|[Options]|[], MdastRoot>)} */\n (\n function (destination, options) {\n return destination && 'run' in destination\n ? bridge(destination, options)\n : mutate(destination || options)\n }\n )\n\nexport default remarkRehype\n\n/**\n * Bridge-mode.\n * Runs the destination with the new hast tree.\n *\n * @type {import('unified').Plugin<[Processor, Options?], MdastRoot>}\n */\nfunction bridge(destination, options) {\n return (node, file, next) => {\n destination.run(toHast(node, options), file, (error) => {\n next(error)\n })\n }\n}\n\n/**\n * Mutate-mode.\n * Further plugins run on the hast tree.\n *\n * @type {import('unified').Plugin<[Options?]|void[], MdastRoot, HastRoot>}\n */\nfunction mutate(options) {\n // @ts-expect-error: assume a corresponding node is returned by `toHast`.\n return (node) => toHast(node, options)\n}\n","/**\n * @typedef {import('./info.js').Info} Info\n * @typedef {Record<string, Info>} Properties\n * @typedef {Record<string, string>} Normal\n */\n\nexport class Schema {\n /**\n * @constructor\n * @param {Properties} property\n * @param {Normal} normal\n * @param {string} [space]\n */\n constructor(property, normal, space) {\n this.property = property\n this.normal = normal\n if (space) {\n this.space = space\n }\n }\n}\n\n/** @type {Properties} */\nSchema.prototype.property = {}\n/** @type {Normal} */\nSchema.prototype.normal = {}\n/** @type {string|null} */\nSchema.prototype.space = null\n","/**\n * @typedef {import('./schema.js').Properties} Properties\n * @typedef {import('./schema.js').Normal} Normal\n */\n\nimport {Schema} from './schema.js'\n\n/**\n * @param {Schema[]} definitions\n * @param {string} [space]\n * @returns {Schema}\n */\nexport function merge(definitions, space) {\n /** @type {Properties} */\n const property = {}\n /** @type {Normal} */\n const normal = {}\n let index = -1\n\n while (++index < definitions.length) {\n Object.assign(property, definitions[index].property)\n Object.assign(normal, definitions[index].normal)\n }\n\n return new Schema(property, normal, space)\n}\n","/**\n * @param {string} value\n * @returns {string}\n */\nexport function normalize(value) {\n return value.toLowerCase()\n}\n","export class Info {\n /**\n * @constructor\n * @param {string} property\n * @param {string} attribute\n */\n constructor(property, attribute) {\n /** @type {string} */\n this.property = property\n /** @type {string} */\n this.attribute = attribute\n }\n}\n\n/** @type {string|null} */\nInfo.prototype.space = null\nInfo.prototype.boolean = false\nInfo.prototype.booleanish = false\nInfo.prototype.overloadedBoolean = false\nInfo.prototype.number = false\nInfo.prototype.commaSeparated = false\nInfo.prototype.spaceSeparated = false\nInfo.prototype.commaOrSpaceSeparated = false\nInfo.prototype.mustUseProperty = false\nInfo.prototype.defined = false\n","let powers = 0\n\nexport const boolean = increment()\nexport const booleanish = increment()\nexport const overloadedBoolean = increment()\nexport const number = increment()\nexport const spaceSeparated = increment()\nexport const commaSeparated = increment()\nexport const commaOrSpaceSeparated = increment()\n\nfunction increment() {\n return 2 ** ++powers\n}\n","import {Info} from './info.js'\nimport * as types from './types.js'\n\n/** @type {Array<keyof types>} */\n// @ts-expect-error: hush.\nconst checks = Object.keys(types)\n\nexport class DefinedInfo extends Info {\n /**\n * @constructor\n * @param {string} property\n * @param {string} attribute\n * @param {number|null} [mask]\n * @param {string} [space]\n */\n constructor(property, attribute, mask, space) {\n let index = -1\n\n super(property, attribute)\n\n mark(this, 'space', space)\n\n if (typeof mask === 'number') {\n while (++index < checks.length) {\n const check = checks[index]\n mark(this, checks[index], (mask & types[check]) === types[check])\n }\n }\n }\n}\n\nDefinedInfo.prototype.defined = true\n\n/**\n * @param {DefinedInfo} values\n * @param {string} key\n * @param {unknown} value\n */\nfunction mark(values, key, value) {\n if (value) {\n // @ts-expect-error: assume `value` matches the expected value of `key`.\n values[key] = value\n }\n}\n","/**\n * @typedef {import('./schema.js').Properties} Properties\n * @typedef {import('./schema.js').Normal} Normal\n *\n * @typedef {Record<string, string>} Attributes\n *\n * @typedef {Object} Definition\n * @property {Record<string, number|null>} properties\n * @property {(attributes: Attributes, property: string) => string} transform\n * @property {string} [space]\n * @property {Attributes} [attributes]\n * @property {Array<string>} [mustUseProperty]\n */\n\nimport {normalize} from '../normalize.js'\nimport {Schema} from './schema.js'\nimport {DefinedInfo} from './defined-info.js'\n\nconst own = {}.hasOwnProperty\n\n/**\n * @param {Definition} definition\n * @returns {Schema}\n */\nexport function create(definition) {\n /** @type {Properties} */\n const property = {}\n /** @type {Normal} */\n const normal = {}\n /** @type {string} */\n let prop\n\n for (prop in definition.properties) {\n if (own.call(definition.properties, prop)) {\n const value = definition.properties[prop]\n const info = new DefinedInfo(\n prop,\n definition.transform(definition.attributes || {}, prop),\n value,\n definition.space\n )\n\n if (\n definition.mustUseProperty &&\n definition.mustUseProperty.includes(prop)\n ) {\n info.mustUseProperty = true\n }\n\n property[prop] = info\n\n normal[normalize(prop)] = prop\n normal[normalize(info.attribute)] = prop\n }\n }\n\n return new Schema(property, normal, definition.space)\n}\n","import {create} from './util/create.js'\n\nexport const xlink = create({\n space: 'xlink',\n transform(_, prop) {\n return 'xlink:' + prop.slice(5).toLowerCase()\n },\n properties: {\n xLinkActuate: null,\n xLinkArcRole: null,\n xLinkHref: null,\n xLinkRole: null,\n xLinkShow: null,\n xLinkTitle: null,\n xLinkType: null\n }\n})\n","import {create} from './util/create.js'\n\nexport const xml = create({\n space: 'xml',\n transform(_, prop) {\n return 'xml:' + prop.slice(3).toLowerCase()\n },\n properties: {xmlLang: null, xmlBase: null, xmlSpace: null}\n})\n","/**\n * @param {Record<string, string>} attributes\n * @param {string} attribute\n * @returns {string}\n */\nexport function caseSensitiveTransform(attributes, attribute) {\n return attribute in attributes ? attributes[attribute] : attribute\n}\n","import {caseSensitiveTransform} from './case-sensitive-transform.js'\n\n/**\n * @param {Record<string, string>} attributes\n * @param {string} property\n * @returns {string}\n */\nexport function caseInsensitiveTransform(attributes, property) {\n return caseSensitiveTransform(attributes, property.toLowerCase())\n}\n","import {create} from './util/create.js'\nimport {caseInsensitiveTransform} from './util/case-insensitive-transform.js'\n\nexport const xmlns = create({\n space: 'xmlns',\n attributes: {xmlnsxlink: 'xmlns:xlink'},\n transform: caseInsensitiveTransform,\n properties: {xmlns: null, xmlnsXLink: null}\n})\n","import {booleanish, number, spaceSeparated} from './util/types.js'\nimport {create} from './util/create.js'\n\nexport const aria = create({\n transform(_, prop) {\n return prop === 'role' ? prop : 'aria-' + prop.slice(4).toLowerCase()\n },\n properties: {\n ariaActiveDescendant: null,\n ariaAtomic: booleanish,\n ariaAutoComplete: null,\n ariaBusy: booleanish,\n ariaChecked: booleanish,\n ariaColCount: number,\n ariaColIndex: number,\n ariaColSpan: number,\n ariaControls: spaceSeparated,\n ariaCurrent: null,\n ariaDescribedBy: spaceSeparated,\n ariaDetails: null,\n ariaDisabled: booleanish,\n ariaDropEffect: spaceSeparated,\n ariaErrorMessage: null,\n ariaExpanded: booleanish,\n ariaFlowTo: spaceSeparated,\n ariaGrabbed: booleanish,\n ariaHasPopup: null,\n ariaHidden: booleanish,\n ariaInvalid: null,\n ariaKeyShortcuts: null,\n ariaLabel: null,\n ariaLabelledBy: spaceSeparated,\n ariaLevel: number,\n ariaLive: null,\n ariaModal: booleanish,\n ariaMultiLine: booleanish,\n ariaMultiSelectable: booleanish,\n ariaOrientation: null,\n ariaOwns: spaceSeparated,\n ariaPlaceholder: null,\n ariaPosInSet: number,\n ariaPressed: booleanish,\n ariaReadOnly: booleanish,\n ariaRelevant: null,\n ariaRequired: booleanish,\n ariaRoleDescription: spaceSeparated,\n ariaRowCount: number,\n ariaRowIndex: number,\n ariaRowSpan: number,\n ariaSelected: booleanish,\n ariaSetSize: number,\n ariaSort: null,\n ariaValueMax: number,\n ariaValueMin: number,\n ariaValueNow: number,\n ariaValueText: null,\n role: null\n }\n})\n","import {\n boolean,\n overloadedBoolean,\n booleanish,\n number,\n spaceSeparated,\n commaSeparated\n} from './util/types.js'\nimport {create} from './util/create.js'\nimport {caseInsensitiveTransform} from './util/case-insensitive-transform.js'\n\nexport const html = create({\n space: 'html',\n attributes: {\n acceptcharset: 'accept-charset',\n classname: 'class',\n htmlfor: 'for',\n httpequiv: 'http-equiv'\n },\n transform: caseInsensitiveTransform,\n mustUseProperty: ['checked', 'multiple', 'muted', 'selected'],\n properties: {\n // Standard Properties.\n abbr: null,\n accept: commaSeparated,\n acceptCharset: spaceSeparated,\n accessKey: spaceSeparated,\n action: null,\n allow: null,\n allowFullScreen: boolean,\n allowPaymentRequest: boolean,\n allowUserMedia: boolean,\n alt: null,\n as: null,\n async: boolean,\n autoCapitalize: null,\n autoComplete: spaceSeparated,\n autoFocus: boolean,\n autoPlay: boolean,\n blocking: spaceSeparated,\n capture: null,\n charSet: null,\n checked: boolean,\n cite: null,\n className: spaceSeparated,\n cols: number,\n colSpan: null,\n content: null,\n contentEditable: booleanish,\n controls: boolean,\n controlsList: spaceSeparated,\n coords: number | commaSeparated,\n crossOrigin: null,\n data: null,\n dateTime: null,\n decoding: null,\n default: boolean,\n defer: boolean,\n dir: null,\n dirName: null,\n disabled: boolean,\n download: overloadedBoolean,\n draggable: booleanish,\n encType: null,\n enterKeyHint: null,\n fetchPriority: null,\n form: null,\n formAction: null,\n formEncType: null,\n formMethod: null,\n formNoValidate: boolean,\n formTarget: null,\n headers: spaceSeparated,\n height: number,\n hidden: boolean,\n high: number,\n href: null,\n hrefLang: null,\n htmlFor: spaceSeparated,\n httpEquiv: spaceSeparated,\n id: null,\n imageSizes: null,\n imageSrcSet: null,\n inert: boolean,\n inputMode: null,\n integrity: null,\n is: null,\n isMap: boolean,\n itemId: null,\n itemProp: spaceSeparated,\n itemRef: spaceSeparated,\n itemScope: boolean,\n itemType: spaceSeparated,\n kind: null,\n label: null,\n lang: null,\n language: null,\n list: null,\n loading: null,\n loop: boolean,\n low: number,\n manifest: null,\n max: null,\n maxLength: number,\n media: null,\n method: null,\n min: null,\n minLength: number,\n multiple: boolean,\n muted: boolean,\n name: null,\n nonce: null,\n noModule: boolean,\n noValidate: boolean,\n onAbort: null,\n onAfterPrint: null,\n onAuxClick: null,\n onBeforeMatch: null,\n onBeforePrint: null,\n onBeforeToggle: null,\n onBeforeUnload: null,\n onBlur: null,\n onCancel: null,\n onCanPlay: null,\n onCanPlayThrough: null,\n onChange: null,\n onClick: null,\n onClose: null,\n onContextLost: null,\n onContextMenu: null,\n onContextRestored: null,\n onCopy: null,\n onCueChange: null,\n onCut: null,\n onDblClick: null,\n onDrag: null,\n onDragEnd: null,\n onDragEnter: null,\n onDragExit: null,\n onDragLeave: null,\n onDragOver: null,\n onDragStart: null,\n onDrop: null,\n onDurationChange: null,\n onEmptied: null,\n onEnded: null,\n onError: null,\n onFocus: null,\n onFormData: null,\n onHashChange: null,\n onInput: null,\n onInvalid: null,\n onKeyDown: null,\n onKeyPress: null,\n onKeyUp: null,\n onLanguageChange: null,\n onLoad: null,\n onLoadedData: null,\n onLoadedMetadata: null,\n onLoadEnd: null,\n onLoadStart: null,\n onMessage: null,\n onMessageError: null,\n onMouseDown: null,\n onMouseEnter: null,\n onMouseLeave: null,\n onMouseMove: null,\n onMouseOut: null,\n onMouseOver: null,\n onMouseUp: null,\n onOffline: null,\n onOnline: null,\n onPageHide: null,\n onPageShow: null,\n onPaste: null,\n onPause: null,\n onPlay: null,\n onPlaying: null,\n onPopState: null,\n onProgress: null,\n onRateChange: null,\n onRejectionHandled: null,\n onReset: null,\n onResize: null,\n onScroll: null,\n onScrollEnd: null,\n onSecurityPolicyViolation: null,\n onSeeked: null,\n onSeeking: null,\n onSelect: null,\n onSlotChange: null,\n onStalled: null,\n onStorage: null,\n onSubmit: null,\n onSuspend: null,\n onTimeUpdate: null,\n onToggle: null,\n onUnhandledRejection: null,\n onUnload: null,\n onVolumeChange: null,\n onWaiting: null,\n onWheel: null,\n open: boolean,\n optimum: number,\n pattern: null,\n ping: spaceSeparated,\n placeholder: null,\n playsInline: boolean,\n popover: null,\n popoverTarget: null,\n popoverTargetAction: null,\n poster: null,\n preload: null,\n readOnly: boolean,\n referrerPolicy: null,\n rel: spaceSeparated,\n required: boolean,\n reversed: boolean,\n rows: number,\n rowSpan: number,\n sandbox: spaceSeparated,\n scope: null,\n scoped: boolean,\n seamless: boolean,\n selected: boolean,\n shadowRootClonable: boolean,\n shadowRootDelegatesFocus: boolean,\n shadowRootMode: null,\n shape: null,\n size: number,\n sizes: null,\n slot: null,\n span: number,\n spellCheck: booleanish,\n src: null,\n srcDoc: null,\n srcLang: null,\n srcSet: null,\n start: number,\n step: null,\n style: null,\n tabIndex: number,\n target: null,\n title: null,\n translate: null,\n type: null,\n typeMustMatch: boolean,\n useMap: null,\n value: booleanish,\n width: number,\n wrap: null,\n writingSuggestions: null,\n\n // Legacy.\n // See: https://html.spec.whatwg.org/#other-elements,-attributes-and-apis\n align: null, // Several. Use CSS `text-align` instead,\n aLink: null, // `<body>`. Use CSS `a:active {color}` instead\n archive: spaceSeparated, // `<object>`. List of URIs to archives\n axis: null, // `<td>` and `<th>`. Use `scope` on `<th>`\n background: null, // `<body>`. Use CSS `background-image` instead\n bgColor: null, // `<body>` and table elements. Use CSS `background-color` instead\n border: number, // `<table>`. Use CSS `border-width` instead,\n borderColor: null, // `<table>`. Use CSS `border-color` instead,\n bottomMargin: number, // `<body>`\n cellPadding: null, // `<table>`\n cellSpacing: null, // `<table>`\n char: null, // Several table elements. When `align=char`, sets the character to align on\n charOff: null, // Several table elements. When `char`, offsets the alignment\n classId: null, // `<object>`\n clear: null, // `<br>`. Use CSS `clear` instead\n code: null, // `<object>`\n codeBase: null, // `<object>`\n codeType: null, // `<object>`\n color: null, // `<font>` and `<hr>`. Use CSS instead\n compact: boolean, // Lists. Use CSS to reduce space between items instead\n declare: boolean, // `<object>`\n event: null, // `<script>`\n face: null, // `<font>`. Use CSS instead\n frame: null, // `<table>`\n frameBorder: null, // `<iframe>`. Use CSS `border` instead\n hSpace: number, // `<img>` and `<object>`\n leftMargin: number, // `<body>`\n link: null, // `<body>`. Use CSS `a:link {color: *}` instead\n longDesc: null, // `<frame>`, `<iframe>`, and `<img>`. Use an `<a>`\n lowSrc: null, // `<img>`. Use a `<picture>`\n marginHeight: number, // `<body>`\n marginWidth: number, // `<body>`\n noResize: boolean, // `<frame>`\n noHref: boolean, // `<area>`. Use no href instead of an explicit `nohref`\n noShade: boolean, // `<hr>`. Use background-color and height instead of borders\n noWrap: boolean, // `<td>` and `<th>`\n object: null, // `<applet>`\n profile: null, // `<head>`\n prompt: null, // `<isindex>`\n rev: null, // `<link>`\n rightMargin: number, // `<body>`\n rules: null, // `<table>`\n scheme: null, // `<meta>`\n scrolling: booleanish, // `<frame>`. Use overflow in the child context\n standby: null, // `<object>`\n summary: null, // `<table>`\n text: null, // `<body>`. Use CSS `color` instead\n topMargin: number, // `<body>`\n valueType: null, // `<param>`\n version: null, // `<html>`. Use a doctype.\n vAlign: null, // Several. Use CSS `vertical-align` instead\n vLink: null, // `<body>`. Use CSS `a:visited {color}` instead\n vSpace: number, // `<img>` and `<object>`\n\n // Non-standard Properties.\n allowTransparency: null,\n autoCorrect: null,\n autoSave: null,\n disablePictureInPicture: boolean,\n disableRemotePlayback: boolean,\n prefix: null,\n property: null,\n results: number,\n security: null,\n unselectable: null\n }\n})\n","import {\n boolean,\n number,\n spaceSeparated,\n commaSeparated,\n commaOrSpaceSeparated\n} from './util/types.js'\nimport {create} from './util/create.js'\nimport {caseSensitiveTransform} from './util/case-sensitive-transform.js'\n\nexport const svg = create({\n space: 'svg',\n attributes: {\n accentHeight: 'accent-height',\n alignmentBaseline: 'alignment-baseline',\n arabicForm: 'arabic-form',\n baselineShift: 'baseline-shift',\n capHeight: 'cap-height',\n className: 'class',\n clipPath: 'clip-path',\n clipRule: 'clip-rule',\n colorInterpolation: 'color-interpolation',\n colorInterpolationFilters: 'color-interpolation-filters',\n colorProfile: 'color-profile',\n colorRendering: 'color-rendering',\n crossOrigin: 'crossorigin',\n dataType: 'datatype',\n dominantBaseline: 'dominant-baseline',\n enableBackground: 'enable-background',\n fillOpacity: 'fill-opacity',\n fillRule: 'fill-rule',\n floodColor: 'flood-color',\n floodOpacity: 'flood-opacity',\n fontFamily: 'font-family',\n fontSize: 'font-size',\n fontSizeAdjust: 'font-size-adjust',\n fontStretch: 'font-stretch',\n fontStyle: 'font-style',\n fontVariant: 'font-variant',\n fontWeight: 'font-weight',\n glyphName: 'glyph-name',\n glyphOrientationHorizontal: 'glyph-orientation-horizontal',\n glyphOrientationVertical: 'glyph-orientation-vertical',\n hrefLang: 'hreflang',\n horizAdvX: 'horiz-adv-x',\n horizOriginX: 'horiz-origin-x',\n horizOriginY: 'horiz-origin-y',\n imageRendering: 'image-rendering',\n letterSpacing: 'letter-spacing',\n lightingColor: 'lighting-color',\n markerEnd: 'marker-end',\n markerMid: 'marker-mid',\n markerStart: 'marker-start',\n navDown: 'nav-down',\n navDownLeft: 'nav-down-left',\n navDownRight: 'nav-down-right',\n navLeft: 'nav-left',\n navNext: 'nav-next',\n navPrev: 'nav-prev',\n navRight: 'nav-right',\n navUp: 'nav-up',\n navUpLeft: 'nav-up-left',\n navUpRight: 'nav-up-right',\n onAbort: 'onabort',\n onActivate: 'onactivate',\n onAfterPrint: 'onafterprint',\n onBeforePrint: 'onbeforeprint',\n onBegin: 'onbegin',\n onCancel: 'oncancel',\n onCanPlay: 'oncanplay',\n onCanPlayThrough: 'oncanplaythrough',\n onChange: 'onchange',\n onClick: 'onclick',\n onClose: 'onclose',\n onCopy: 'oncopy',\n onCueChange: 'oncuechange',\n onCut: 'oncut',\n onDblClick: 'ondblclick',\n onDrag: 'ondrag',\n onDragEnd: 'ondragend',\n onDragEnter: 'ondragenter',\n onDragExit: 'ondragexit',\n onDragLeave: 'ondragleave',\n onDragOver: 'ondragover',\n onDragStart: 'ondragstart',\n onDrop: 'ondrop',\n onDurationChange: 'ondurationchange',\n onEmptied: 'onemptied',\n onEnd: 'onend',\n onEnded: 'onended',\n onError: 'onerror',\n onFocus: 'onfocus',\n onFocusIn: 'onfocusin',\n onFocusOut: 'onfocusout',\n onHashChange: 'onhashchange',\n onInput: 'oninput',\n onInvalid: 'oninvalid',\n onKeyDown: 'onkeydown',\n onKeyPress: 'onkeypress',\n onKeyUp: 'onkeyup',\n onLoad: 'onload',\n onLoadedData: 'onloadeddata',\n onLoadedMetadata: 'onloadedmetadata',\n onLoadStart: 'onloadstart',\n onMessage: 'onmessage',\n onMouseDown: 'onmousedown',\n onMouseEnter: 'onmouseenter',\n onMouseLeave: 'onmouseleave',\n onMouseMove: 'onmousemove',\n onMouseOut: 'onmouseout',\n onMouseOver: 'onmouseover',\n onMouseUp: 'onmouseup',\n onMouseWheel: 'onmousewheel',\n onOffline: 'onoffline',\n onOnline: 'ononline',\n onPageHide: 'onpagehide',\n onPageShow: 'onpageshow',\n onPaste: 'onpaste',\n onPause: 'onpause',\n onPlay: 'onplay',\n onPlaying: 'onplaying',\n onPopState: 'onpopstate',\n onProgress: 'onprogress',\n onRateChange: 'onratechange',\n onRepeat: 'onrepeat',\n onReset: 'onreset',\n onResize: 'onresize',\n onScroll: 'onscroll',\n onSeeked: 'onseeked',\n onSeeking: 'onseeking',\n onSelect: 'onselect',\n onShow: 'onshow',\n onStalled: 'onstalled',\n onStorage: 'onstorage',\n onSubmit: 'onsubmit',\n onSuspend: 'onsuspend',\n onTimeUpdate: 'ontimeupdate',\n onToggle: 'ontoggle',\n onUnload: 'onunload',\n onVolumeChange: 'onvolumechange',\n onWaiting: 'onwaiting',\n onZoom: 'onzoom',\n overlinePosition: 'overline-position',\n overlineThickness: 'overline-thickness',\n paintOrder: 'paint-order',\n panose1: 'panose-1',\n pointerEvents: 'pointer-events',\n referrerPolicy: 'referrerpolicy',\n renderingIntent: 'rendering-intent',\n shapeRendering: 'shape-rendering',\n stopColor: 'stop-color',\n stopOpacity: 'stop-opacity',\n strikethroughPosition: 'strikethrough-position',\n strikethroughThickness: 'strikethrough-thickness',\n strokeDashArray: 'stroke-dasharray',\n strokeDashOffset: 'stroke-dashoffset',\n strokeLineCap: 'stroke-linecap',\n strokeLineJoin: 'stroke-linejoin',\n strokeMiterLimit: 'stroke-miterlimit',\n strokeOpacity: 'stroke-opacity',\n strokeWidth: 'stroke-width',\n tabIndex: 'tabindex',\n textAnchor: 'text-anchor',\n textDecoration: 'text-decoration',\n textRendering: 'text-rendering',\n transformOrigin: 'transform-origin',\n typeOf: 'typeof',\n underlinePosition: 'underline-position',\n underlineThickness: 'underline-thickness',\n unicodeBidi: 'unicode-bidi',\n unicodeRange: 'unicode-range',\n unitsPerEm: 'units-per-em',\n vAlphabetic: 'v-alphabetic',\n vHanging: 'v-hanging',\n vIdeographic: 'v-ideographic',\n vMathematical: 'v-mathematical',\n vectorEffect: 'vector-effect',\n vertAdvY: 'vert-adv-y',\n vertOriginX: 'vert-origin-x',\n vertOriginY: 'vert-origin-y',\n wordSpacing: 'word-spacing',\n writingMode: 'writing-mode',\n xHeight: 'x-height',\n // These were camelcased in Tiny. Now lowercased in SVG 2\n playbackOrder: 'playbackorder',\n timelineBegin: 'timelinebegin'\n },\n transform: caseSensitiveTransform,\n properties: {\n about: commaOrSpaceSeparated,\n accentHeight: number,\n accumulate: null,\n additive: null,\n alignmentBaseline: null,\n alphabetic: number,\n amplitude: number,\n arabicForm: null,\n ascent: number,\n attributeName: null,\n attributeType: null,\n azimuth: number,\n bandwidth: null,\n baselineShift: null,\n baseFrequency: null,\n baseProfile: null,\n bbox: null,\n begin: null,\n bias: number,\n by: null,\n calcMode: null,\n capHeight: number,\n className: spaceSeparated,\n clip: null,\n clipPath: null,\n clipPathUnits: null,\n clipRule: null,\n color: null,\n colorInterpolation: null,\n colorInterpolationFilters: null,\n colorProfile: null,\n colorRendering: null,\n content: null,\n contentScriptType: null,\n contentStyleType: null,\n crossOrigin: null,\n cursor: null,\n cx: null,\n cy: null,\n d: null,\n dataType: null,\n defaultAction: null,\n descent: number,\n diffuseConstant: number,\n direction: null,\n display: null,\n dur: null,\n divisor: number,\n dominantBaseline: null,\n download: boolean,\n dx: null,\n dy: null,\n edgeMode: null,\n editable: null,\n elevation: number,\n enableBackground: null,\n end: null,\n event: null,\n exponent: number,\n externalResourcesRequired: null,\n fill: null,\n fillOpacity: number,\n fillRule: null,\n filter: null,\n filterRes: null,\n filterUnits: null,\n floodColor: null,\n floodOpacity: null,\n focusable: null,\n focusHighlight: null,\n fontFamily: null,\n fontSize: null,\n fontSizeAdjust: null,\n fontStretch: null,\n fontStyle: null,\n fontVariant: null,\n fontWeight: null,\n format: null,\n fr: null,\n from: null,\n fx: null,\n fy: null,\n g1: commaSeparated,\n g2: commaSeparated,\n glyphName: commaSeparated,\n glyphOrientationHorizontal: null,\n glyphOrientationVertical: null,\n glyphRef: null,\n gradientTransform: null,\n gradientUnits: null,\n handler: null,\n hanging: number,\n hatchContentUnits: null,\n hatchUnits: null,\n height: null,\n href: null,\n hrefLang: null,\n horizAdvX: number,\n horizOriginX: number,\n horizOriginY: number,\n id: null,\n ideographic: number,\n imageRendering: null,\n initialVisibility: null,\n in: null,\n in2: null,\n intercept: number,\n k: number,\n k1: number,\n k2: number,\n k3: number,\n k4: number,\n kernelMatrix: commaOrSpaceSeparated,\n kernelUnitLength: null,\n keyPoints: null, // SEMI_COLON_SEPARATED\n keySplines: null, // SEMI_COLON_SEPARATED\n keyTimes: null, // SEMI_COLON_SEPARATED\n kerning: null,\n lang: null,\n lengthAdjust: null,\n letterSpacing: null,\n lightingColor: null,\n limitingConeAngle: number,\n local: null,\n markerEnd: null,\n markerMid: null,\n markerStart: null,\n markerHeight: null,\n markerUnits: null,\n markerWidth: null,\n mask: null,\n maskContentUnits: null,\n maskUnits: null,\n mathematical: null,\n max: null,\n media: null,\n mediaCharacterEncoding: null,\n mediaContentEncodings: null,\n mediaSize: number,\n mediaTime: null,\n method: null,\n min: null,\n mode: null,\n name: null,\n navDown: null,\n navDownLeft: null,\n navDownRight: null,\n navLeft: null,\n navNext: null,\n navPrev: null,\n navRight: null,\n navUp: null,\n navUpLeft: null,\n navUpRight: null,\n numOctaves: null,\n observer: null,\n offset: null,\n onAbort: null,\n onActivate: null,\n onAfterPrint: null,\n onBeforePrint: null,\n onBegin: null,\n onCancel: null,\n onCanPlay: null,\n onCanPlayThrough: null,\n onChange: null,\n onClick: null,\n onClose: null,\n onCopy: null,\n onCueChange: null,\n onCut: null,\n onDblClick: null,\n onDrag: null,\n onDragEnd: null,\n onDragEnter: null,\n onDragExit: null,\n onDragLeave: null,\n onDragOver: null,\n onDragStart: null,\n onDrop: null,\n onDurationChange: null,\n onEmptied: null,\n onEnd: null,\n onEnded: null,\n onError: null,\n onFocus: null,\n onFocusIn: null,\n onFocusOut: null,\n onHashChange: null,\n onInput: null,\n onInvalid: null,\n onKeyDown: null,\n onKeyPress: null,\n onKeyUp: null,\n onLoad: null,\n onLoadedData: null,\n onLoadedMetadata: null,\n onLoadStart: null,\n onMessage: null,\n onMouseDown: null,\n onMouseEnter: null,\n onMouseLeave: null,\n onMouseMove: null,\n onMouseOut: null,\n onMouseOver: null,\n onMouseUp: null,\n onMouseWheel: null,\n onOffline: null,\n onOnline: null,\n onPageHide: null,\n onPageShow: null,\n onPaste: null,\n onPause: null,\n onPlay: null,\n onPlaying: null,\n onPopState: null,\n onProgress: null,\n onRateChange: null,\n onRepeat: null,\n onReset: null,\n onResize: null,\n onScroll: null,\n onSeeked: null,\n onSeeking: null,\n onSelect: null,\n onShow: null,\n onStalled: null,\n onStorage: null,\n onSubmit: null,\n onSuspend: null,\n onTimeUpdate: null,\n onToggle: null,\n onUnload: null,\n onVolumeChange: null,\n onWaiting: null,\n onZoom: null,\n opacity: null,\n operator: null,\n order: null,\n orient: null,\n orientation: null,\n origin: null,\n overflow: null,\n overlay: null,\n overlinePosition: number,\n overlineThickness: number,\n paintOrder: null,\n panose1: null,\n path: null,\n pathLength: number,\n patternContentUnits: null,\n patternTransform: null,\n patternUnits: null,\n phase: null,\n ping: spaceSeparated,\n pitch: null,\n playbackOrder: null,\n pointerEvents: null,\n points: null,\n pointsAtX: number,\n pointsAtY: number,\n pointsAtZ: number,\n preserveAlpha: null,\n preserveAspectRatio: null,\n primitiveUnits: null,\n propagate: null,\n property: commaOrSpaceSeparated,\n r: null,\n radius: null,\n referrerPolicy: null,\n refX: null,\n refY: null,\n rel: commaOrSpaceSeparated,\n rev: commaOrSpaceSeparated,\n renderingIntent: null,\n repeatCount: null,\n repeatDur: null,\n requiredExtensions: commaOrSpaceSeparated,\n requiredFeatures: commaOrSpaceSeparated,\n requiredFonts: commaOrSpaceSeparated,\n requiredFormats: commaOrSpaceSeparated,\n resource: null,\n restart: null,\n result: null,\n rotate: null,\n rx: null,\n ry: null,\n scale: null,\n seed: null,\n shapeRendering: null,\n side: null,\n slope: null,\n snapshotTime: null,\n specularConstant: number,\n specularExponent: number,\n spreadMethod: null,\n spacing: null,\n startOffset: null,\n stdDeviation: null,\n stemh: null,\n stemv: null,\n stitchTiles: null,\n stopColor: null,\n stopOpacity: null,\n strikethroughPosition: number,\n strikethroughThickness: number,\n string: null,\n stroke: null,\n strokeDashArray: commaOrSpaceSeparated,\n strokeDashOffset: null,\n strokeLineCap: null,\n strokeLineJoin: null,\n strokeMiterLimit: number,\n strokeOpacity: number,\n strokeWidth: null,\n style: null,\n surfaceScale: number,\n syncBehavior: null,\n syncBehaviorDefault: null,\n syncMaster: null,\n syncTolerance: null,\n syncToleranceDefault: null,\n systemLanguage: commaOrSpaceSeparated,\n tabIndex: number,\n tableValues: null,\n target: null,\n targetX: number,\n targetY: number,\n textAnchor: null,\n textDecoration: null,\n textRendering: null,\n textLength: null,\n timelineBegin: null,\n title: null,\n transformBehavior: null,\n type: null,\n typeOf: commaOrSpaceSeparated,\n to: null,\n transform: null,\n transformOrigin: null,\n u1: null,\n u2: null,\n underlinePosition: number,\n underlineThickness: number,\n unicode: null,\n unicodeBidi: null,\n unicodeRange: null,\n unitsPerEm: number,\n values: null,\n vAlphabetic: number,\n vMathematical: number,\n vectorEffect: null,\n vHanging: number,\n vIdeographic: number,\n version: null,\n vertAdvY: number,\n vertOriginX: number,\n vertOriginY: number,\n viewBox: null,\n viewTarget: null,\n visibility: null,\n width: null,\n widths: null,\n wordSpacing: null,\n writingMode: null,\n x: null,\n x1: null,\n x2: null,\n xChannelSelector: null,\n xHeight: number,\n y: null,\n y1: null,\n y2: null,\n yChannelSelector: null,\n z: null,\n zoomAndPan: null\n }\n})\n","/**\n * @typedef {import('./lib/util/info.js').Info} Info\n * @typedef {import('./lib/util/schema.js').Schema} Schema\n */\n\nimport {merge} from './lib/util/merge.js'\nimport {xlink} from './lib/xlink.js'\nimport {xml} from './lib/xml.js'\nimport {xmlns} from './lib/xmlns.js'\nimport {aria} from './lib/aria.js'\nimport {html as htmlBase} from './lib/html.js'\nimport {svg as svgBase} from './lib/svg.js'\n\nexport {find} from './lib/find.js'\nexport {hastToReact} from './lib/hast-to-react.js'\nexport {normalize} from './lib/normalize.js'\nexport const html = merge([xml, xlink, xmlns, aria, htmlBase], 'html')\nexport const svg = merge([xml, xlink, xmlns, aria, svgBase], 'svg')\n","import {visit} from 'unist-util-visit'\n\n/**\n * @typedef {import('unist').Node} Node\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast').Element} Element\n *\n * @callback AllowElement\n * @param {Element} element\n * @param {number} index\n * @param {Element|Root} parent\n * @returns {boolean|undefined}\n *\n * @typedef Options\n * @property {Array<string>} [allowedElements]\n * @property {Array<string>} [disallowedElements=[]]\n * @property {AllowElement} [allowElement]\n * @property {boolean} [unwrapDisallowed=false]\n */\n\n/**\n * @type {import('unified').Plugin<[Options], Root>}\n */\nexport default function rehypeFilter(options) {\n if (options.allowedElements && options.disallowedElements) {\n throw new TypeError(\n 'Only one of `allowedElements` and `disallowedElements` should be defined'\n )\n }\n\n if (\n options.allowedElements ||\n options.disallowedElements ||\n options.allowElement\n ) {\n return (tree) => {\n visit(tree, 'element', (node, index, parent_) => {\n const parent = /** @type {Element|Root} */ (parent_)\n /** @type {boolean|undefined} */\n let remove\n\n if (options.allowedElements) {\n remove = !options.allowedElements.includes(node.tagName)\n } else if (options.disallowedElements) {\n remove = options.disallowedElements.includes(node.tagName)\n }\n\n if (!remove && options.allowElement && typeof index === 'number') {\n remove = !options.allowElement(node, index, parent)\n }\n\n if (remove && typeof index === 'number') {\n if (options.unwrapDisallowed && node.children) {\n parent.children.splice(index, 1, ...node.children)\n } else {\n parent.children.splice(index, 1)\n }\n\n return index\n }\n\n return undefined\n })\n }\n }\n}\n","/**\n * Check if the given value is *inter-element whitespace*.\n *\n * @param {unknown} thing\n * Thing to check (typically `Node` or `string`).\n * @returns {boolean}\n * Whether the `value` is inter-element whitespace (`boolean`): consisting of\n * zero or more of space, tab (`\\t`), line feed (`\\n`), carriage return\n * (`\\r`), or form feed (`\\f`).\n * If a node is passed it must be a `Text` node, whose `value` field is\n * checked.\n */\nexport function whitespace(thing) {\n /** @type {string} */\n const value =\n // @ts-expect-error looks like a node.\n thing && typeof thing === 'object' && thing.type === 'text'\n ? // @ts-expect-error looks like a text.\n thing.value || ''\n : thing\n\n // HTML whitespace expression.\n // See <https://infra.spec.whatwg.org/#ascii-whitespace>.\n return typeof value === 'string' && value.replace(/[ \\t\\n\\f\\r]/g, '') === ''\n}\n","/**\n * @typedef {import('./util/schema.js').Schema} Schema\n */\n\nimport {normalize} from './normalize.js'\nimport {DefinedInfo} from './util/defined-info.js'\nimport {Info} from './util/info.js'\n\nconst valid = /^data[-\\w.:]+$/i\nconst dash = /-[a-z]/g\nconst cap = /[A-Z]/g\n\n/**\n * @param {Schema} schema\n * @param {string} value\n * @returns {Info}\n */\nexport function find(schema, value) {\n const normal = normalize(value)\n let prop = value\n let Type = Info\n\n if (normal in schema.normal) {\n return schema.property[schema.normal[normal]]\n }\n\n if (normal.length > 4 && normal.slice(0, 4) === 'data' && valid.test(value)) {\n // Attribute or property.\n if (value.charAt(4) === '-') {\n // Turn it into a property.\n const rest = value.slice(5).replace(dash, camelcase)\n prop = 'data' + rest.charAt(0).toUpperCase() + rest.slice(1)\n } else {\n // Turn it into an attribute.\n const rest = value.slice(4)\n\n if (!dash.test(rest)) {\n let dashes = rest.replace(cap, kebab)\n\n if (dashes.charAt(0) !== '-') {\n dashes = '-' + dashes\n }\n\n value = 'data' + dashes\n }\n }\n\n Type = DefinedInfo\n }\n\n return new Type(prop, value)\n}\n\n/**\n * @param {string} $0\n * @returns {string}\n */\nfunction kebab($0) {\n return '-' + $0.toLowerCase()\n}\n\n/**\n * @param {string} $0\n * @returns {string}\n */\nfunction camelcase($0) {\n return $0.charAt(1).toUpperCase()\n}\n","/**\n * `hast` is close to `React`, but differs in a couple of cases.\n *\n * To get a React property from a hast property, check if it is in\n * `hastToReact`, if it is, then use the corresponding value,\n * otherwise, use the hast property.\n *\n * @type {Record<string, string>}\n */\nexport const hastToReact = {\n classId: 'classID',\n dataType: 'datatype',\n itemId: 'itemID',\n strokeDashArray: 'strokeDasharray',\n strokeDashOffset: 'strokeDashoffset',\n strokeLineCap: 'strokeLinecap',\n strokeLineJoin: 'strokeLinejoin',\n strokeMiterLimit: 'strokeMiterlimit',\n typeOf: 'typeof',\n xLinkActuate: 'xlinkActuate',\n xLinkArcRole: 'xlinkArcrole',\n xLinkHref: 'xlinkHref',\n xLinkRole: 'xlinkRole',\n xLinkShow: 'xlinkShow',\n xLinkTitle: 'xlinkTitle',\n xLinkType: 'xlinkType',\n xmlnsXLink: 'xmlnsXlink'\n}\n","/**\n * Parse space-separated tokens to an array of strings.\n *\n * @param {string} value\n * Space-separated tokens.\n * @returns {Array<string>}\n * List of tokens.\n */\nexport function parse(value) {\n const input = String(value || '').trim()\n return input ? input.split(/[ \\t\\n\\r\\f]+/g) : []\n}\n\n/**\n * Serialize an array of strings as space separated-tokens.\n *\n * @param {Array<string|number>} values\n * List of tokens.\n * @returns {string}\n * Space-separated tokens.\n */\nexport function stringify(values) {\n return values.join(' ').trim()\n}\n","/**\n * @typedef Options\n * Configuration for `stringify`.\n * @property {boolean} [padLeft=true]\n * Whether to pad a space before a token.\n * @property {boolean} [padRight=false]\n * Whether to pad a space after a token.\n */\n\n/**\n * @typedef {Options} StringifyOptions\n * Please use `StringifyOptions` instead.\n */\n\n/**\n * Parse comma-separated tokens to an array.\n *\n * @param {string} value\n * Comma-separated tokens.\n * @returns {Array<string>}\n * List of tokens.\n */\nexport function parse(value) {\n /** @type {Array<string>} */\n const tokens = []\n const input = String(value || '')\n let index = input.indexOf(',')\n let start = 0\n /** @type {boolean} */\n let end = false\n\n while (!end) {\n if (index === -1) {\n index = input.length\n end = true\n }\n\n const token = input.slice(start, index).trim()\n\n if (token || !end) {\n tokens.push(token)\n }\n\n start = index + 1\n index = input.indexOf(',', start)\n }\n\n return tokens\n}\n\n/**\n * Serialize an array of strings or numbers to comma-separated tokens.\n *\n * @param {Array<string|number>} values\n * List of tokens.\n * @param {Options} [options]\n * Configuration for `stringify` (optional).\n * @returns {string}\n * Comma-separated tokens.\n */\nexport function stringify(values, options) {\n const settings = options || {}\n\n // Ensure the last empty entry is seen.\n const input = values[values.length - 1] === '' ? [...values, ''] : values\n\n return input\n .join(\n (settings.padRight ? ' ' : '') +\n ',' +\n (settings.padLeft === false ? '' : ' ')\n )\n .trim()\n}\n","import StyleToObject from './index.js';\n\nexport default StyleToObject;\n","const protocols = ['http', 'https', 'mailto', 'tel']\n\n/**\n * @param {string} uri\n * @returns {string}\n */\nexport function uriTransformer(uri) {\n const url = (uri || '').trim()\n const first = url.charAt(0)\n\n if (first === '#' || first === '/') {\n return url\n }\n\n const colon = url.indexOf(':')\n if (colon === -1) {\n return url\n }\n\n let index = -1\n\n while (++index < protocols.length) {\n const protocol = protocols[index]\n\n if (\n colon === protocol.length &&\n url.slice(0, protocol.length).toLowerCase() === protocol\n ) {\n return url\n }\n }\n\n index = url.indexOf('?')\n if (index !== -1 && colon > index) {\n return url\n }\n\n index = url.indexOf('#')\n if (index !== -1 && colon > index) {\n return url\n }\n\n // eslint-disable-next-line no-script-url\n return 'javascript:void(0)'\n}\n","/**\n * @template T\n * @typedef {import('react').ComponentType<T>} ComponentType<T>\n */\n\n/**\n * @template {import('react').ElementType} T\n * @typedef {import('react').ComponentPropsWithoutRef<T>} ComponentPropsWithoutRef<T>\n */\n\n/**\n * @typedef {import('react').ReactNode} ReactNode\n * @typedef {import('unist').Position} Position\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').ElementContent} ElementContent\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast').Text} Text\n * @typedef {import('hast').Comment} Comment\n * @typedef {import('hast').DocType} Doctype\n * @typedef {import('property-information').Info} Info\n * @typedef {import('property-information').Schema} Schema\n * @typedef {import('./complex-types.js').ReactMarkdownProps} ReactMarkdownProps\n *\n * @typedef Raw\n * @property {'raw'} type\n * @property {string} value\n *\n * @typedef Context\n * @property {Options} options\n * @property {Schema} schema\n * @property {number} listDepth\n *\n * @callback TransformLink\n * @param {string} href\n * @param {Array<ElementContent>} children\n * @param {string?} title\n * @returns {string}\n *\n * @callback TransformImage\n * @param {string} src\n * @param {string} alt\n * @param {string?} title\n * @returns {string}\n *\n * @typedef {import('react').HTMLAttributeAnchorTarget} TransformLinkTargetType\n *\n * @callback TransformLinkTarget\n * @param {string} href\n * @param {Array<ElementContent>} children\n * @param {string?} title\n * @returns {TransformLinkTargetType|undefined}\n *\n * @typedef {keyof JSX.IntrinsicElements} ReactMarkdownNames\n *\n * To do: is `data-sourcepos` typeable?\n *\n * @typedef {ComponentPropsWithoutRef<'code'> & ReactMarkdownProps & {inline?: boolean}} CodeProps\n * @typedef {ComponentPropsWithoutRef<'h1'> & ReactMarkdownProps & {level: number}} HeadingProps\n * @typedef {ComponentPropsWithoutRef<'li'> & ReactMarkdownProps & {checked: boolean|null, index: number, ordered: boolean}} LiProps\n * @typedef {ComponentPropsWithoutRef<'ol'> & ReactMarkdownProps & {depth: number, ordered: true}} OrderedListProps\n * @typedef {ComponentPropsWithoutRef<'td'> & ReactMarkdownProps & {style?: Record<string, unknown>, isHeader: false}} TableDataCellProps\n * @typedef {ComponentPropsWithoutRef<'th'> & ReactMarkdownProps & {style?: Record<string, unknown>, isHeader: true}} TableHeaderCellProps\n * @typedef {ComponentPropsWithoutRef<'tr'> & ReactMarkdownProps & {isHeader: boolean}} TableRowProps\n * @typedef {ComponentPropsWithoutRef<'ul'> & ReactMarkdownProps & {depth: number, ordered: false}} UnorderedListProps\n *\n * @typedef {ComponentType<CodeProps>} CodeComponent\n * @typedef {ComponentType<HeadingProps>} HeadingComponent\n * @typedef {ComponentType<LiProps>} LiComponent\n * @typedef {ComponentType<OrderedListProps>} OrderedListComponent\n * @typedef {ComponentType<TableDataCellProps>} TableDataCellComponent\n * @typedef {ComponentType<TableHeaderCellProps>} TableHeaderCellComponent\n * @typedef {ComponentType<TableRowProps>} TableRowComponent\n * @typedef {ComponentType<UnorderedListProps>} UnorderedListComponent\n *\n * @typedef SpecialComponents\n * @property {CodeComponent|ReactMarkdownNames} code\n * @property {HeadingComponent|ReactMarkdownNames} h1\n * @property {HeadingComponent|ReactMarkdownNames} h2\n * @property {HeadingComponent|ReactMarkdownNames} h3\n * @property {HeadingComponent|ReactMarkdownNames} h4\n * @property {HeadingComponent|ReactMarkdownNames} h5\n * @property {HeadingComponent|ReactMarkdownNames} h6\n * @property {LiComponent|ReactMarkdownNames} li\n * @property {OrderedListComponent|ReactMarkdownNames} ol\n * @property {TableDataCellComponent|ReactMarkdownNames} td\n * @property {TableHeaderCellComponent|ReactMarkdownNames} th\n * @property {TableRowComponent|ReactMarkdownNames} tr\n * @property {UnorderedListComponent|ReactMarkdownNames} ul\n *\n * @typedef {Partial<Omit<import('./complex-types.js').NormalComponents, keyof SpecialComponents> & SpecialComponents>} Components\n *\n * @typedef Options\n * @property {boolean} [sourcePos=false]\n * @property {boolean} [rawSourcePos=false]\n * @property {boolean} [skipHtml=false]\n * @property {boolean} [includeElementIndex=false]\n * @property {null|false|TransformLink} [transformLinkUri]\n * @property {TransformImage} [transformImageUri]\n * @property {TransformLinkTargetType|TransformLinkTarget} [linkTarget]\n * @property {Components} [components]\n */\n\nimport React from 'react'\nimport ReactIs from 'react-is'\nimport {whitespace} from 'hast-util-whitespace'\nimport {svg, find, hastToReact} from 'property-information'\nimport {stringify as spaces} from 'space-separated-tokens'\nimport {stringify as commas} from 'comma-separated-tokens'\nimport style from 'style-to-object'\nimport {uriTransformer} from './uri-transformer.js'\n\nconst own = {}.hasOwnProperty\n\n// The table-related elements that must not contain whitespace text according\n// to React.\nconst tableElements = new Set(['table', 'thead', 'tbody', 'tfoot', 'tr'])\n\n/**\n * @param {Context} context\n * @param {Element|Root} node\n */\nexport function childrenToReact(context, node) {\n /** @type {Array<ReactNode>} */\n const children = []\n let childIndex = -1\n /** @type {Comment|Doctype|Element|Raw|Text} */\n let child\n\n while (++childIndex < node.children.length) {\n child = node.children[childIndex]\n\n if (child.type === 'element') {\n children.push(toReact(context, child, childIndex, node))\n } else if (child.type === 'text') {\n // Currently, a warning is triggered by react for *any* white space in\n // tables.\n // So we drop it.\n // See: <https://github.com/facebook/react/pull/7081>.\n // See: <https://github.com/facebook/react/pull/7515>.\n // See: <https://github.com/remarkjs/remark-react/issues/64>.\n // See: <https://github.com/remarkjs/react-markdown/issues/576>.\n if (\n node.type !== 'element' ||\n !tableElements.has(node.tagName) ||\n !whitespace(child)\n ) {\n children.push(child.value)\n }\n } else if (child.type === 'raw' && !context.options.skipHtml) {\n // Default behavior is to show (encoded) HTML.\n children.push(child.value)\n }\n }\n\n return children\n}\n\n/**\n * @param {Context} context\n * @param {Element} node\n * @param {number} index\n * @param {Element|Root} parent\n */\nfunction toReact(context, node, index, parent) {\n const options = context.options\n const transform =\n options.transformLinkUri === undefined\n ? uriTransformer\n : options.transformLinkUri\n const parentSchema = context.schema\n /** @type {ReactMarkdownNames} */\n // @ts-expect-error assume a known HTML/SVG element.\n const name = node.tagName\n /** @type {Record<string, unknown>} */\n const properties = {}\n let schema = parentSchema\n /** @type {string} */\n let property\n\n if (parentSchema.space === 'html' && name === 'svg') {\n schema = svg\n context.schema = schema\n }\n\n if (node.properties) {\n for (property in node.properties) {\n if (own.call(node.properties, property)) {\n addProperty(properties, property, node.properties[property], context)\n }\n }\n }\n\n if (name === 'ol' || name === 'ul') {\n context.listDepth++\n }\n\n const children = childrenToReact(context, node)\n\n if (name === 'ol' || name === 'ul') {\n context.listDepth--\n }\n\n // Restore parent schema.\n context.schema = parentSchema\n\n // Nodes created by plugins do not have positional info, in which case we use\n // an object that matches the position interface.\n const position = node.position || {\n start: {line: null, column: null, offset: null},\n end: {line: null, column: null, offset: null}\n }\n const component =\n options.components && own.call(options.components, name)\n ? options.components[name]\n : name\n const basic = typeof component === 'string' || component === React.Fragment\n\n if (!ReactIs.isValidElementType(component)) {\n throw new TypeError(\n `Component for name \\`${name}\\` not defined or is not renderable`\n )\n }\n\n properties.key = index\n\n if (name === 'a' && options.linkTarget) {\n properties.target =\n typeof options.linkTarget === 'function'\n ? options.linkTarget(\n String(properties.href || ''),\n node.children,\n typeof properties.title === 'string' ? properties.title : null\n )\n : options.linkTarget\n }\n\n if (name === 'a' && transform) {\n properties.href = transform(\n String(properties.href || ''),\n node.children,\n typeof properties.title === 'string' ? properties.title : null\n )\n }\n\n if (\n !basic &&\n name === 'code' &&\n parent.type === 'element' &&\n parent.tagName !== 'pre'\n ) {\n properties.inline = true\n }\n\n if (\n !basic &&\n (name === 'h1' ||\n name === 'h2' ||\n name === 'h3' ||\n name === 'h4' ||\n name === 'h5' ||\n name === 'h6')\n ) {\n properties.level = Number.parseInt(name.charAt(1), 10)\n }\n\n if (name === 'img' && options.transformImageUri) {\n properties.src = options.transformImageUri(\n String(properties.src || ''),\n String(properties.alt || ''),\n typeof properties.title === 'string' ? properties.title : null\n )\n }\n\n if (!basic && name === 'li' && parent.type === 'element') {\n const input = getInputElement(node)\n properties.checked =\n input && input.properties ? Boolean(input.properties.checked) : null\n properties.index = getElementsBeforeCount(parent, node)\n properties.ordered = parent.tagName === 'ol'\n }\n\n if (!basic && (name === 'ol' || name === 'ul')) {\n properties.ordered = name === 'ol'\n properties.depth = context.listDepth\n }\n\n if (name === 'td' || name === 'th') {\n if (properties.align) {\n if (!properties.style) properties.style = {}\n // @ts-expect-error assume `style` is an object\n properties.style.textAlign = properties.align\n delete properties.align\n }\n\n if (!basic) {\n properties.isHeader = name === 'th'\n }\n }\n\n if (!basic && name === 'tr' && parent.type === 'element') {\n properties.isHeader = Boolean(parent.tagName === 'thead')\n }\n\n // If `sourcePos` is given, pass source information (line/column info from markdown source).\n if (options.sourcePos) {\n properties['data-sourcepos'] = flattenPosition(position)\n }\n\n if (!basic && options.rawSourcePos) {\n properties.sourcePosition = node.position\n }\n\n // If `includeElementIndex` is given, pass node index info to components.\n if (!basic && options.includeElementIndex) {\n properties.index = getElementsBeforeCount(parent, node)\n properties.siblingCount = getElementsBeforeCount(parent)\n }\n\n if (!basic) {\n properties.node = node\n }\n\n // Ensure no React warnings are emitted for void elements w/ children.\n return children.length > 0\n ? React.createElement(component, properties, children)\n : React.createElement(component, properties)\n}\n\n/**\n * @param {Element|Root} node\n * @returns {Element?}\n */\nfunction getInputElement(node) {\n let index = -1\n\n while (++index < node.children.length) {\n const child = node.children[index]\n\n if (child.type === 'element' && child.tagName === 'input') {\n return child\n }\n }\n\n return null\n}\n\n/**\n * @param {Element|Root} parent\n * @param {Element} [node]\n * @returns {number}\n */\nfunction getElementsBeforeCount(parent, node) {\n let index = -1\n let count = 0\n\n while (++index < parent.children.length) {\n if (parent.children[index] === node) break\n if (parent.children[index].type === 'element') count++\n }\n\n return count\n}\n\n/**\n * @param {Record<string, unknown>} props\n * @param {string} prop\n * @param {unknown} value\n * @param {Context} ctx\n */\nfunction addProperty(props, prop, value, ctx) {\n const info = find(ctx.schema, prop)\n let result = value\n\n // Ignore nullish and `NaN` values.\n // eslint-disable-next-line no-self-compare\n if (result === null || result === undefined || result !== result) {\n return\n }\n\n // Accept `array`.\n // Most props are space-separated.\n if (Array.isArray(result)) {\n result = info.commaSeparated ? commas(result) : spaces(result)\n }\n\n if (info.property === 'style' && typeof result === 'string') {\n result = parseStyle(result)\n }\n\n if (info.space && info.property) {\n props[\n own.call(hastToReact, info.property)\n ? hastToReact[info.property]\n : info.property\n ] = result\n } else if (info.attribute) {\n props[info.attribute] = result\n }\n}\n\n/**\n * @param {string} value\n * @returns {Record<string, string>}\n */\nfunction parseStyle(value) {\n /** @type {Record<string, string>} */\n const result = {}\n\n try {\n style(value, iterator)\n } catch {\n // Silent.\n }\n\n return result\n\n /**\n * @param {string} name\n * @param {string} v\n */\n function iterator(name, v) {\n const k = name.slice(0, 4) === '-ms-' ? `ms-${name.slice(4)}` : name\n result[k.replace(/-([a-z])/g, styleReplacer)] = v\n }\n}\n\n/**\n * @param {unknown} _\n * @param {string} $1\n */\nfunction styleReplacer(_, $1) {\n return $1.toUpperCase()\n}\n\n/**\n * @param {Position|{start: {line: null, column: null, offset: null}, end: {line: null, column: null, offset: null}}} pos\n * @returns {string}\n */\nfunction flattenPosition(pos) {\n return [\n pos.start.line,\n ':',\n pos.start.column,\n '-',\n pos.end.line,\n ':',\n pos.end.column\n ]\n .map(String)\n .join('')\n}\n","/**\n * @typedef {import('react').ReactNode} ReactNode\n * @typedef {import('react').ReactElement<{}>} ReactElement\n * @typedef {import('unified').PluggableList} PluggableList\n * @typedef {import('hast').Root} Root\n * @typedef {import('./rehype-filter.js').Options} FilterOptions\n * @typedef {import('./ast-to-react.js').Options} TransformOptions\n *\n * @typedef CoreOptions\n * @property {string} children\n *\n * @typedef PluginOptions\n * @property {PluggableList} [remarkPlugins=[]]\n * @property {PluggableList} [rehypePlugins=[]]\n * @property {import('remark-rehype').Options | undefined} [remarkRehypeOptions={}]\n *\n * @typedef LayoutOptions\n * @property {string} [className]\n *\n * @typedef {CoreOptions & PluginOptions & LayoutOptions & FilterOptions & TransformOptions} ReactMarkdownOptions\n *\n * @typedef Deprecation\n * @property {string} id\n * @property {string} [to]\n */\n\nimport React from 'react'\nimport {VFile} from 'vfile'\nimport {unified} from 'unified'\nimport remarkParse from 'remark-parse'\nimport remarkRehype from 'remark-rehype'\nimport PropTypes from 'prop-types'\nimport {html} from 'property-information'\nimport rehypeFilter from './rehype-filter.js'\nimport {childrenToReact} from './ast-to-react.js'\n\nconst own = {}.hasOwnProperty\nconst changelog =\n 'https://github.com/remarkjs/react-markdown/blob/main/changelog.md'\n\n/** @type {Record<string, Deprecation>} */\nconst deprecated = {\n plugins: {to: 'remarkPlugins', id: 'change-plugins-to-remarkplugins'},\n renderers: {to: 'components', id: 'change-renderers-to-components'},\n astPlugins: {id: 'remove-buggy-html-in-markdown-parser'},\n allowDangerousHtml: {id: 'remove-buggy-html-in-markdown-parser'},\n escapeHtml: {id: 'remove-buggy-html-in-markdown-parser'},\n source: {to: 'children', id: 'change-source-to-children'},\n allowNode: {\n to: 'allowElement',\n id: 'replace-allownode-allowedtypes-and-disallowedtypes'\n },\n allowedTypes: {\n to: 'allowedElements',\n id: 'replace-allownode-allowedtypes-and-disallowedtypes'\n },\n disallowedTypes: {\n to: 'disallowedElements',\n id: 'replace-allownode-allowedtypes-and-disallowedtypes'\n },\n includeNodeIndex: {\n to: 'includeElementIndex',\n id: 'change-includenodeindex-to-includeelementindex'\n }\n}\n\n/**\n * React component to render markdown.\n *\n * @param {ReactMarkdownOptions} options\n * @returns {ReactElement}\n */\nexport function ReactMarkdown(options) {\n for (const key in deprecated) {\n if (own.call(deprecated, key) && own.call(options, key)) {\n const deprecation = deprecated[key]\n console.warn(\n `[react-markdown] Warning: please ${\n deprecation.to ? `use \\`${deprecation.to}\\` instead of` : 'remove'\n } \\`${key}\\` (see <${changelog}#${deprecation.id}> for more info)`\n )\n delete deprecated[key]\n }\n }\n\n const processor = unified()\n .use(remarkParse)\n .use(options.remarkPlugins || [])\n .use(remarkRehype, {\n ...options.remarkRehypeOptions,\n allowDangerousHtml: true\n })\n .use(options.rehypePlugins || [])\n .use(rehypeFilter, options)\n\n const file = new VFile()\n\n if (typeof options.children === 'string') {\n file.value = options.children\n } else if (options.children !== undefined && options.children !== null) {\n console.warn(\n `[react-markdown] Warning: please pass a string as \\`children\\` (not: \\`${options.children}\\`)`\n )\n }\n\n const hastNode = processor.runSync(processor.parse(file), file)\n\n if (hastNode.type !== 'root') {\n throw new TypeError('Expected a `root` node')\n }\n\n /** @type {ReactElement} */\n let result = React.createElement(\n React.Fragment,\n {},\n childrenToReact({options, schema: html, listDepth: 0}, hastNode)\n )\n\n if (options.className) {\n result = React.createElement('div', {className: options.className}, result)\n }\n\n return result\n}\n\nReactMarkdown.propTypes = {\n // Core options:\n children: PropTypes.string,\n // Layout options:\n className: PropTypes.string,\n // Filter options:\n allowElement: PropTypes.func,\n allowedElements: PropTypes.arrayOf(PropTypes.string),\n disallowedElements: PropTypes.arrayOf(PropTypes.string),\n unwrapDisallowed: PropTypes.bool,\n // Plugin options:\n remarkPlugins: PropTypes.arrayOf(\n PropTypes.oneOfType([\n PropTypes.object,\n PropTypes.func,\n PropTypes.arrayOf(\n PropTypes.oneOfType([\n PropTypes.bool,\n PropTypes.string,\n PropTypes.object,\n PropTypes.func,\n PropTypes.arrayOf(\n // prettier-ignore\n // type-coverage:ignore-next-line\n PropTypes.any\n )\n ])\n )\n ])\n ),\n rehypePlugins: PropTypes.arrayOf(\n PropTypes.oneOfType([\n PropTypes.object,\n PropTypes.func,\n PropTypes.arrayOf(\n PropTypes.oneOfType([\n PropTypes.bool,\n PropTypes.string,\n PropTypes.object,\n PropTypes.func,\n PropTypes.arrayOf(\n // prettier-ignore\n // type-coverage:ignore-next-line\n PropTypes.any\n )\n ])\n )\n ])\n ),\n // Transform options:\n sourcePos: PropTypes.bool,\n rawSourcePos: PropTypes.bool,\n skipHtml: PropTypes.bool,\n includeElementIndex: PropTypes.bool,\n transformLinkUri: PropTypes.oneOfType([PropTypes.func, PropTypes.bool]),\n linkTarget: PropTypes.oneOfType([PropTypes.func, PropTypes.string]),\n transformImageUri: PropTypes.func,\n components: PropTypes.object\n}\n","export var IconsManifest = [\n {\n \"id\": \"ci\",\n \"name\": \"Circum Icons\",\n \"projectUrl\": \"https://circumicons.com/\",\n \"license\": \"MPL-2.0 license\",\n \"licenseUrl\": \"https://github.com/Klarr-Agency/Circum-Icons/blob/main/LICENSE\"\n },\n {\n \"id\": \"fa\",\n \"name\": \"Font Awesome 5\",\n \"projectUrl\": \"https://fontawesome.com/\",\n \"license\": \"CC BY 4.0 License\",\n \"licenseUrl\": \"https://creativecommons.org/licenses/by/4.0/\"\n },\n {\n \"id\": \"fa6\",\n \"name\": \"Font Awesome 6\",\n \"projectUrl\": \"https://fontawesome.com/\",\n \"license\": \"CC BY 4.0 License\",\n \"licenseUrl\": \"https://creativecommons.org/licenses/by/4.0/\"\n },\n {\n \"id\": \"io\",\n \"name\": \"Ionicons 4\",\n \"projectUrl\": \"https://ionicons.com/\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/ionic-team/ionicons/blob/master/LICENSE\"\n },\n {\n \"id\": \"io5\",\n \"name\": \"Ionicons 5\",\n \"projectUrl\": \"https://ionicons.com/\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/ionic-team/ionicons/blob/master/LICENSE\"\n },\n {\n \"id\": \"md\",\n \"name\": \"Material Design icons\",\n \"projectUrl\": \"http://google.github.io/material-design-icons/\",\n \"license\": \"Apache License Version 2.0\",\n \"licenseUrl\": \"https://github.com/google/material-design-icons/blob/master/LICENSE\"\n },\n {\n \"id\": \"ti\",\n \"name\": \"Typicons\",\n \"projectUrl\": \"http://s-ings.com/typicons/\",\n \"license\": \"CC BY-SA 3.0\",\n \"licenseUrl\": \"https://creativecommons.org/licenses/by-sa/3.0/\"\n },\n {\n \"id\": \"go\",\n \"name\": \"Github Octicons icons\",\n \"projectUrl\": \"https://octicons.github.com/\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/primer/octicons/blob/master/LICENSE\"\n },\n {\n \"id\": \"fi\",\n \"name\": \"Feather\",\n \"projectUrl\": \"https://feathericons.com/\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/feathericons/feather/blob/master/LICENSE\"\n },\n {\n \"id\": \"lu\",\n \"name\": \"Lucide\",\n \"projectUrl\": \"https://lucide.dev/\",\n \"license\": \"ISC\",\n \"licenseUrl\": \"https://github.com/lucide-icons/lucide/blob/main/LICENSE\"\n },\n {\n \"id\": \"gi\",\n \"name\": \"Game Icons\",\n \"projectUrl\": \"https://game-icons.net/\",\n \"license\": \"CC BY 3.0\",\n \"licenseUrl\": \"https://creativecommons.org/licenses/by/3.0/\"\n },\n {\n \"id\": \"wi\",\n \"name\": \"Weather Icons\",\n \"projectUrl\": \"https://erikflowers.github.io/weather-icons/\",\n \"license\": \"SIL OFL 1.1\",\n \"licenseUrl\": \"http://scripts.sil.org/OFL\"\n },\n {\n \"id\": \"di\",\n \"name\": \"Devicons\",\n \"projectUrl\": \"https://vorillaz.github.io/devicons/\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"ai\",\n \"name\": \"Ant Design Icons\",\n \"projectUrl\": \"https://github.com/ant-design/ant-design-icons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"bs\",\n \"name\": \"Bootstrap Icons\",\n \"projectUrl\": \"https://github.com/twbs/icons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"ri\",\n \"name\": \"Remix Icon\",\n \"projectUrl\": \"https://github.com/Remix-Design/RemixIcon\",\n \"license\": \"Apache License Version 2.0\",\n \"licenseUrl\": \"http://www.apache.org/licenses/\"\n },\n {\n \"id\": \"fc\",\n \"name\": \"Flat Color Icons\",\n \"projectUrl\": \"https://github.com/icons8/flat-color-icons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"gr\",\n \"name\": \"Grommet-Icons\",\n \"projectUrl\": \"https://github.com/grommet/grommet-icons\",\n \"license\": \"Apache License Version 2.0\",\n \"licenseUrl\": \"http://www.apache.org/licenses/\"\n },\n {\n \"id\": \"hi\",\n \"name\": \"Heroicons\",\n \"projectUrl\": \"https://github.com/tailwindlabs/heroicons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"hi2\",\n \"name\": \"Heroicons 2\",\n \"projectUrl\": \"https://github.com/tailwindlabs/heroicons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"si\",\n \"name\": \"Simple Icons\",\n \"projectUrl\": \"https://simpleicons.org/\",\n \"license\": \"CC0 1.0 Universal\",\n \"licenseUrl\": \"https://creativecommons.org/publicdomain/zero/1.0/\"\n },\n {\n \"id\": \"sl\",\n \"name\": \"Simple Line Icons\",\n \"projectUrl\": \"https://thesabbir.github.io/simple-line-icons/\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"im\",\n \"name\": \"IcoMoon Free\",\n \"projectUrl\": \"https://github.com/Keyamoon/IcoMoon-Free\",\n \"license\": \"CC BY 4.0 License\",\n \"licenseUrl\": \"https://github.com/Keyamoon/IcoMoon-Free/blob/master/License.txt\"\n },\n {\n \"id\": \"bi\",\n \"name\": \"BoxIcons\",\n \"projectUrl\": \"https://github.com/atisawd/boxicons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/atisawd/boxicons/blob/master/LICENSE\"\n },\n {\n \"id\": \"cg\",\n \"name\": \"css.gg\",\n \"projectUrl\": \"https://github.com/astrit/css.gg\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"vsc\",\n \"name\": \"VS Code Icons\",\n \"projectUrl\": \"https://github.com/microsoft/vscode-codicons\",\n \"license\": \"CC BY 4.0\",\n \"licenseUrl\": \"https://creativecommons.org/licenses/by/4.0/\"\n },\n {\n \"id\": \"tb\",\n \"name\": \"Tabler Icons\",\n \"projectUrl\": \"https://github.com/tabler/tabler-icons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://opensource.org/licenses/MIT\"\n },\n {\n \"id\": \"tfi\",\n \"name\": \"Themify Icons\",\n \"projectUrl\": \"https://github.com/lykmapipo/themify-icons\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/thecreation/standard-icons/blob/master/modules/themify-icons/LICENSE\"\n },\n {\n \"id\": \"rx\",\n \"name\": \"Radix Icons\",\n \"projectUrl\": \"https://icons.radix-ui.com\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/radix-ui/icons/blob/master/LICENSE\"\n },\n {\n \"id\": \"pi\",\n \"name\": \"Phosphor Icons\",\n \"projectUrl\": \"https://github.com/phosphor-icons/core\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/phosphor-icons/core/blob/main/LICENSE\"\n },\n {\n \"id\": \"lia\",\n \"name\": \"Icons8 Line Awesome\",\n \"projectUrl\": \"https://icons8.com/line-awesome\",\n \"license\": \"MIT\",\n \"licenseUrl\": \"https://github.com/icons8/line-awesome/blob/master/LICENSE.md\"\n }\n]","import React from \"react\";\nexport var DefaultContext = {\n color: undefined,\n size: undefined,\n className: undefined,\n style: undefined,\n attr: undefined\n};\nexport var IconContext = React.createContext && /*#__PURE__*/React.createContext(DefaultContext);","var _excluded = [\"attr\", \"size\", \"title\"];\nfunction _objectWithoutProperties(source, excluded) { if (source == null) return {}; var target = _objectWithoutPropertiesLoose(source, excluded); var key, i; if (Object.getOwnPropertySymbols) { var sourceSymbolKeys = Object.getOwnPropertySymbols(source); for (i = 0; i < sourceSymbolKeys.length; i++) { key = sourceSymbolKeys[i]; if (excluded.indexOf(key) >= 0) continue; if (!Object.prototype.propertyIsEnumerable.call(source, key)) continue; target[key] = source[key]; } } return target; }\nfunction _objectWithoutPropertiesLoose(source, excluded) { if (source == null) return {}; var target = {}; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { if (excluded.indexOf(key) >= 0) continue; target[key] = source[key]; } } return target; }\nfunction _extends() { _extends = Object.assign ? Object.assign.bind() : function (target) { for (var i = 1; i < arguments.length; i++) { var source = arguments[i]; for (var key in source) { if (Object.prototype.hasOwnProperty.call(source, key)) { target[key] = source[key]; } } } return target; }; return _extends.apply(this, arguments); }\nfunction ownKeys(e, r) { var t = Object.keys(e); if (Object.getOwnPropertySymbols) { var o = Object.getOwnPropertySymbols(e); r && (o = o.filter(function (r) { return Object.getOwnPropertyDescriptor(e, r).enumerable; })), t.push.apply(t, o); } return t; }\nfunction _objectSpread(e) { for (var r = 1; r < arguments.length; r++) { var t = null != arguments[r] ? arguments[r] : {}; r % 2 ? ownKeys(Object(t), !0).forEach(function (r) { _defineProperty(e, r, t[r]); }) : Object.getOwnPropertyDescriptors ? Object.defineProperties(e, Object.getOwnPropertyDescriptors(t)) : ownKeys(Object(t)).forEach(function (r) { Object.defineProperty(e, r, Object.getOwnPropertyDescriptor(t, r)); }); } return e; }\nfunction _defineProperty(obj, key, value) { key = _toPropertyKey(key); if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\nfunction _toPropertyKey(t) { var i = _toPrimitive(t, \"string\"); return \"symbol\" == typeof i ? i : i + \"\"; }\nfunction _toPrimitive(t, r) { if (\"object\" != typeof t || !t) return t; var e = t[Symbol.toPrimitive]; if (void 0 !== e) { var i = e.call(t, r || \"default\"); if (\"object\" != typeof i) return i; throw new TypeError(\"@@toPrimitive must return a primitive value.\"); } return (\"string\" === r ? String : Number)(t); }\nimport React from \"react\";\nimport { IconContext, DefaultContext } from \"./iconContext.mjs\";\nfunction Tree2Element(tree) {\n return tree && tree.map((node, i) => /*#__PURE__*/React.createElement(node.tag, _objectSpread({\n key: i\n }, node.attr), Tree2Element(node.child)));\n}\nexport function GenIcon(data) {\n return props => /*#__PURE__*/React.createElement(IconBase, _extends({\n attr: _objectSpread({}, data.attr)\n }, props), Tree2Element(data.child));\n}\nexport function IconBase(props) {\n var elem = conf => {\n var {\n attr,\n size,\n title\n } = props,\n svgProps = _objectWithoutProperties(props, _excluded);\n var computedSize = size || conf.size || \"1em\";\n var className;\n if (conf.className) className = conf.className;\n if (props.className) className = (className ? className + \" \" : \"\") + props.className;\n return /*#__PURE__*/React.createElement(\"svg\", _extends({\n stroke: \"currentColor\",\n fill: \"currentColor\",\n strokeWidth: \"0\"\n }, conf.attr, attr, svgProps, {\n className: className,\n style: _objectSpread(_objectSpread({\n color: props.color || conf.color\n }, conf.style), props.style),\n height: computedSize,\n width: computedSize,\n xmlns: \"http://www.w3.org/2000/svg\"\n }), title && /*#__PURE__*/React.createElement(\"title\", null, title), props.children);\n };\n return IconContext !== undefined ? /*#__PURE__*/React.createElement(IconContext.Consumer, null, conf => elem(conf)) : elem(DefaultContext);\n}","// THIS FILE IS AUTO GENERATED\nimport { GenIcon } from '../lib/index.mjs';\nexport function BiAbacus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3h2v18H2zm18 0h2v18h-2zM5 13h2v1h2v-1h2v1h2v-1h4v1h2v-4h-2v1h-4v-1h-2v1H9v-1H7v1H5zm0-9v4h2V7h8v1h2V7h2V5h-2V4h-2v1H7V4zm0 13v3h2v-1h2v1h2v-1h8v-2h-8v-1H9v1H7v-1H5z\"},\"child\":[]}]})(props);\n};\nexport function BiAccessibility (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.836 12.014-4.345.725 3.29-4.113a1 1 0 0 0-.227-1.457l-6-4a.999.999 0 0 0-1.262.125l-4 4 1.414 1.414 3.42-3.42 2.584 1.723-2.681 3.352a5.913 5.913 0 0 0-5.5.752l1.451 1.451A3.972 3.972 0 0 1 8 12c2.206 0 4 1.794 4 4 0 .739-.216 1.425-.566 2.02l1.451 1.451A5.961 5.961 0 0 0 14 16c0-.445-.053-.878-.145-1.295L17 14.181V20h2v-7a.998.998 0 0 0-1.164-.986zM8 20c-2.206 0-4-1.794-4-4 0-.739.216-1.425.566-2.02l-1.451-1.451A5.961 5.961 0 0 0 2 16c0 3.309 2.691 6 6 6 1.294 0 2.49-.416 3.471-1.115l-1.451-1.451A3.972 3.972 0 0 1 8 20z\"},\"child\":[]}]})(props);\n};\nexport function BiAddToQueue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM8 16V4h12l.002 12H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8H2v12c0 1.103.897 2 2 2h12v-2H4V8zm11-2h-2v3h-3v2h3v3h2v-3h3V9h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiAdjust (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.514 0 10-4.486 10-10S17.514 2 12 2 2 6.486 2 12s4.486 10 10 10zm0-18c4.411 0 8 3.589 8 8s-3.589 8-8 8-8-3.589-8-8 3.589-8 8-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12a7 7 0 0 0-7-7v14a7 7 0 0 0 7-7z\"},\"child\":[]}]})(props);\n};\nexport function BiAlarmAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c-4.879 0-9 4.121-9 9s4.121 9 9 9 9-4.121 9-9-4.121-9-9-9zm0 16c-3.794 0-7-3.206-7-7s3.206-7 7-7 7 3.206 7 7-3.206 7-7 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h-2v4H7v2h4v4h2v-4h4v-2h-4zm7.292-1.292-3.01-3 1.412-1.417 3.01 3zM5.282 2.294 6.7 3.706l-2.99 3-1.417-1.413z\"},\"child\":[]}]})(props);\n};\nexport function BiAlarmExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c4.879 0 9-4.121 9-9s-4.121-9-9-9-9 4.121-9 9 4.121 9 9 9zm0-16c3.794 0 7 3.206 7 7s-3.206 7-7 7-7-3.206-7-7 3.206-7 7-7zm5.284-2.293 1.412-1.416 3.01 3-1.413 1.417zM5.282 2.294 6.7 3.706l-2.99 3-1.417-1.413z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h2v5h-2zm0 6h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiAlarmOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.292 6.708-3.01-3 1.412-1.417 3.01 3zm1.415 13.585-2.287-2.287C20.409 16.563 21 14.838 21 13c0-4.879-4.121-9-9-9-1.838 0-3.563.591-5.006 1.58L5.91 4.496l.788-.79-1.416-1.412-.786.788-.789-.789-1.414 1.414 18 18 1.414-1.414zM12 6c3.794 0 7 3.206 7 7 0 1.292-.387 2.507-1.027 3.559L15.414 14H17v-2h-3.586L13 11.586V8h-2v1.586L8.441 7.027C9.493 6.387 10.708 6 12 6zM4.305 8.426A8.792 8.792 0 0 0 3 13c0 4.879 4.121 9 9 9a8.792 8.792 0 0 0 4.574-1.305l-1.461-1.461A6.801 6.801 0 0 1 12 20c-3.794 0-7-3.206-7-7 0-1.111.281-2.169.766-3.113L4.305 8.426z\"},\"child\":[]}]})(props);\n};\nexport function BiAlarmSnooze (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c-4.879 0-9 4.121-9 9s4.121 9 9 9 9-4.121 9-9-4.121-9-9-9zm0 16c-3.794 0-7-3.206-7-7s3.206-7 7-7 7 3.206 7 7-3.206 7-7 7zm8.292-13.292-3.01-3 1.412-1.417 3.01 3zM6.698 3.707l-2.99 2.999L2.29 5.294l2.99-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.832 10.555A1 1 0 0 0 14 9H9v2h3.132l-2.964 4.445A1 1 0 0 0 10 17h5v-2h-3.132l2.964-4.445z\"},\"child\":[]}]})(props);\n};\nexport function BiAlarm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c-4.879 0-9 4.121-9 9s4.121 9 9 9 9-4.121 9-9-4.121-9-9-9zm0 16c-3.794 0-7-3.206-7-7s3.206-7 7-7 7 3.206 7 7-3.206 7-7 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12V8h-2v6h6v-2zm4.284-8.293 1.412-1.416 3.01 3-1.413 1.417zm-10.586 0-2.99 2.999L2.29 5.294l2.99-3z\"},\"child\":[]}]})(props);\n};\nexport function BiAlbum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.99\",\"cy\":\"11.99\",\"r\":\"2.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm0 18a8 8 0 1 1 8-8 8 8 0 0 1-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a6 6 0 0 0-6 6h2a4 4 0 0 1 4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiAlignJustify (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h16v2H4zm0-4h16v2H4zm0 8h16v2H4zm0 4h16v2H4zm2 4h12v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiAlignLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19h16v2H4zm0-4h11v2H4zm0-4h16v2H4zm0-8h16v2H4zm0 4h11v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiAlignMiddle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19h16v2H4zm3-4h10v2H7zm-3-4h16v2H4zm0-8h16v2H4zm3 4h10v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiAlignRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19h16v2H4zm5-4h11v2H9zm-5-4h16v2H4zm0-8h16v2H4zm5 4h11v2H9z\"},\"child\":[]}]})(props);\n};\nexport function BiAnalyse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2a7.986 7.986 0 0 1 2.337-5.663 7.91 7.91 0 0 1 2.542-1.71 8.12 8.12 0 0 1 6.13-.041A2.488 2.488 0 0 0 17.5 7C18.886 7 20 5.886 20 4.5S18.886 2 17.5 2c-.689 0-1.312.276-1.763.725-2.431-.973-5.223-.958-7.635.059a9.928 9.928 0 0 0-3.18 2.139 9.92 9.92 0 0 0-2.14 3.179A10.005 10.005 0 0 0 2 12zm17.373 3.122c-.401.952-.977 1.808-1.71 2.541s-1.589 1.309-2.542 1.71a8.12 8.12 0 0 1-6.13.041A2.488 2.488 0 0 0 6.5 17C5.114 17 4 18.114 4 19.5S5.114 22 6.5 22c.689 0 1.312-.276 1.763-.725A9.965 9.965 0 0 0 12 22a9.983 9.983 0 0 0 9.217-6.102A9.992 9.992 0 0 0 22 12h-2a7.993 7.993 0 0 1-.627 3.122z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.462c-2.502 0-4.538 2.036-4.538 4.538S9.498 16.538 12 16.538s4.538-2.036 4.538-4.538S14.502 7.462 12 7.462zm0 7.076c-1.399 0-2.538-1.139-2.538-2.538S10.601 9.462 12 9.462s2.538 1.139 2.538 2.538-1.139 2.538-2.538 2.538z\"},\"child\":[]}]})(props);\n};\nexport function BiAnchor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m22 15-3-4-3 4h1.906c-.436 2.469-2.438 4.471-4.906 4.906V13h2v-2h-2V9.336c1.543-.459 2.714-1.923 2.714-3.621C15.714 3.666 14.048 2 12 2S8.286 3.666 8.286 5.715c0 1.698 1.171 3.162 2.714 3.621V11H9v2h2v6.906C8.531 19.471 6.529 17.469 6.094 15H8l-3-4-3 4h2.073c.511 3.885 3.929 7 7.927 7s7.416-3.115 7.927-7H22zM10.286 5.715C10.286 4.77 11.055 4 12 4s1.714.77 1.714 1.715c0 .951-.801 1.785-1.714 1.785s-1.714-.834-1.714-1.785z\"},\"child\":[]}]})(props);\n};\nexport function BiAngry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14c-3 0-4 3-4 3h8s-1-3-4-3zm-2.439-2.439c.014-.014.023-.03.037-.044l1.031.413.742-1.857-5-2-.742 1.856 1.373.549L7 10.5a1.499 1.499 0 0 0 2.561 1.061zm3.068-1.49.742 1.857 1.037-.415c.011.011.019.024.029.035a1.488 1.488 0 0 0 2.112 0c.271-.271.438-.644.438-1.056l-.001-.01 1.386-.554-.742-1.857-5.001 2z\"},\"child\":[]}]})(props);\n};\nexport function BiAperture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.579 2 2 6.579 2 12s4.579 10 10 10 10-4.579 10-10S17.421 2 12 2zm2.113 13H9.986l-1.723-3.094L10.202 9h3.736l1.871 3.062L14.113 15zM4 12c0-.953.186-1.87.508-2.727L7.696 15H4.61A7.757 7.757 0 0 1 4 12zm12.283-3h3.106A7.74 7.74 0 0 1 20 12c0 .844-.143 1.66-.397 2.432L16.283 9zm1.905-2h-6.653l1.905-2.857c1.886.359 3.562 1.403 4.748 2.857zm-7.095-2.941L9.132 7H9v.197L7.17 9.942 5.65 7.214c1.3-1.703 3.249-2.895 5.443-3.155zM5.812 17h7.147l-1.709 2.961C9.084 19.748 7.141 18.63 5.812 17zm7.828 2.82 3.357-5.815 1.544 2.526c-1.154 1.642-2.901 2.854-4.901 3.289z\"},\"child\":[]}]})(props);\n};\nexport function BiArch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22h1v-6.995c.006-.502.177-3.005 3-3.005s2.994 2.503 3 3v7h7v-2h-1V4h1V2H2v2h1v16H2v2h6zM19 4v2H5V4h14zM5 8h14v12h-2v-5c0-1.729-1.045-5-5-5s-5 3.271-5 5v5H5V8z\"},\"child\":[]}]})(props);\n};\nexport function BiArchiveIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.706 5.292-2.999-2.999A.996.996 0 0 0 18 2H6a.996.996 0 0 0-.707.293L2.294 5.292A.994.994 0 0 0 2 6v13c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6a.994.994 0 0 0-.294-.708zM6.414 4h11.172l1 1H5.414l1-1zM4 19V7h16l.002 12H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h-4v3H7l5 5 5-5h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiArchiveOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.706 5.292-2.999-2.999A.996.996 0 0 0 18 2H6a.996.996 0 0 0-.707.293L2.294 5.292A.994.994 0 0 0 2 6v13c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6a.994.994 0 0 0-.294-.708zM6.414 4h11.172l1 1H5.414l1-1zM4 19V7h16l.002 12H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h3v3h4v-3h3l-5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiArchive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.706 5.291-2.999-2.998A.996.996 0 0 0 18 2H6a.996.996 0 0 0-.707.293L2.294 5.291A.994.994 0 0 0 2 5.999V19c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5.999a.994.994 0 0 0-.294-.708zM6.414 4h11.172l.999.999H5.415L6.414 4zM4 19V6.999h16L20.002 19H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12H9v-2H7v4h10v-4h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiArea (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2zm16.002 14H5V5h14l.002 14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h2V7h-5v2h3zm-3 3H9v-3H7v5h5z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11H6.414l5.293-5.293-1.414-1.414L2.586 12l7.707 7.707 1.414-1.414L6.414 13H21z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowFromBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h12v2H6zm6-14.414-6.707 6.707 1.414 1.414L11 7.414V16h2V7.414l4.293 4.293 1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowFromLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h2v12H4zm4 7h8.586l-4.293 4.293 1.414 1.414L20.414 12l-6.707-6.707-1.414 1.414L16.586 11H8z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowFromRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h2v12h-2zm-2 5H7.414l4.293-4.293-1.414-1.414L3.586 12l6.707 6.707 1.414-1.414L7.414 13H16z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowFromTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h12v2H6zm6 16.414 6.707-6.707-1.414-1.414L13 16.586V8h-2v8.586l-4.293-4.293-1.414 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowToBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h12v2H6zm5-14v8.586L6.707 8.293 5.293 9.707 12 16.414l6.707-6.707-1.414-1.414L13 12.586V4z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowToLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h2v12H4zm10.293-.707L7.586 12l6.707 6.707 1.414-1.414L11.414 13H20v-2h-8.586l4.293-4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowToRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h2v12h-2zM4 13h8.586l-4.293 4.293 1.414 1.414L16.414 12 9.707 5.293 8.293 6.707 12.586 11H4z\"},\"child\":[]}]})(props);\n};\nexport function BiArrowToTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h12v2H6zm.707 11.707L11 11.414V20h2v-8.586l4.293 4.293 1.414-1.414L12 7.586l-6.707 6.707z\"},\"child\":[]}]})(props);\n};\nexport function BiAt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10c1.466 0 2.961-.371 4.442-1.104l-.885-1.793C14.353 19.698 13.156 20 12 20c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8v1c0 .692-.313 2-1.5 2-1.396 0-1.494-1.819-1.5-2V8h-2v.025A4.954 4.954 0 0 0 12 7c-2.757 0-5 2.243-5 5s2.243 5 5 5c1.45 0 2.748-.631 3.662-1.621.524.89 1.408 1.621 2.838 1.621 2.273 0 3.5-2.061 3.5-4v-1c0-5.514-4.486-10-10-10zm0 13c-1.654 0-3-1.346-3-3s1.346-3 3-3 3 1.346 3 3-1.346 3-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiAtom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.102 20.898c.698.699 1.696 1.068 2.887 1.068 1.742 0 3.855-.778 6.012-2.127 2.156 1.35 4.27 2.127 6.012 2.127 1.19 0 2.188-.369 2.887-1.068 1.269-1.269 1.411-3.413.401-6.039-.358-.932-.854-1.895-1.457-2.859a16.792 16.792 0 0 0 1.457-2.859c1.01-2.626.867-4.771-.401-6.039-.698-.699-1.696-1.068-2.887-1.068-1.742 0-3.855.778-6.012 2.127-2.156-1.35-4.27-2.127-6.012-2.127-1.19 0-2.188.369-2.887 1.068C1.833 4.371 1.69 6.515 2.7 9.141c.359.932.854 1.895 1.457 2.859A16.792 16.792 0 0 0 2.7 14.859c-1.01 2.626-.867 4.77.402 6.039zm16.331-5.321c.689 1.79.708 3.251.052 3.907-.32.32-.815.482-1.473.482-1.167 0-2.646-.503-4.208-1.38a26.611 26.611 0 0 0 4.783-4.784c.336.601.623 1.196.846 1.775zM12 17.417a23.568 23.568 0 0 1-2.934-2.483A23.998 23.998 0 0 1 6.566 12 23.74 23.74 0 0 1 12 6.583a23.568 23.568 0 0 1 2.934 2.483 23.998 23.998 0 0 1 2.5 2.934A23.74 23.74 0 0 1 12 17.417zm6.012-13.383c.657 0 1.152.162 1.473.482.656.656.638 2.117-.052 3.907-.223.579-.51 1.174-.846 1.775a26.448 26.448 0 0 0-4.783-4.784c1.562-.876 3.041-1.38 4.208-1.38zM4.567 8.423c-.689-1.79-.708-3.251-.052-3.907.32-.32.815-.482 1.473-.482 1.167 0 2.646.503 4.208 1.38a26.448 26.448 0 0 0-4.783 4.784 13.934 13.934 0 0 1-.846-1.775zm0 7.154c.223-.579.51-1.174.846-1.775a26.448 26.448 0 0 0 4.783 4.784c-1.563.877-3.041 1.38-4.208 1.38-.657 0-1.152-.162-1.473-.482-.656-.656-.637-2.117.052-3.907z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2.574\"},\"child\":[]}]})(props);\n};\nexport function BiAward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8.999c0 1.902.765 3.627 2 4.89V21a.998.998 0 0 0 1.447.895L12 20.118l3.553 1.776a.992.992 0 0 0 .972-.043c.295-.183.475-.504.475-.851v-7.11a6.976 6.976 0 0 0 2-4.891C19 5.14 15.86 2 12 2S5 5.14 5 8.999zm7.447 9.106a1 1 0 0 0-.895 0L9 19.382v-4.067c.911.434 1.926.685 3 .685s2.089-.25 3-.685v4.066l-2.553-1.276zM12 4c2.756 0 5 2.242 5 4.999A5.006 5.006 0 0 1 12 14c-2.757 0-5-2.243-5-5.001A5.005 5.005 0 0 1 12 4z\"},\"child\":[]}]})(props);\n};\nexport function BiBadgeCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.035 15.479A3.976 3.976 0 0 0 4 16c0 2.378 2.138 4.284 4.521 3.964C9.214 21.198 10.534 22 12 22s2.786-.802 3.479-2.036C17.857 20.284 20 18.378 20 16c0-.173-.012-.347-.035-.521C21.198 14.786 22 13.465 22 12s-.802-2.786-2.035-3.479C19.988 8.347 20 8.173 20 8c0-2.378-2.143-4.288-4.521-3.964C14.786 2.802 13.466 2 12 2s-2.786.802-3.479 2.036C6.138 3.712 4 5.622 4 8c0 .173.012.347.035.521C2.802 9.214 2 10.535 2 12s.802 2.786 2.035 3.479zm1.442-5.403 1.102-.293-.434-1.053A1.932 1.932 0 0 1 6 8c0-1.103.897-2 2-2 .247 0 .499.05.73.145l1.054.434.293-1.102a1.99 1.99 0 0 1 3.846 0l.293 1.102 1.054-.434C15.501 6.05 15.753 6 16 6c1.103 0 2 .897 2 2 0 .247-.05.5-.145.73l-.434 1.053 1.102.293a1.993 1.993 0 0 1 0 3.848l-1.102.293.434 1.053c.095.23.145.483.145.73 0 1.103-.897 2-2 2-.247 0-.499-.05-.73-.145l-1.054-.434-.293 1.102a1.99 1.99 0 0 1-3.846 0l-.293-1.102-1.054.434A1.935 1.935 0 0 1 8 18c-1.103 0-2-.897-2-2 0-.247.05-.5.145-.73l.434-1.053-1.102-.293a1.993 1.993 0 0 1 0-3.848z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.742 10.71-1.408-1.42-3.331 3.299-1.296-1.296-1.414 1.414 2.704 2.704z\"},\"child\":[]}]})(props);\n};\nexport function BiBadge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.965 8.521C19.988 8.347 20 8.173 20 8c0-2.378-2.143-4.288-4.521-3.964C14.786 2.802 13.466 2 12 2s-2.786.802-3.479 2.036C6.138 3.712 4 5.622 4 8c0 .173.012.347.035.521C2.802 9.214 2 10.535 2 12s.802 2.786 2.035 3.479A3.976 3.976 0 0 0 4 16c0 2.378 2.138 4.284 4.521 3.964C9.214 21.198 10.534 22 12 22s2.786-.802 3.479-2.036C17.857 20.284 20 18.378 20 16c0-.173-.012-.347-.035-.521C21.198 14.786 22 13.465 22 12s-.802-2.786-2.035-3.479zm-1.442 5.403-1.102.293.434 1.053c.095.23.145.483.145.73 0 1.103-.897 2-2 2-.247 0-.499-.05-.73-.145l-1.054-.434-.293 1.102a1.99 1.99 0 0 1-3.846 0l-.293-1.102-1.054.434A1.935 1.935 0 0 1 8 18c-1.103 0-2-.897-2-2 0-.247.05-.5.145-.73l.434-1.053-1.102-.293a1.993 1.993 0 0 1 0-3.848l1.102-.293-.434-1.053A1.932 1.932 0 0 1 6 8c0-1.103.897-2 2-2 .247 0 .499.05.73.145l1.054.434.293-1.102a1.99 1.99 0 0 1 3.846 0l.293 1.102 1.054-.434C15.501 6.05 15.753 6 16 6c1.103 0 2 .897 2 2 0 .247-.05.5-.145.73l-.434 1.053 1.102.293a1.993 1.993 0 0 1 0 3.848z\"},\"child\":[]}]})(props);\n};\nexport function BiBaguette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.64 21.71a8 8 0 0 0 5.6-2.47l6-6c2.87-2.87 3.31-7.11 1-9.45s-6.58-1.91-9.45 1l-6 6c-2.87 2.87-3.31 7.11-1 9.45a5.38 5.38 0 0 0 3.85 1.47zm-2-9 2.78 2.79 1.42-1.42-2.79-2.79 1.41-1.41 2.83 2.83 1.42-1.42-2.83-2.83 1.41-1.41 2.83 2.83 1.42-1.42-2.79-2.78c2-1.61 4.65-1.87 6-.47s1.09 4.56-1 6.62l-6 6c-2.06 2.05-5.09 2.5-6.62 1s-1.06-4.07.55-6.08z\"},\"child\":[]}]})(props);\n};\nexport function BiBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.76 21a17.68 17.68 0 0 0 4 .43 13.89 13.89 0 0 0 9.93-3.69C23 12.37 21.06 4.11 21 3.76a1 1 0 0 0-.76-.76 17.91 17.91 0 0 0-4-.43 13.82 13.82 0 0 0-9.96 3.71C.94 11.63 2.94 19.89 3 20.24a1 1 0 0 0 .76.76zM7.7 7.7a11.86 11.86 0 0 1 8.49-3.1 17.57 17.57 0 0 1 3 .25c.31 1.87.91 7.67-2.86 11.44a11.91 11.91 0 0 1-8.55 3.11 17.16 17.16 0 0 1-2.93-.25c-.32-1.88-.92-7.67 2.85-11.45z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7.29 15.29 1.42 1.42 1.79-1.79 1.79 1.79 1.42-1.42-1.8-1.79 1.59-1.59 1.79 1.8 1.42-1.42-1.8-1.79 1.8-1.79-1.42-1.42-1.79 1.8-1.79-1.8-1.42 1.42 1.8 1.79-1.59 1.59-1.79-1.8-1.42 1.42 1.8 1.79z\"},\"child\":[]}]})(props);\n};\nexport function BiBandAid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.111 21.889a5.962 5.962 0 0 0 4.242-1.757l7.778-7.778a6.007 6.007 0 0 0 0-8.485 5.965 5.965 0 0 0-4.243-1.757 5.962 5.962 0 0 0-4.242 1.757l-7.778 7.778a6.007 6.007 0 0 0 0 8.485 5.965 5.965 0 0 0 4.243 1.757zm-2.829-8.828 7.778-7.778a3.976 3.976 0 0 1 2.828-1.171c1.069 0 2.073.416 2.829 1.171a4.006 4.006 0 0 1 0 5.657l-7.778 7.778a3.976 3.976 0 0 1-2.828 1.171 3.977 3.977 0 0 1-2.829-1.171 4.008 4.008 0 0 1 0-5.657z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"15\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"9\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function BiBarChartAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7h-4V4c0-1.103-.897-2-2-2h-4c-1.103 0-2 .897-2 2v5H4c-1.103 0-2 .897-2 2v9a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V9c0-1.103-.897-2-2-2zM4 11h4v8H4v-8zm6-1V4h4v15h-4v-9zm10 9h-4V9h4v10z\"},\"child\":[]}]})(props);\n};\nexport function BiBarChartAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h2v11h-2zm4-3h2v14h-2zM9 9h2v8H9zM4 19h16v2H4zm1-7h2v5H5z\"},\"child\":[]}]})(props);\n};\nexport function BiBarChartSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2zm16.001 14H5V5h14l.001 14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2v10h-2zm4 3h2v7h-2zm-8 2h2v5H7z\"},\"child\":[]}]})(props);\n};\nexport function BiBarChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h2v14H9zm4 2h2v12h-2zm4-4h2v16h-2zM5 12h2v8H5z\"},\"child\":[]}]})(props);\n};\nexport function BiBarcodeReader (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7h2v10H5zm9 0h1v10h-1zm-4 0h3v10h-3zM8 7h1v10H8zm8 0h3v10h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5h4V3H4c-1.103 0-2 .897-2 2v4h2V5zm0 16h4v-2H4v-4H2v4c0 1.103.897 2 2 2zM20 3h-4v2h4v4h2V5c0-1.103-.897-2-2-2zm0 16h-4v2h4c1.103 0 2-.897 2-2v-4h-2v4z\"},\"child\":[]}]})(props);\n};\nexport function BiBarcode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2zM4 18V6h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h2v8H6zm3 0h1v8H9zm8 0h1v8h-1zm-4 0h3v8h-3zm-2 0h1v8h-1z\"},\"child\":[]}]})(props);\n};\nexport function BiBaseball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.99 2a9.937 9.937 0 0 0-7.071 2.938c-3.898 3.898-3.898 10.243 0 14.143 1.895 1.895 4.405 2.938 7.071 2.938s5.177-1.043 7.071-2.938c3.899-3.899 3.899-10.244 0-14.143A9.937 9.937 0 0 0 11.99 2zm5.657 15.667a7.957 7.957 0 0 1-3.816 2.129l-.001-.037a6.199 6.199 0 0 1 .421-2.259l-1.863-.729a8.188 8.188 0 0 0-.552 3.239 7.953 7.953 0 0 1-5.503-2.344 7.965 7.965 0 0 1-2.332-5.503c.08.002.16.005.24.005a8.16 8.16 0 0 0 2.988-.558l-.73-1.862a6.156 6.156 0 0 1-2.281.412 7.936 7.936 0 0 1 2.115-3.809 7.963 7.963 0 0 1 3.972-2.168 5.974 5.974 0 0 1-.357 1.95l1.881.681a7.92 7.92 0 0 0 .482-2.701c0-.033-.004-.065-.005-.098 2.013.079 3.9.896 5.342 2.336a7.959 7.959 0 0 1 2.324 5.348 7.908 7.908 0 0 0-2.787.473l.684 1.88a5.91 5.91 0 0 1 1.935-.361 7.953 7.953 0 0 1-2.157 3.976z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.112 14.13a7.599 7.599 0 0 0-.926 1.121l1.656 1.12c.2-.296.43-.574.683-.826a6.428 6.428 0 0 1 1.178-.929l-1.049-1.703a8.408 8.408 0 0 0-1.542 1.217zM8.456 8.474a5.713 5.713 0 0 1-.827.681l1.119 1.658a7.72 7.72 0 0 0 1.122-.926 8.501 8.501 0 0 0 1.217-1.542L9.384 7.297a6.519 6.519 0 0 1-.928 1.177z\"},\"child\":[]}]})(props);\n};\nexport function BiBasket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9h-1.42l-3.712-6.496-1.736.992L17.277 9H6.723l3.146-5.504-1.737-.992L4.42 9H3a1.001 1.001 0 0 0-.965 1.263l2.799 10.264A2.005 2.005 0 0 0 6.764 22h10.473c.898 0 1.692-.605 1.93-1.475l2.799-10.263A.998.998 0 0 0 21 9zm-3.764 11v1-1H6.764L4.31 11h15.38l-2.454 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h2v5H9zm4 0h2v5h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBasketball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 19.071c1.895 1.895 4.405 2.938 7.071 2.938s5.177-1.043 7.071-2.938c3.899-3.899 3.899-10.243 0-14.143C17.177 3.034 14.665 1.99 12 1.99S6.823 3.034 4.929 4.929c-3.899 3.898-3.899 10.243 0 14.142zm7.38-15.065a7.912 7.912 0 0 1 4.594 1.678L12 10.586l-1.46-1.46c1.161-1.479 1.792-3.308 1.769-5.12zM9.11 7.696 7.098 5.684a7.929 7.929 0 0 1 3.218-1.51c-.015 1.236-.445 2.477-1.206 3.522zM7.686 9.1a6.065 6.065 0 0 1-3.459 1.057 7.923 7.923 0 0 1 1.458-3.058L7.686 9.1zm-3.675 3.046c.077.002.154.014.231.014a8.05 8.05 0 0 0 4.877-1.626L10.586 12l-4.901 4.901a7.972 7.972 0 0 1-1.674-4.755zm12.294 2.745c1.042-.758 2.28-1.188 3.508-1.206a7.947 7.947 0 0 1-1.497 3.217l-2.011-2.011zm.597 3.425a7.935 7.935 0 0 1-3.059 1.47 6.05 6.05 0 0 1 1.057-3.472l2.002 2.002zm-5.044 1.686a7.922 7.922 0 0 1-4.761-1.686L12 13.414l1.463 1.463c-1.103 1.444-1.659 3.266-1.605 5.125zm8.124-8.31c-1.807-.018-3.633.61-5.108 1.768L13.414 12l4.901-4.901a7.968 7.968 0 0 1 1.667 4.593z\"},\"child\":[]}]})(props);\n};\nexport function BiBath (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10H7V7c0-1.103.897-2 2-2s2 .897 2 2h2c0-2.206-1.794-4-4-4S5 4.794 5 7v3H3a1 1 0 0 0-1 1v2c0 2.606 1.674 4.823 4 5.65V22h2v-3h8v3h2v-3.35c2.326-.827 4-3.044 4-5.65v-2a1 1 0 0 0-1-1zm-1 3c0 2.206-1.794 4-4 4H8c-2.206 0-4-1.794-4-4v-1h16v1z\"},\"child\":[]}]})(props);\n};\nexport function BiBattery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h14c1.103 0 2-.897 2-2v-2h2v-4h-2V8c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2zM4 8h14l.002 8H4V8z\"},\"child\":[]}]})(props);\n};\nexport function BiBed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9.557V3h-2v2H6V3H4v6.557C2.81 10.25 2 11.525 2 13v4a1 1 0 0 0 1 1h1v4h2v-4h12v4h2v-4h1a1 1 0 0 0 1-1v-4c0-1.475-.811-2.75-2-3.443zM18 7v2h-5V7h5zM6 7h5v2H6V7zm14 9H4v-3c0-1.103.897-2 2-2h12c1.103 0 2 .897 2 2v3z\"},\"child\":[]}]})(props);\n};\nexport function BiBeenHere (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C7.589 2 4 5.589 4 9.995c-.029 6.445 7.116 11.604 7.42 11.819a.998.998 0 0 0 1.16 0C12.884 21.599 20.029 16.44 20 10c0-4.411-3.589-8-8-8zm0 17.735C10.389 18.427 5.979 14.441 6 10c0-3.309 2.691-6 6-6s6 2.691 6 6.005c.021 4.437-4.388 8.423-6 9.73z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.586 8.707 9.293l-1.414 1.414L11 14.414l5.707-5.707-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiBeer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-2V4a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v15c0 1.654 1.346 3 3 3h10c1.654 0 3-1.346 3-3v-1h2c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zm-4 13c0 .551-.448 1-1 1H5c-.552 0-1-.449-1-1V5h12v14zm4-3h-2V8h2v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h2v10H6zm6 0h2v10h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBellMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.707 15.293 19 13.586V10c0-3.217-2.185-5.927-5.145-6.742C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258C7.185 4.074 5 6.783 5 10v3.586l-1.707 1.707A.996.996 0 0 0 3 16v2a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-2a.996.996 0 0 0-.293-.707zM19 17H5v-.586l1.707-1.707A.996.996 0 0 0 7 14v-4c0-2.757 2.243-5 5-5s5 2.243 5 5v4c0 .266.105.52.293.707L19 16.414V17zm-7 5a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.037 10h7.926v2H8.037z\"},\"child\":[]}]})(props);\n};\nexport function BiBellOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22zm9-4v-2a.996.996 0 0 0-.293-.707L19 13.586V10c0-3.217-2.185-5.927-5.145-6.742C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258c-1.323.364-2.463 1.128-3.346 2.127L3.707 2.293 2.293 3.707l18 18 1.414-1.414-1.362-1.362A.993.993 0 0 0 21 18zM12 5c2.757 0 5 2.243 5 5v4c0 .266.105.52.293.707L19 16.414V17h-.586L8.207 6.793C9.12 5.705 10.471 5 12 5zm-5.293 9.707A.996.996 0 0 0 7 14v-2.879L5.068 9.189C5.037 9.457 5 9.724 5 10v3.586l-1.707 1.707A.996.996 0 0 0 3 16v2a1 1 0 0 0 1 1h10.879l-2-2H5v-.586l1.707-1.707z\"},\"child\":[]}]})(props);\n};\nexport function BiBellPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7.037h-2V10H8.037v2H11v2.963h2V12h2.963v-2H13z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13.586V10c0-3.217-2.185-5.927-5.145-6.742C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258C7.185 4.074 5 6.783 5 10v3.586l-1.707 1.707A.996.996 0 0 0 3 16v2a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-2a.996.996 0 0 0-.293-.707L19 13.586zM19 17H5v-.586l1.707-1.707A.996.996 0 0 0 7 14v-4c0-2.757 2.243-5 5-5s5 2.243 5 5v4c0 .266.105.52.293.707L19 16.414V17zm-7 5a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22z\"},\"child\":[]}]})(props);\n};\nexport function BiBell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13.586V10c0-3.217-2.185-5.927-5.145-6.742C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258C7.185 4.074 5 6.783 5 10v3.586l-1.707 1.707A.996.996 0 0 0 3 16v2a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-2a.996.996 0 0 0-.293-.707L19 13.586zM19 17H5v-.586l1.707-1.707A.996.996 0 0 0 7 14v-4c0-2.757 2.243-5 5-5s5 2.243 5 5v4c0 .266.105.52.293.707L19 16.414V17zm-7 5a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22z\"},\"child\":[]}]})(props);\n};\nexport function BiBible (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h15v-2H6.012C5.55 19.988 5 19.805 5 19s.55-.988 1.012-1H21V4c0-1.103-.897-2-2-2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3zM5 8V5c0-.805.55-.988 1-1h13v12H5V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h2v-4h2V8h-2V6h-2v2H9v2h2z\"},\"child\":[]}]})(props);\n};\nexport function BiBitcoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v4H6v2h3v2h2v-2h2v2h2v-2.051c1.968-.249 3.5-1.915 3.5-3.949 0-1.32-.65-2.484-1.64-3.213A3.982 3.982 0 0 0 18 9c0-1.858-1.279-3.411-3-3.858V3h-2v2h-2V3H9v2H6v2h2v6zm6.5 4H10v-4h4.5c1.103 0 2 .897 2 2s-.897 2-2 2zM10 7h4c1.103 0 2 .897 2 2s-.897 2-2 2h-4V7z\"},\"child\":[]}]})(props);\n};\nexport function BiBlanket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H7C4.243 2 2 4.243 2 7v10c0 2.757 2.243 5 5 5h12c1.654 0 3-1.346 3-3s-1.346-3-3-3H6v2h13a1 1 0 0 1 0 2H7c-1.654 0-3-1.346-3-3s1.346-3 3-3h13c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 10H7a4.973 4.973 0 0 0-3 1.002V7c0-1.654 1.346-3 3-3h13v8z\"},\"child\":[]}]})(props);\n};\nexport function BiBlock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM4 12c0-1.846.634-3.542 1.688-4.897l11.209 11.209A7.946 7.946 0 0 1 12 20c-4.411 0-8-3.589-8-8zm14.312 4.897L7.103 5.688A7.948 7.948 0 0 1 12 4c4.411 0 8 3.589 8 8a7.954 7.954 0 0 1-1.688 4.897z\"},\"child\":[]}]})(props);\n};\nexport function BiBluetooth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4.41 16.192 1.18 1.615L10 14.584V21a1 1 0 0 0 1.541.841l7-4.5a.999.999 0 0 0 .049-1.649L13.537 12l5.053-3.692a1.002 1.002 0 0 0-.049-1.65l-7-4.5a1.002 1.002 0 0 0-1.021-.037c-.32.176-.52.513-.52.879v6.416L5.59 6.192 4.41 7.808 10 11.893v.215l-5.59 4.084zM12 4.832l4.232 2.721L12 10.646V4.832zm0 8.522 4.232 3.093L12 19.168v-5.814z\"},\"child\":[]}]})(props);\n};\nexport function BiBody (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22V9h5V7H4v2h5v13h2v-7h2v7z\"},\"child\":[]}]})(props);\n};\nexport function BiBold (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.061 11.22A4.46 4.46 0 0 0 18 8.5C18 6.019 15.981 4 13.5 4H6v15h8c2.481 0 4.5-2.019 4.5-4.5a4.48 4.48 0 0 0-1.439-3.28zM13.5 7c.827 0 1.5.673 1.5 1.5s-.673 1.5-1.5 1.5H9V7h4.5zm.5 9H9v-3h5c.827 0 1.5.673 1.5 1.5S14.827 16 14 16z\"},\"child\":[]}]})(props);\n};\nexport function BiBoltCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 6-6 7h4v5l6-7h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiBomb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3.001c-1.4 0-2.584 1.167-2.707 1.293L17.207 5.38l-1.091-1.088a.999.999 0 0 0-1.413.001L13.46 5.537A8.353 8.353 0 0 0 10.5 5C5.813 5 2 8.813 2 13.5S5.813 22 10.5 22s8.5-3.813 8.5-8.5c0-.909-.144-1.8-.428-2.658l1.345-1.345a1.002 1.002 0 0 0-.001-1.415l-1.293-1.29 1.088-1.088c.229-.229.845-.703 1.289-.703h1v-2h-1zm-4.511 7.978c.339.804.511 1.652.511 2.521 0 3.584-2.916 6.5-6.5 6.5S4 17.084 4 13.5 6.916 7 10.5 7c.96 0 1.89.21 2.762.624.381.181.837.103 1.136-.196l1.014-1.014 2.384 2.377-1.092 1.092a.998.998 0 0 0-.215 1.096z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13.5a4.47 4.47 0 0 0 1.318 3.182l1.414-1.414C8.26 14.795 8 14.168 8 13.5s.26-1.295.732-1.768A2.484 2.484 0 0 1 10.5 11V9a4.469 4.469 0 0 0-3.182 1.318A4.47 4.47 0 0 0 6 13.5z\"},\"child\":[]}]})(props);\n};\nexport function BiBone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.049 4.951a3.953 3.953 0 0 0-1.028-1.801c-1.51-1.51-4.146-1.51-5.656 0a4.009 4.009 0 0 0-.618 4.86l-3.714 3.714c-1.505-.89-3.591-.649-4.86.618a4.004 4.004 0 0 0 0 5.657 3.946 3.946 0 0 0 1.8 1.028c.178.681.53 1.302 1.028 1.8A3.966 3.966 0 0 0 8.829 22a3.973 3.973 0 0 0 2.828-1.172 4.007 4.007 0 0 0 .617-4.859l3.714-3.714c1.507.891 3.593.65 4.861-.619a4.003 4.003 0 0 0 0-5.656 3.942 3.942 0 0 0-1.8-1.029zm.387 5.271c-.756.755-2.073.756-2.829 0l-.707-.707-6.363 6.364.707.707a2.003 2.003 0 0 1 0 2.828c-.757.757-2.074.755-2.829 0a1.963 1.963 0 0 1-.571-1.31l-.047-.9-.9-.047a1.972 1.972 0 0 1-1.31-.571 2.003 2.003 0 0 1 0-2.829c.377-.377.879-.585 1.413-.585s1.036.208 1.414.585l.707.707 6.364-6.363-.707-.707a2.003 2.003 0 0 1 0-2.829c.756-.754 2.072-.754 2.828 0 .343.343.546.809.572 1.312l.048.897.897.048c.503.026.969.229 1.312.572.377.378.585.88.585 1.414s-.207 1.036-.584 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiBong (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19.293 8.293-2.069 2.069A7.017 7.017 0 0 0 15 8.681V4h1V2H8v2h1v4.681A7.01 7.01 0 0 0 5 15c0 3.859 3.141 7 7 7s7-3.141 7-7a6.958 6.958 0 0 0-.652-2.934l2.359-2.359-1.414-1.414zm-8.959 1.998.666-.235V4h2v6.056l.666.235A5.006 5.006 0 0 1 16.886 14H7.114a5.006 5.006 0 0 1 3.22-3.709zM12 20a5.007 5.007 0 0 1-4.898-4h9.797A5.009 5.009 0 0 1 12 20z\"},\"child\":[]}]})(props);\n};\nexport function BiBookAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8v11c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19c0-.101.009-.191.024-.273.112-.576.584-.717.988-.727H21V4c0-1.103-.897-2-2-2H6c-1.206 0-3 .799-3 3v3zm3-4h13v12H5V5c0-.806.55-.988 1-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h2v-3h3V9h-3V6h-2v3H8v2h3z\"},\"child\":[]}]})(props);\n};\nexport function BiBookAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19s.55-.988 1.012-1H21V4c0-1.103-.897-2-2-2zm0 14H5V5c0-.806.55-.988 1-1h13v12z\"},\"child\":[]}]})(props);\n};\nexport function BiBookBookmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2.01H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.998 5 19.815 5 19.01c0-.101.009-.191.024-.273.112-.575.583-.717.987-.727H20c.018 0 .031-.009.049-.01H21V4.01c0-1.103-.897-2-2-2zm0 14H5v-11c0-.806.55-.988 1-1h7v7l2-1 2 1v-7h2v12z\"},\"child\":[]}]})(props);\n};\nexport function BiBookContent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 19V5h7v14H4zm9 0V5h7l.001 14H13z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h3v2h-3zm0 4h3v2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiBookHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8v11c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19s.55-.988 1.012-1H21V4c0-1.103-.897-2-2-2H6c-1.206 0-3 .799-3 3v3zm3-4h13v12H5V5c0-.806.55-.988 1-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11.997 14 3.35-3.289a2.129 2.129 0 0 0 0-3.069 2.225 2.225 0 0 0-3.126 0l-.224.218-.224-.219a2.224 2.224 0 0 0-3.125 0 2.129 2.129 0 0 0 0 3.069L11.997 14z\"},\"child\":[]}]})(props);\n};\nexport function BiBookOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3h-7a2.98 2.98 0 0 0-2 .78A2.98 2.98 0 0 0 10 3H3a1 1 0 0 0-1 1v15a1 1 0 0 0 1 1h5.758c.526 0 1.042.214 1.414.586l1.121 1.121c.009.009.021.012.03.021.086.079.182.149.294.196h.002a.996.996 0 0 0 .762 0h.002c.112-.047.208-.117.294-.196.009-.009.021-.012.03-.021l1.121-1.121A2.015 2.015 0 0 1 15.242 20H21a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM8.758 18H4V5h6c.552 0 1 .449 1 1v12.689A4.032 4.032 0 0 0 8.758 18zM20 18h-4.758c-.799 0-1.584.246-2.242.689V6c0-.551.448-1 1-1h6v13z\"},\"child\":[]}]})(props);\n};\nexport function BiBookReader (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8c-.202 0-4.85.029-9 2.008C7.85 8.029 3.202 8 3 8a1 1 0 0 0-1 1v9.883a1 1 0 0 0 .305.719c.195.188.48.305.729.28l.127-.001c.683 0 4.296.098 8.416 2.025.016.008.034.005.05.011.119.049.244.083.373.083s.254-.034.374-.083c.016-.006.034-.003.05-.011 4.12-1.928 7.733-2.025 8.416-2.025l.127.001c.238.025.533-.092.729-.28.194-.189.304-.449.304-.719V9a1 1 0 0 0-1-1zM4 10.049c1.485.111 4.381.48 7 1.692v7.742c-3-1.175-5.59-1.494-7-1.576v-7.858zm16 7.858c-1.41.082-4 .401-7 1.576v-7.742c2.619-1.212 5.515-1.581 7-1.692v7.858z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function BiBook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h15v-2H6.012C5.55 19.988 5 19.805 5 19s.55-.988 1.012-1H21V4c0-1.103-.897-2-2-2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3zM5 8V5c0-.805.55-.988 1-1h13v12H5V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h9v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmarkAltMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2h-12C4.57 2 3 3.57 3 5.5V22l7-3.5 7 3.5v-9h5V5.5C22 3.57 20.43 2 18.5 2zM15 18.764l-5-2.5-5 2.5V5.5C5 4.673 5.673 4 6.5 4h8.852A3.451 3.451 0 0 0 15 5.5v13.264zM20 11h-3V5.5c0-.827.673-1.5 1.5-1.5s1.5.673 1.5 1.5V11z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h6v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmarkAltPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2h-12C4.57 2 3 3.57 3 5.5V22l7-3.5 7 3.5v-9h5V5.5C22 3.57 20.43 2 18.5 2zM15 18.764l-5-2.5-5 2.5V5.5C5 4.673 5.673 4 6.5 4h8.852A3.451 3.451 0 0 0 15 5.5v13.264zM20 11h-3V5.5c0-.827.673-1.5 1.5-1.5s1.5.673 1.5 1.5V11z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7H9v2H7v2h2v2h2v-2h2V9h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmarkAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2h-12C4.57 2 3 3.57 3 5.5V22l7-3.5 7 3.5v-9h5V5.5C22 3.57 20.43 2 18.5 2zM15 18.764l-5-2.5-5 2.5V5.5C5 4.673 5.673 4 6.5 4h8.852A3.451 3.451 0 0 0 15 5.5v13.264zM20 11h-3V5.5c0-.827.673-1.5 1.5-1.5s1.5.673 1.5 1.5V11z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmarkHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22V4c0-1.103-.897-2-2-2H6c-1.103 0-2 .897-2 2v18l8-4.572L20 22zM6 10V4h12v14.553l-6-3.428-6 3.428V10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.409 9.512c.361-.372.585-.888.585-1.456s-.223-1.083-.585-1.456a1.962 1.962 0 0 0-1.412-.603S13.001 5.994 12 7.026c-1.001-1.032-1.997-1.029-1.997-1.029-.552 0-1.051.23-1.412.603-.362.373-.585.887-.585 1.456s.223 1.084.585 1.456L12 13.203l3.409-3.691z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmarkMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8v2H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22V4c0-1.103-.897-2-2-2H6c-1.103 0-2 .897-2 2v18l8-4.572L20 22zM6 10V4h12v14.553l-6-3.428-6 3.428V10z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmarkPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14v-3h3V9h-3V6h-2v3H8v2h3v3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22V4c0-1.103-.897-2-2-2H6c-1.103 0-2 .897-2 2v18l8-4.572L20 22zM6 10V4h12v14.553l-6-3.428-6 3.428V10z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6c-1.103 0-2 .897-2 2v18l8-4.572L20 22V4c0-1.103-.897-2-2-2zm0 16.553-6-3.428-6 3.428V4h12v14.553z\"},\"child\":[]}]})(props);\n};\nexport function BiBookmarks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5H6c-1.103 0-2 .897-2 2v16l6-3.601L16 23V7c0-1.103-.897-2-2-2zm0 14.467-4-2.399-4 2.399V7h8v12.467z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 1h-8c-1.103 0-2 .897-2 2h8c1.103 0 2 .897 2 2v10.443l2 2.489V3c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h12V3H3v18h6zm10-4v2h-6v-6h6v4zM15 5h4v6h-6V5h2zM5 7V5h6v6H5V7zm0 12v-6h6v6H5z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h2v2H3zm0 4h2v2H3zm0 4h2v2H3zM3 3h2v2H3zm8 0h2v2h-2zM7 3h2v2H7zm8 0h2v2h-2zm4 0h2v2h-2zm0 12h2v2h-2zm0-4h2v2h-2zm0-4h2v2h-2zm-4 4h2v2h-2zm-8 0h2v2H7zm4-4h2v2h-2zm0 8h2v2h-2zm0-4h2v2h-2zm6 8H3v2h18v-2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderInner (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19h2v2h-2zM7 19h2v2H7zm8 0h2v2h-2zM3 19h2v2H3zm0-4h2v2H3zm0-8h2v2H3zm0-4h2v2H3zm12 0h2v2h-2zM7 3h2v2H7zm12 0h2v2h-2zm0 12h2v2h-2zm0-8h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13h6v8h2v-8h8v-2h-8V3h-2v8H3v2h1.93z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h2v2h-2zm4 0h2v2h-2zM7 3h2v2H7zm12 0h2v2h-2zm0 8h2v2h-2zm0 4h2v2h-2zm0-8h2v2h-2zm0 12h2v2h-2zm-4 0h2v2h-2zm-8 0h2v2H7zm4 0h2v2h-2zm0-4h2v2h-2zm0-8h2v2h-2zm4 4h2v2h-2zm-8 0h2v2H7zm4 0h2v2h-2zM3 5v16h2V3H3z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderNone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19h2v2h-2zm-8 0h2v2h-2zm4 0h2v2h-2zm-8 0h2v2H7zm-4 0h2v2H3zM3 7h2v2H3zm0 8h2v2H3zm0-4h2v2H3zm0-8h2v2H3zm4 0h2v2H7zm4 0h2v2h-2zm4 0h2v2h-2zm4 0h2v2h-2zm0 4h2v2h-2zm0 4h2v2h-2zm0 4h2v2h-2zm-8-8h2v2h-2zm0 8h2v2h-2zm-4-4h2v2H7zm8 0h2v2h-2zm-4 0h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderOuter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2v2h-2zm0 8h2v2h-2zm-4-4h2v2H7zm8 0h2v2h-2zm-4 0h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H3v18h18V3h-2zm0 4v12H5V5h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderRadius (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19h2v2h-2zM7 19h2v2H7zm8 0h2v2h-2zm-4 0h2v2h-2zm-8 0h2v2H3zm0-4h2v2H3zm0-8h2v2H3zm0 4h2v2H3zm0-8h2v2H3zm4 0h2v2H7zm12 12h2v2h-2zM16 3h-5v2h5c1.654 0 3 1.346 3 3v5h2V8c0-2.757-2.243-5-5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h2v2h-2zm-4 0h2v2h-2zm-4 0h2v2H7zm-4 0h2v2H3zM3 7h2v2H3zm0 8h2v2H3zm0-4h2v2H3zm0-8h2v2H3zm12 0h2v2h-2zm-4 0h2v2h-2zM7 3h2v2H7zm4 4h2v2h-2zm0 8h2v2h-2zm-4-4h2v2H7zm8 0h2v2h-2zm-4 0h2v2h-2zm8-6v16h2V3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBorderTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11h2v2h-2zm0 4h2v2h-2zm0-8h2v2h-2zm0 12h2v2h-2zm-8 0h2v2h-2zm4 0h2v2h-2zm-8 0h2v2H7zm-4 0h2v2H3zm0-8h2v2H3zm0 4h2v2H3zm0-8h2v2H3zm4 4h2v2H7zm8 0h2v2h-2zm-4-4h2v2h-2zm0 8h2v2h-2zm0-4h2v2h-2zm10-8H3v2h18z\"},\"child\":[]}]})(props);\n};\nexport function BiBot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.928 11.607c-.202-.488-.635-.605-.928-.633V8c0-1.103-.897-2-2-2h-6V4.61c.305-.274.5-.668.5-1.11a1.5 1.5 0 0 0-3 0c0 .442.195.836.5 1.11V6H5c-1.103 0-2 .897-2 2v2.997l-.082.006A1 1 0 0 0 1.99 12v2a1 1 0 0 0 1 1H3v5c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-5a1 1 0 0 0 1-1v-1.938a1.006 1.006 0 0 0-.072-.455zM5 20V8h14l.001 3.996L19 12v2l.001.005.001 5.995H5z\"},\"child\":[]},{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"12\",\"rx\":\"1.5\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"12\",\"rx\":\"1.5\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h8v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiBowlHot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10H3a1 1 0 0 0-1 1 10 10 0 0 0 5 8.66V21a1 1 0 0 0 1 1h8a1 1 0 0 0 1-1v-1.34A10 10 0 0 0 22 11a1 1 0 0 0-1-1zm-5.45 8.16a1 1 0 0 0-.55.9V20H9v-.94a1 1 0 0 0-.55-.9A8 8 0 0 1 4.06 12h15.88a8 8 0 0 1-4.39 6.16zM9 9V7.93a4.53 4.53 0 0 0-1.28-3.15A2.49 2.49 0 0 1 7 3V2H5v1a4.53 4.53 0 0 0 1.28 3.17A2.49 2.49 0 0 1 7 7.93V9zm4 0V7.93a4.53 4.53 0 0 0-1.28-3.15A2.49 2.49 0 0 1 11 3V2H9v1a4.53 4.53 0 0 0 1.28 3.15A2.49 2.49 0 0 1 11 7.93V9zm4 0V7.93a4.53 4.53 0 0 0-1.28-3.15A2.49 2.49 0 0 1 15 3V2h-2v1a4.53 4.53 0 0 0 1.28 3.15A2.49 2.49 0 0 1 15 7.93V9z\"},\"child\":[]}]})(props);\n};\nexport function BiBowlRice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19.66V21a1 1 0 0 0 1 1h8a1 1 0 0 0 1-1v-1.34A10 10 0 0 0 22 11a1 1 0 0 0-1-1 3.58 3.58 0 0 0-1.8-3 3.66 3.66 0 0 0-3.63-3.13 3.86 3.86 0 0 0-1 .13 3.7 3.7 0 0 0-5.11 0 3.86 3.86 0 0 0-1-.13A3.66 3.66 0 0 0 4.81 7 3.58 3.58 0 0 0 3 10a1 1 0 0 0-1 1 10 10 0 0 0 5 8.66zm-.89-11 .83-.26-.16-.9a1.64 1.64 0 0 1 1.66-1.62 1.78 1.78 0 0 1 .83.2l.81.45.5-.77a1.71 1.71 0 0 1 2.84 0l.5.77.81-.45a1.78 1.78 0 0 1 .83-.2 1.65 1.65 0 0 1 1.67 1.6l-.16.85.82.28A1.59 1.59 0 0 1 19 10H5a1.59 1.59 0 0 1 1.11-1.39zM19.94 12a8 8 0 0 1-4.39 6.16 1 1 0 0 0-.55.9V20H9v-.94a1 1 0 0 0-.55-.9A8 8 0 0 1 4.06 12z\"},\"child\":[]}]})(props);\n};\nexport function BiBowlingBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"7.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"11.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiBox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a2 2 0 0 0-2 2v2a2 2 0 0 0 1 1.72V19a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V8.72A2 2 0 0 0 22 7V5a2 2 0 0 0-2-2zM4 5h16v2H4zm1 14V9h14v10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h8v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiBracket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4V2H4v20h6v-2H6V4zm4 16v2h6V2h-6v2h4v16z\"},\"child\":[]}]})(props);\n};\nexport function BiBraille (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"7\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"7\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"17\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"20\",\"cy\":\"7\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"17\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiBrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.864 8.465a3.505 3.505 0 0 0-3.03-4.449A3.005 3.005 0 0 0 14 2a2.98 2.98 0 0 0-2 .78A2.98 2.98 0 0 0 10 2c-1.301 0-2.41.831-2.825 2.015a3.505 3.505 0 0 0-3.039 4.45A4.028 4.028 0 0 0 2 12c0 1.075.428 2.086 1.172 2.832A4.067 4.067 0 0 0 3 16c0 1.957 1.412 3.59 3.306 3.934A3.515 3.515 0 0 0 9.5 22c.979 0 1.864-.407 2.5-1.059A3.484 3.484 0 0 0 14.5 22a3.51 3.51 0 0 0 3.19-2.06 4.006 4.006 0 0 0 3.138-5.108A4.003 4.003 0 0 0 22 12a4.028 4.028 0 0 0-2.136-3.535zM9.5 20c-.711 0-1.33-.504-1.47-1.198L7.818 18H7c-1.103 0-2-.897-2-2 0-.352.085-.682.253-.981l.456-.816-.784-.51A2.019 2.019 0 0 1 4 12c0-.977.723-1.824 1.682-1.972l1.693-.26-1.059-1.346a1.502 1.502 0 0 1 1.498-2.39L9 6.207V5a1 1 0 0 1 2 0v13.5c0 .827-.673 1.5-1.5 1.5zm9.575-6.308-.784.51.456.816c.168.3.253.63.253.982 0 1.103-.897 2-2.05 2h-.818l-.162.802A1.502 1.502 0 0 1 14.5 20c-.827 0-1.5-.673-1.5-1.5V5c0-.552.448-1 1-1s1 .448 1 1.05v1.207l1.186-.225a1.502 1.502 0 0 1 1.498 2.39l-1.059 1.347 1.693.26A2.002 2.002 0 0 1 20 12c0 .683-.346 1.315-.925 1.692z\"},\"child\":[]}]})(props);\n};\nexport function BiBriefcaseAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zm-5-2v2H9V4h6zM4 8h16v4h-3v-2h-2v2H9v-2H7v2H4V8zm0 11v-5h3v2h2v-2h6v2h2v-2h3.001v5H4z\"},\"child\":[]}]})(props);\n};\nexport function BiBriefcaseAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zm-4 2v11H8V8h8zm-1-4v2H9V4h6zM4 8h2v11H4V8zm14 11V8h2l.001 11H18z\"},\"child\":[]}]})(props);\n};\nexport function BiBriefcase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zm-5-2v2H9V4h6zM8 8h12v3H4V8h4zM4 19v-6h6v2h4v-2h6l.001 6H4z\"},\"child\":[]}]})(props);\n};\nexport function BiBrightnessHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 11.293-2-2L19 8.586V6a1 1 0 0 0-1-1h-2.586l-.707-.707-2-2a.999.999 0 0 0-1.414 0l-2 2L8.586 5H6a1 1 0 0 0-1 1v2.586l-.707.707-2 2a.999.999 0 0 0 0 1.414l2 2 .707.707V18a1 1 0 0 0 1 1h2.586l.707.707 2 2a.997.997 0 0 0 1.414 0l2-2 .707-.707H18a1 1 0 0 0 1-1v-2.586l.707-.707 2-2a.999.999 0 0 0 0-1.414zm-4.414 3-.293.293V17h-2.414l-.293.293-1 1L12 19.586l-1.293-1.293-1-1L9.414 17H7v-2.414l-.293-.293-1-1L4.414 12l1.293-1.293 1-1L7 9.414V7h2.414l.293-.293 1-1L12 4.414l1.293 1.293 1 1 .293.293H17v2.414l.293.293 1 1L19.586 12l-1.293 1.293-1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8c2.206 0 4-1.794 4-4s-1.794-4-4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiBrightness (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.707 9.293 19 8.586V6a1 1 0 0 0-1-1h-2.586l-.707-.707-2-2a.999.999 0 0 0-1.414 0l-2 2L8.586 5H6a1 1 0 0 0-1 1v2.586l-.707.707-2 2a.999.999 0 0 0 0 1.414l2 2 .707.707V18a1 1 0 0 0 1 1h2.586l.707.707 2 2a.997.997 0 0 0 1.414 0l2-2 .707-.707H18a1 1 0 0 0 1-1v-2.586l.707-.707 2-2a.999.999 0 0 0 0-1.414l-2-2zm-2.414 5-.293.293V17h-2.414l-.293.293-1 1L12 19.586l-1.293-1.293-1-1L9.414 17H7v-2.414l-.293-.293-1-1L4.414 12l1.293-1.293 1-1L7 9.414V7h2.414l.293-.293 1-1L12 4.414l1.293 1.293 1 1 .293.293H17v2.414l.293.293 1 1L19.586 12l-1.293 1.293-1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiBroadcast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19.707 4.293-1.414 1.414c2.733 2.733 2.733 7.353 0 10.086l1.414 1.414c3.5-3.5 3.5-9.414 0-12.914zm-4.414 4.414c.566.566.879 1.292.879 2.043s-.313 1.477-.879 2.043l1.414 1.414c.944-.943 1.465-2.172 1.465-3.457s-.521-2.514-1.465-3.457l-1.414 1.414zm-9.086-3L4.793 4.293c-3.5 3.5-3.5 9.414 0 12.914l1.414-1.414c-2.733-2.733-2.733-7.353 0-10.086z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.293 7.293c-.944.943-1.465 2.172-1.465 3.457s.521 2.514 1.465 3.457l1.414-1.414c-.566-.566-.879-1.292-.879-2.043s.313-1.477.879-2.043L7.293 7.293zM14 10.5a2 2 0 0 0-4 0 1.993 1.993 0 0 0 .895 1.666L10.002 22h3.996l-.893-9.835c.54-.358.895-.97.895-1.665z\"},\"child\":[]}]})(props);\n};\nexport function BiBrushAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8.001h-4V4.999a2.92 2.92 0 0 0-.874-2.108 2.943 2.943 0 0 0-2.39-.879C10.202 2.144 9 3.508 9 5.117V8H5c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-9.999c0-1.103-.897-2-2-2zM5 10h6V5.117c0-.57.407-1.07 1.002-1.117.266 0 .512.103.712.307a.956.956 0 0 1 .286.692V10h.995l.005.001h5V12H5v-2zm0 10v-6h14l.002 6H5z\"},\"child\":[]}]})(props);\n};\nexport function BiBrush (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.707 2.293a.999.999 0 0 0-1.414 0l-5.84 5.84c-.015-.001-.029-.009-.044-.009a.997.997 0 0 0-.707.293L4.288 9.831a2.985 2.985 0 0 0-.878 2.122c0 .802.313 1.556.879 2.121l.707.707-2.122 2.122A2.92 2.92 0 0 0 2 19.012a2.968 2.968 0 0 0 1.063 2.308c.519.439 1.188.68 1.885.68.834 0 1.654-.341 2.25-.937l2.04-2.039.707.706c1.134 1.133 3.109 1.134 4.242.001l1.415-1.414a.997.997 0 0 0 .293-.707c0-.026-.013-.05-.015-.076l5.827-5.827a.999.999 0 0 0 0-1.414l-8-8zm-.935 16.024a1.023 1.023 0 0 1-1.414-.001l-1.414-1.413a.999.999 0 0 0-1.414 0l-2.746 2.745a1.19 1.19 0 0 1-.836.352.91.91 0 0 1-.594-.208A.978.978 0 0 1 4 19.01a.959.959 0 0 1 .287-.692l2.829-2.829a.999.999 0 0 0 0-1.414L5.701 12.66a.99.99 0 0 1-.292-.706c0-.268.104-.519.293-.708l.707-.707 7.071 7.072-.708.706zm1.889-2.392L8.075 9.339 13 4.414 19.586 11l-4.925 4.925z\"},\"child\":[]}]})(props);\n};\nexport function BiBugAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6v2H9zm0 4h6v2H9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 5.414 1.707-1.707-1.414-1.414-1.563 1.562C15.483 2.708 13.824 2 12 2s-3.483.708-4.73 1.855L5.707 2.293 4.293 3.707 6 5.414A6.937 6.937 0 0 0 5 9H3v2h2v2H3v2h2c0 3.86 3.141 7 7 7s7-3.14 7-7h2v-2h-2v-2h2V9h-2a6.937 6.937 0 0 0-1-3.586zM17 13v2c0 2.757-2.243 5-5 5s-5-2.243-5-5V9c0-2.757 2.243-5 5-5s5 2.243 5 5v4z\"},\"child\":[]}]})(props);\n};\nexport function BiBug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16.895 6.519 2.813-2.812-1.414-1.414-2.846 2.846a6.575 6.575 0 0 0-.723-.454 5.778 5.778 0 0 0-5.45 0c-.25.132-.488.287-.722.453L5.707 2.293 4.293 3.707l2.813 2.812A8.473 8.473 0 0 0 5.756 9H2v2h2.307c-.065.495-.107.997-.107 1.5 0 .507.042 1.013.107 1.511H2v2h2.753c.013.039.021.08.034.118.188.555.421 1.093.695 1.6.044.081.095.155.141.234l-2.33 2.33 1.414 1.414 2.11-2.111a7.477 7.477 0 0 0 2.068 1.619c.479.253.982.449 1.496.58a6.515 6.515 0 0 0 3.237.001 6.812 6.812 0 0 0 1.496-.58c.465-.246.914-.55 1.333-.904.258-.218.5-.462.734-.716l2.111 2.111 1.414-1.414-2.33-2.33c.047-.08.098-.155.142-.236.273-.505.507-1.043.694-1.599.013-.039.021-.079.034-.118H22v-2h-2.308c.065-.499.107-1.004.107-1.511 0-.503-.042-1.005-.106-1.5H22V9h-3.756a8.494 8.494 0 0 0-1.349-2.481zM8.681 7.748c.445-.558.96-.993 1.528-1.294a3.773 3.773 0 0 1 3.581 0 4.894 4.894 0 0 1 1.53 1.295c.299.373.54.8.753 1.251H7.927c.214-.451.454-.879.754-1.252zM17.8 12.5c0 .522-.042 1.044-.126 1.553-.079.49-.199.973-.355 1.436a8.28 8.28 0 0 1-.559 1.288 7.59 7.59 0 0 1-.733 1.11c-.267.333-.56.636-.869.898-.31.261-.639.484-.979.664s-.695.317-1.057.41c-.04.01-.082.014-.122.023V14h-2v5.881c-.04-.009-.082-.013-.122-.023-.361-.093-.717-.23-1.057-.41s-.669-.403-.978-.664a6.462 6.462 0 0 1-.871-.899 7.402 7.402 0 0 1-.731-1.108 8.337 8.337 0 0 1-.56-1.289 9.075 9.075 0 0 1-.356-1.438A9.61 9.61 0 0 1 6.319 11H17.68c.079.491.12.995.12 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiBuildingHouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H9c-1.103 0-2 .897-2 2v5.586l-4.707 4.707A1 1 0 0 0 3 16v5a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4c0-1.103-.897-2-2-2zm-8 18H5v-5.586l3-3 3 3V20zm8 0h-6v-4a.999.999 0 0 0 .707-1.707L9 9.586V4h10v16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v2h-2zm4 0h2v2h-2zm0 4.031h2V12h-2zM15 14h2v2h-2zm-8 1h2v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiBuilding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6c-1.103 0-2 .897-2 2v17a1 1 0 0 0 1 1h14a1 1 0 0 0 1-1V4c0-1.103-.897-2-2-2zm0 18H6V4h12v16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h3v2H8zm5 0h3v2h-3zm-5 4h3v2H8zm5 .031h3V12h-3zM8 14h3v2H8zm5 0h3v2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiBuildings (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H9c-1.103 0-2 .897-2 2v6H5c-1.103 0-2 .897-2 2v9a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4c0-1.103-.897-2-2-2zM5 12h6v8H5v-8zm14 8h-6v-8c0-1.103-.897-2-2-2H9V4h10v16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v2h-2zm4 0h2v2h-2zm0 4.031h2V12h-2zM15 14h2v2h-2zm-8 .001h2v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiBulb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6v2H9zm7.906-6.288C17.936 12.506 19 11.259 19 9c0-3.859-3.141-7-7-7S5 5.141 5 9c0 2.285 1.067 3.528 2.101 4.73.358.418.729.851 1.084 1.349.144.206.38.996.591 1.921H8v2h8v-2h-.774c.213-.927.45-1.719.593-1.925.352-.503.726-.94 1.087-1.363zm-2.724.213c-.434.617-.796 2.075-1.006 3.075h-2.351c-.209-1.002-.572-2.463-1.011-3.08a20.502 20.502 0 0 0-1.196-1.492C7.644 11.294 7 10.544 7 9c0-2.757 2.243-5 5-5s5 2.243 5 5c0 1.521-.643 2.274-1.615 3.413-.373.438-.796.933-1.203 1.512z\"},\"child\":[]}]})(props);\n};\nexport function BiBullseye (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c-3.309 0-6 2.691-6 6s2.691 6 6 6 6-2.691 6-6-2.691-6-6-6zm0 10c-2.206 0-4-1.794-4-4s1.794-4 4-4 4 1.794 4 4-1.794 4-4 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.579 2 2 6.579 2 12s4.579 10 10 10 10-4.579 10-10S17.421 2 12 2zm0 18c-4.337 0-8-3.663-8-8s3.663-8 8-8 8 3.663 8 8-3.663 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c-1.081 0-2 .919-2 2s.919 2 2 2 2-.919 2-2-.919-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiBuoy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm7.411 7H16v.031A5.037 5.037 0 0 0 14.969 8H15V4.589A8.039 8.039 0 0 1 19.411 9zM12 15c-1.654 0-3-1.346-3-3s1.346-3 3-3 3 1.346 3 3-1.346 3-3 3zm1-10.931v3.032a4.988 4.988 0 0 0-2 0V4.069c.328-.041.66-.069 1-.069s.672.028 1 .069zm-4 .52V8h.031A5.037 5.037 0 0 0 8 9.031V9H4.589C5.402 7 6.999 5.402 9 4.589zM4.069 11h3.032a4.995 4.995 0 0 0 .001 2H4.069C4.028 12.672 4 12.339 4 12s.028-.672.069-1zm.52 4H8v-.031c.284.381.621.718 1 1.005v3.437A8.039 8.039 0 0 1 4.589 15zM11 19.931v-3.032a4.988 4.988 0 0 0 2 0v3.032c-.328.041-.66.069-1 .069s-.672-.028-1-.069zm4-.52v-3.437a5.038 5.038 0 0 0 1-1.005V15h3.411A8.039 8.039 0 0 1 15 19.411zM19.931 13h-3.032a4.995 4.995 0 0 0-.001-2h3.032c.042.328.07.661.07 1s-.028.672-.069 1z\"},\"child\":[]}]})(props);\n};\nexport function BiBusSchool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.259 11.948A.986.986 0 0 0 22 11V8a.999.999 0 0 0-.996-.999V6H21c0-2.206-1.794-4-4-4H7C4.794 2 3 3.794 3 6v1a1 1 0 0 0-1 1v3c0 .461.317.832.742.948a3.953 3.953 0 0 0-.741 2.298l.004 3.757c.001.733.404 1.369.995 1.716V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1h12v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1.274a2.02 2.02 0 0 0 .421-.313c.377-.378.585-.881.584-1.415l-.004-3.759a3.966 3.966 0 0 0-.742-2.291zM5 18h-.995l-.004-3.757c-.001-.459.161-.89.443-1.243h15.111c.283.353.445.783.446 1.242L20.006 18H5zm6.004-10v3H5V8h6.004zM19 11h-5.996V8H19v3zM7 4h10c1.103 0 2 .897 2 2h-4V5H9v1H5c0-1.103.897-2 2-2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"15.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"15.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiBus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.004 7.975V6c0-2.206-1.794-4-4-4h-10c-2.206 0-4 1.794-4 4v1.998l-.076.004A1 1 0 0 0 2 9v2a1 1 0 0 0 1 1h.004v6c0 .735.403 1.372.996 1.72V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1h10v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1.276A1.994 1.994 0 0 0 21.004 18v-6a1 1 0 0 0 1-1V9.062a1.006 1.006 0 0 0-.072-.455c-.203-.487-.635-.604-.928-.632zM19.006 18H5.004v-5h14.001l.001 5zM11.004 7v4h-6V7h6zm8 0v4h-6V7h6zm-12-3h10c.736 0 1.375.405 1.722 1H5.282c.347-.595.986-1 1.722-1z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"15.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"15.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiCabinet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V4zM5 4h14v7H5V4zm0 16v-7h14.001v7H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h-4V6H8v3h8V6h-2zm0 8v1h-4v-1H8v3h8v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiCableCar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 9.76 9-2.45V10H7a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2v-8a2 2 0 0 0-2-2h-4V6.76l9-2.45V2.24L2 7.69zM11 12v3H7v-3zm6 0v8H7v-3h10v-2h-4v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiCake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5h-6V2h-2v3H5C3.346 5 2 6.346 2 8v10c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.654-1.346-3-3-3zM5 7h14a1 1 0 0 1 1 1l.001 3.12c-.896.228-1.469.734-1.916 1.132-.507.45-.842.748-1.588.748-.745 0-1.08-.298-1.587-.747-.595-.529-1.409-1.253-2.915-1.253-1.505 0-2.319.724-2.914 1.253-.507.45-.841.747-1.586.747-.743 0-1.077-.297-1.582-.747-.447-.398-1.018-.905-1.913-1.133V8a1 1 0 0 1 1-1zM4 18v-4.714c.191.123.374.274.583.461C5.178 14.276 5.991 15 7.495 15c1.505 0 2.319-.724 2.914-1.253.507-.45.841-.747 1.586-.747s1.08.298 1.587.747c.595.529 1.409 1.253 2.915 1.253s2.321-.724 2.916-1.253c.211-.188.395-.34.588-.464L20.002 18H4z\"},\"child\":[]}]})(props);\n};\nexport function BiCalculator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H5c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM5 20V4h14l.001 16H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h2v2H7zm0 4h2v2H7zm4-4h2v2h-2zM7 6h10v4H7zm4 10h2v2h-2zm4-4h2v6h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2h-2V2h-2v2H9V2H7v2H5a2 2 0 0 0-2 2zm16 14H5V8h14z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.002 16H5V8h14l.002 12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 17.414 5.707-5.707-1.414-1.414L11 14.586l-2.293-2.293-1.414 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-3V2h-2v2h-4V2H8v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM5 20V7h14V6l.002 14H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.628 12.183-1.8-1.799 1.37-1.371 1.8 1.799zm-7.623 4.018V18h1.799l4.976-4.97-1.799-1.799z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarEvent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.001 16H5V8h14l.001 12z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.002 16H5V8h14l.002 12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h2v5h-2zm0 6h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.648 14.711 11.997 18l3.35-3.289a2.129 2.129 0 0 0 0-3.069 2.225 2.225 0 0 0-3.126 0l-.224.219-.224-.219a2.224 2.224 0 0 0-3.125 0 2.129 2.129 0 0 0 0 3.069z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.002 16H5V8h14l.002 12z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h8v2H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.002 16H5V8h14l.002 12z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h3v3h2v-3h3v-2h-3v-3h-2v3H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.002 16H5V8h14l.002 12z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9.981 14.811-.467 2.726 2.449-1.287 2.449 1.287-.468-2.726 1.982-1.932-2.738-.398L11.963 10l-1.225 2.481L8 12.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.002 16H5V8h14l.002 12z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarWeek (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-3V2h-2v2h-4V2H8v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM5 20V7h14V6l.002 14H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v2h10V9H7z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendarX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8.293 16.293 1.414 1.414L12 15.414l2.293 2.293 1.414-1.414L13.414 14l2.293-2.293-1.414-1.414L12 12.586l-2.293-2.293-1.414 1.414L10.586 14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm.002 16H5V8h14l.002 12z\"},\"child\":[]}]})(props);\n};\nexport function BiCalendar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11h2v2H7zm0 4h2v2H7zm4-4h2v2h-2zm0 4h2v2h-2zm4-4h2v2h-2zm0 4h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM19 8l.001 12H5V8h14z\"},\"child\":[]}]})(props);\n};\nexport function BiCameraHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.125 2H5.875A1.877 1.877 0 0 0 4 3.875v12.25C4 17.159 4.841 18 5.875 18H11v2H7v2h10v-2h-4v-2h5.125A1.877 1.877 0 0 0 20 16.125V3.875A1.877 1.877 0 0 0 18.125 2zM18 16H6V4h12v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4zm0-6c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCameraMovie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11c0-.959-.68-1.761-1.581-1.954C16.779 8.445 17 7.75 17 7c0-2.206-1.794-4-4-4-1.517 0-2.821.857-3.5 2.104C8.821 3.857 7.517 3 6 3 3.794 3 2 4.794 2 7c0 .902.312 1.727.817 2.396A1.994 1.994 0 0 0 2 11v8c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-2.638l4 2v-7l-4 2V11zm-5-6c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2zM6 5c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2zM4 19v-8h12l.002 8H4z\"},\"child\":[]}]})(props);\n};\nexport function BiCameraOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.014 12.135c.074 2.062 1.789 3.777 3.851 3.851l-3.851-3.851z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h11.879l-2-2H4V8.121L2.144 6.265A1.976 1.976 0 0 0 2 7v11c0 1.103.897 2 2 2zM20 5h-2.586l-2.707-2.707A.996.996 0 0 0 14 2h-4a.997.997 0 0 0-.707.293L6.586 5h-.172L3.707 2.293 2.293 3.707l18 18 1.414-1.414-.626-.626A1.98 1.98 0 0 0 22 18V7c0-1.103-.897-2-2-2zm-6.081 7.505-2.424-2.425c.163-.046.331-.08.505-.08 1.065 0 2 .935 2 2 0 .174-.033.342-.081.505zm1.502 1.501A3.881 3.881 0 0 0 16 12c0-2.168-1.832-4-4-4-.729 0-1.412.22-2.007.579L7.914 6.5l2.5-2.5h3.172l2.707 2.707A.996.996 0 0 0 17 7l3-.001V18h-.586l-3.993-3.994z\"},\"child\":[]}]})(props);\n};\nexport function BiCamera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8c-2.168 0-4 1.832-4 4s1.832 4 4 4 4-1.832 4-4-1.832-4-4-4zm0 6c-1.065 0-2-.935-2-2s.935-2 2-2 2 .935 2 2-.935 2-2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-2.586l-2.707-2.707A.996.996 0 0 0 14 2h-4a.996.996 0 0 0-.707.293L6.586 5H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zM4 18V7h3c.266 0 .52-.105.707-.293L10.414 4h3.172l2.707 2.707A.996.996 0 0 0 17 7h3l.002 11H4z\"},\"child\":[]}]})(props);\n};\nexport function BiCandles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19h1v3h2v-3h1a1 1 0 0 0 1-1V6a1 1 0 0 0-1-1H9V2H7v3H6a1 1 0 0 0-1 1v12a1 1 0 0 0 1 1zM7 7h2v10H7zm7 10h1v3h2v-3h1a1 1 0 0 0 1-1V8a1 1 0 0 0-1-1h-1V4h-2v3h-1a1 1 0 0 0-1 1v8a1 1 0 0 0 1 1zm1-8h2v6h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCapsule (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.999 20.133a4.969 4.969 0 0 0 3.536-1.465l7.134-7.133a5.007 5.007 0 0 0-.001-7.072C18.723 3.52 17.467 3 16.132 3s-2.591.52-3.534 1.464l-7.134 7.134a5.009 5.009 0 0 0 0 7.072 4.97 4.97 0 0 0 3.535 1.463zm5.013-14.255A2.979 2.979 0 0 1 16.132 5c.802 0 1.556.313 2.122.878a3.004 3.004 0 0 1 .001 4.243l-2.893 2.892L11.12 8.77l2.892-2.892zm-7.134 7.134 2.828-2.828 4.242 4.243-2.827 2.827c-1.133 1.133-3.11 1.132-4.243.001a3.005 3.005 0 0 1 0-4.243z\"},\"child\":[]}]})(props);\n};\nexport function BiCaptions (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v4c0 1.103.897 2 2 2h3v-2H8v-4h3V8H8c-1.103 0-2 .897-2 2zm7 0v4c0 1.103.897 2 2 2h3v-2h-3v-4h3V8h-3c-1.103 0-2 .897-2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM4 18V6h16l.002 12H4z\"},\"child\":[]}]})(props);\n};\nexport function BiCar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.772 10.156-1.368-4.105A2.995 2.995 0 0 0 16.559 4H7.441a2.995 2.995 0 0 0-2.845 2.051l-1.368 4.105A2.003 2.003 0 0 0 2 12v5c0 .753.423 1.402 1.039 1.743-.013.066-.039.126-.039.195V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2h12v2a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2.062c0-.069-.026-.13-.039-.195A1.993 1.993 0 0 0 22 17v-5c0-.829-.508-1.541-1.228-1.844zM4 17v-5h16l.002 5H4zM7.441 6h9.117c.431 0 .813.274.949.684L18.613 10H5.387l1.105-3.316A1 1 0 0 1 7.441 6z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"14.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"14.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.999 17c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2h-12c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h12zm-12-12h12l.002 10H5.999V5zm-2 14h16v2h-16z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretDownCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 16 5-6H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretDownSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 16 5-6H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.998 17 7-8h-14z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17V7l-6 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17V7l-6 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19V5l-8 7z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10 17 6-5-6-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10 17 6-5-6-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 19 8-7-8-7z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretUpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h10l-5-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretUpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h10l-5-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]}]})(props);\n};\nexport function BiCaretUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h14l-7-8z\"},\"child\":[]}]})(props);\n};\nexport function BiCarousel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19h2c0 1.103.897 2 2 2h8c1.103 0 2-.897 2-2h2c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2h-2c0-1.103-.897-2-2-2H8c-1.103 0-2 .897-2 2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2zM20 7v10h-2V7h2zM8 5h8l.001 14H8V5zM4 7h2v10H4V7z\"},\"child\":[]}]})(props);\n};\nexport function BiCartAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13h2v-2.99h2.99v-2H15V5.03h-2v2.98h-2.99v2H13V13z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h8a1 1 0 0 0 .93-.64L21.76 9h-2.14l-2.31 6h-6.64L6.18 4.23A2 2 0 0 0 4.33 3H2v2h2.33l4.75 11.38A1 1 0 0 0 10 17z\"},\"child\":[]}]})(props);\n};\nexport function BiCartAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4H2v2h2.3l3.28 9a3 3 0 0 0 2.82 2H19v-2h-8.6a1 1 0 0 1-.94-.66L9 13h9.28a2 2 0 0 0 1.92-1.45L22 5.27A1 1 0 0 0 21.27 4 .84.84 0 0 0 21 4zm-2.75 7h-10L6.43 6h13.24z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiCartDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 13.99 4-5h-3v-4h-2v4h-3l4 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.31 15h-6.64L6.18 4.23A2 2 0 0 0 4.33 3H2v2h2.33l4.75 11.38A1 1 0 0 0 10 17h8a1 1 0 0 0 .93-.64L21.76 9h-2.14z\"},\"child\":[]}]})(props);\n};\nexport function BiCart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.822 7.431A1 1 0 0 0 21 7H7.333L6.179 4.23A1.994 1.994 0 0 0 4.333 3H2v2h2.333l4.744 11.385A1 1 0 0 0 10 17h8c.417 0 .79-.259.937-.648l3-8a1 1 0 0 0-.115-.921zM17.307 15h-6.64l-2.5-6h11.39l-2.25 6z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiCast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21.001h2C13 14.935 8.065 10 2 10v2c4.962 0 9 4.038 9 9.001z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21.001h2C9 17.141 5.86 14 2 14v2c2.757 0 5 2.243 5 5.001z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"3.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v2.052c.68.025 1.349.094 2 .217V6h16v13h-5.269c.123.651.191 1.32.217 2H20c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCategoryAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM9 9H5V5h4v4zm11 4h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1zm-1 6h-4v-4h4v4zM17 3c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4zm0 6c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2zM7 13c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4zm0 6c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiCategory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM9 9H5V5h4v4zm11-6h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-1 6h-4V5h4v4zm-9 4H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1zm-1 6H5v-4h4v4zm8-6c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4zm0 6c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiCctv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.916 9.564a.998.998 0 0 0-.513-1.316L7.328 2.492c-.995-.438-2.22.051-2.645 1.042l-2.21 5.154a2.001 2.001 0 0 0 1.052 2.624L9.563 13.9 8.323 17H4v-3H2v8h2v-3h4.323c.823 0 1.552-.494 1.856-1.258l1.222-3.054 5.205 2.23a1 1 0 0 0 1.31-.517l.312-.71 1.701.68 2-5-1.536-.613.523-1.194zm-4.434 5.126L4.313 9.475l2.208-5.152 12.162 5.354-2.201 5.013z\"},\"child\":[]}]})(props);\n};\nexport function BiCertification (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.06 14.68a1 1 0 0 0 .46.6l1.91 1.11v2.2a1 1 0 0 0 1 1h2.2l1.11 1.91a1 1 0 0 0 .86.5 1 1 0 0 0 .51-.14l1.9-1.1 1.91 1.1a1 1 0 0 0 1.37-.36l1.1-1.91h2.2a1 1 0 0 0 1-1v-2.2l1.91-1.11a1 1 0 0 0 .37-1.36L20.76 12l1.11-1.91a1 1 0 0 0-.37-1.36l-1.91-1.1v-2.2a1 1 0 0 0-1-1h-2.2l-1.1-1.91a1 1 0 0 0-.61-.46 1 1 0 0 0-.76.1L12 3.26l-1.9-1.1a1 1 0 0 0-1.36.36L7.63 4.43h-2.2a1 1 0 0 0-1 1v2.2l-1.9 1.1a1 1 0 0 0-.37 1.37l1.1 1.9-1.1 1.91a1 1 0 0 0-.1.77zm3.22-3.17L4.39 10l1.55-.9a1 1 0 0 0 .49-.86V6.43h1.78a1 1 0 0 0 .87-.5L10 4.39l1.54.89a1 1 0 0 0 1 0l1.55-.89.91 1.54a1 1 0 0 0 .87.5h1.77v1.78a1 1 0 0 0 .5.86l1.54.9-.89 1.54a1 1 0 0 0 0 1l.89 1.54-1.54.9a1 1 0 0 0-.5.86v1.78h-1.83a1 1 0 0 0-.86.5l-.89 1.54-1.55-.89a1 1 0 0 0-1 0l-1.51.89-.89-1.54a1 1 0 0 0-.87-.5H6.43v-1.78a1 1 0 0 0-.49-.81l-1.55-.9.89-1.54a1 1 0 0 0 0-1.05z\"},\"child\":[]}]})(props);\n};\nexport function BiChair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13V4c0-1.103-.897-2-2-2H7c-1.103 0-2 .897-2 2v9a1 1 0 0 0-1 1v8h2v-5h12v5h2v-8a1 1 0 0 0-1-1zm-2-9v9h-2V4h2zm-4 0v9h-2V4h2zM7 4h2v9H7V4z\"},\"child\":[]}]})(props);\n};\nexport function BiChalkboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h4l-1.8 2.4 1.6 1.2 2.7-3.6h3l2.7 3.6 1.6-1.2L16 18h4c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 16V5h16l.001 11H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM5 5h14l.001 14H5V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13.553 11.658-4-2-2.448 4.895 1.79.894 1.552-3.105 4 2 2.448-4.895-1.79-.894z\"},\"child\":[]}]})(props);\n};\nexport function BiChat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18v3.766l1.515-.909L11.277 18H16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h1zM4 8h12v8h-5.277L7 18.234V16H4V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8c-1.103 0-2 .897-2 2h12c1.103 0 2 .897 2 2v8c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.999 13.587 7.7 11.292l-1.412 1.416 3.713 3.705 6.706-6.706-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.394 13.742 4.743 3.62 7.616-8.704-1.506-1.316-6.384 7.296-3.257-2.486zm19.359-5.084-1.506-1.316-6.369 7.279-.753-.602-1.25 1.562 2.247 1.798z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.995 6.9a.998.998 0 0 0-.548-.795l-8-4a1 1 0 0 0-.895 0l-8 4a1.002 1.002 0 0 0-.547.795c-.011.107-.961 10.767 8.589 15.014a.987.987 0 0 0 .812 0c9.55-4.247 8.6-14.906 8.589-15.014zM12 19.897C5.231 16.625 4.911 9.642 4.966 7.635L12 4.118l7.029 3.515c.037 1.989-.328 9.018-7.029 12.264z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 12.586-2.293-2.293-1.414 1.414L11 15.414l5.707-5.707-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10.933 13.519-2.226-2.226-1.414 1.414 3.774 3.774 5.702-6.84-1.538-1.282z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]}]})(props);\n};\nexport function BiCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10 15.586-3.293-3.293-1.414 1.414L10 18.414l9.707-9.707-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckboxChecked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2H7zm0 12V7h10l.002 10H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.996 12.556 9.7 11.285l-1.4 1.43 2.704 2.647 4.699-4.651-1.406-1.422z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckboxMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.01 11h6v2h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5H7a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2zM7 17V7h10v10z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckboxSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6v6H9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17V7c0-1.103-.897-2-2-2H7c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2zM7 7h10l.002 10H7V7z\"},\"child\":[]}]})(props);\n};\nexport function BiCheckbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2H7zm0 12V7h10l.002 10H7z\"},\"child\":[]}]})(props);\n};\nexport function BiCheese (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.16 2a1 1 0 0 0-.66.13l-12 7a.64.64 0 0 0-.13.1l-.1.08a1.17 1.17 0 0 0-.17.26.84.84 0 0 0-.1.43v10a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V10a8.08 8.08 0 0 0-6.84-8zm0 2.05A6.07 6.07 0 0 1 19.93 9H6.7zM20 19H4v-8h16z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"16.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"13.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"16\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiChevronDownCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.586 7.707 9.293l-1.414 1.414L12 16.414l5.707-5.707-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronDownSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM5 5h14l.001 14H5V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.586 7.707 9.293l-1.414 1.414L12 16.414l5.707-5.707-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.293 9.293 12 13.586 7.707 9.293l-1.414 1.414L12 16.414l5.707-5.707z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.293 6.293 7.586 12l5.707 5.707 1.414-1.414L10.414 12l4.293-4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM5 5h14l.001 14H5V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.293 6.293 7.586 12l5.707 5.707 1.414-1.414L10.414 12l4.293-4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.293 6.293 7.586 12l5.707 5.707 1.414-1.414L10.414 12l4.293-4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.293 7.707 13.586 12l-4.293 4.293 1.414 1.414L16.414 12l-5.707-5.707z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM5 5h14l.001 14H5V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.293 7.707 13.586 12l-4.293 4.293 1.414 1.414L16.414 12l-5.707-5.707z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.707 17.707 16.414 12l-5.707-5.707-1.414 1.414L13.586 12l-4.293 4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronUpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.293 13.293 1.414 1.414L12 10.414l4.293 4.293 1.414-1.414L12 7.586z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronUpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM5 5h14l.001 14H5V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.293 13.293 1.414 1.414L12 10.414l4.293 4.293 1.414-1.414L12 7.586z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6.293 13.293 1.414 1.414L12 10.414l4.293 4.293 1.414-1.414L12 7.586z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15.586-4.293-4.293-1.414 1.414L12 18.414l5.707-5.707-1.414-1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.707 7.707-1.414-1.414L12 10.586 7.707 6.293 6.293 7.707 12 13.414z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12.707 7.707-1.414-1.414L5.586 12l5.707 5.707 1.414-1.414L8.414 12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.293 6.293 10.586 12l5.707 5.707 1.414-1.414L13.414 12l4.293-4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.296 7.71 14.621 12l-4.325 4.29 1.408 1.42L17.461 12l-5.757-5.71z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.704 6.29 5.296 7.71 9.621 12l-4.325 4.29 1.408 1.42L12.461 12z\"},\"child\":[]}]})(props);\n};\nexport function BiChevronsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6.293 11.293 1.414 1.414L12 8.414l4.293 4.293 1.414-1.414L12 5.586z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.293 16.293 1.414 1.414L12 13.414l4.293 4.293 1.414-1.414L12 10.586z\"},\"child\":[]}]})(props);\n};\nexport function BiChild (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"6\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h-4a1 1 0 0 0-.8.4l-3 4 1.6 1.2L9 13v7h2v-4h2v4h2v-7l1.2 1.6 1.6-1.2-3-4A1 1 0 0 0 14 9z\"},\"child\":[]}]})(props);\n};\nexport function BiChip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6v6H9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6c0-1.103-.897-2-2-2h-2V2h-2v2h-4V2H8v2H6c-1.103 0-2 .897-2 2v2H2v2h2v4H2v2h2v2c0 1.103.897 2 2 2h2v2h2v-2h4v2h2v-2h2c1.103 0 2-.897 2-2v-2h2v-2h-2v-4h2V8h-2V6zM6 18V6h12l.002 12H6z\"},\"child\":[]}]})(props);\n};\nexport function BiChurch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.447 14.105 18 12.382V12a1 1 0 0 0-.485-.857L13 8.434V6h2V4h-2V2h-2v2H9v2h2v2.434l-4.515 2.709A1 1 0 0 0 6 12v.382l-3.447 1.724A.998.998 0 0 0 2 15v6a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1v-6c0-.379-.214-.725-.553-.895zM4 15.618l2-1V20H4v-4.382zM12 15a2 2 0 0 0-2 2v3H8v-7.434l4-2.4 4 2.4V20h-2v-3a2 2 0 0 0-2-2zm8 5h-2v-5.382l2 1V20z\"},\"child\":[]}]})(props);\n};\nexport function BiCircleHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h-1v20h1a10 10 0 0 0 0-20zm1 17.94V4.06a8 8 0 0 1 0 15.88z\"},\"child\":[]}]})(props);\n};\nexport function BiCircleQuarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h-1v11h11v-1A10 10 0 0 0 12 2zm1 9V4.06A8 8 0 0 1 19.94 11z\"},\"child\":[]}]})(props);\n};\nexport function BiCircleThreeQuarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h-1v9H2v1a10 10 0 0 0 17.07 7.07A10 10 0 0 0 12 2zm5.66 15.66A8 8 0 0 1 4.06 13H13V4.06a8 8 0 0 1 4.66 13.6z\"},\"child\":[]}]})(props);\n};\nexport function BiCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12c.001 5.515 4.487 10.001 10 10.001 5.514 0 10-4.486 10.001-10.001 0-5.514-4.486-10-10.001-10zm0 18.001c-4.41 0-7.999-3.589-8-8.001 0-4.411 3.589-8 8-8 4.412 0 8.001 3.589 8.001 8-.001 4.412-3.59 8.001-8.001 8.001z\"},\"child\":[]}]})(props);\n};\nexport function BiClinic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.707 2.293a.999.999 0 0 0-1.414 0l-9 9A1 1 0 0 0 3 13h1v7c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-7h1a.999.999 0 0 0 .707-1.707l-9-9zM18.001 20H6v-9.586l6-6 6 6V15l.001 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10h-2v3H8v2h3v3h2v-3h3v-2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiClipboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3h-2.25a1 1 0 0 0-1-1h-7.5a1 1 0 0 0-1 1H5c-1.103 0-2 .897-2 2v15c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm0 17H5V5h2v2h10V5h2v15z\"},\"child\":[]}]})(props);\n};\nexport function BiCloset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10.551v-.678A4.005 4.005 0 0 0 16 6c0-2.206-1.794-4-4-4S8 3.794 8 6h2c0-1.103.897-2 2-2s2 .897 2 2-.897 2-2 2a1 1 0 0 0-1 1v1.551l-8.665 7.702A1.001 1.001 0 0 0 3 20h18a1.001 1.001 0 0 0 .664-1.748L13 10.551zM5.63 18 12 12.338 18.37 18H5.63z\"},\"child\":[]}]})(props);\n};\nexport function BiCloudDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.948 11.112C18.511 7.67 15.563 5 12.004 5c-2.756 0-5.15 1.611-6.243 4.15-2.148.642-3.757 2.67-3.757 4.85 0 2.757 2.243 5 5 5h1v-2h-1c-1.654 0-3-1.346-3-3 0-1.404 1.199-2.757 2.673-3.016l.581-.102.192-.558C8.153 8.273 9.898 7 12.004 7c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2h-2v2h2c2.206 0 4-1.794 4-4a4.008 4.008 0 0 0-3.056-3.888z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.004 14v-4h-2v4h-3l4 5 4-5z\"},\"child\":[]}]})(props);\n};\nexport function BiCloudDrizzle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h2v3H8zm0 4h2v3H8zm3-2h2v3h-2zm0 4h2v3h-2zm3-6h2v3h-2zm0 4h2v3h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 10.112C18.507 6.67 15.56 4 12 4 9.245 4 6.85 5.611 5.757 8.15 3.609 8.792 2 10.819 2 13c0 2.757 2.243 5 5 5v-2c-1.654 0-3-1.346-3-3 0-1.403 1.199-2.756 2.673-3.015l.582-.103.191-.559C8.149 7.273 9.895 6 12 6c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2h-1v2h1c2.206 0 4-1.794 4-4a4.008 4.008 0 0 0-3.056-3.888z\"},\"child\":[]}]})(props);\n};\nexport function BiCloudLightRain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h2v4H8zm0 5h2v2H8zm3-3h2v4h-2zm0 5h2v2h-2zm3-7h2v4h-2zm0 5h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 10.112C18.507 6.67 15.56 4 12 4 9.244 4 6.85 5.611 5.757 8.15 3.609 8.792 2 10.819 2 13c0 2.757 2.243 5 5 5v-2c-1.654 0-3-1.346-3-3 0-1.403 1.199-2.756 2.673-3.015l.581-.103.192-.559C8.149 7.273 9.895 6 12 6c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2h-1v2h1c2.206 0 4-1.794 4-4a4.008 4.008 0 0 0-3.056-3.888z\"},\"child\":[]}]})(props);\n};\nexport function BiCloudLightning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10 13-1 5h2v4l3.975-6H13l1-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 10.112C18.507 6.67 15.56 4 12 4 9.244 4 6.85 5.611 5.757 8.15 3.609 8.792 2 10.819 2 13c0 2.757 2.243 5 5 5v-2c-1.654 0-3-1.346-3-3 0-1.403 1.199-2.756 2.673-3.015l.581-.103.192-.559C8.149 7.273 9.895 6 12 6c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2h-1v2h1c2.206 0 4-1.794 4-4a4.008 4.008 0 0 0-3.056-3.888z\"},\"child\":[]}]})(props);\n};\nexport function BiCloudRain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h2v7H8zm3 2h2v7h-2zm3-2h2v7h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 10.113C18.507 6.671 15.56 4.001 12 4.001c-2.756 0-5.15 1.611-6.243 4.15C3.609 8.793 2 10.82 2 13.001c0 2.757 2.243 5 5 5v-2c-1.654 0-3-1.346-3-3 0-1.403 1.199-2.756 2.673-3.015l.581-.103.192-.559C8.149 7.274 9.895 6.001 12 6.001c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2h-1v2h1c2.206 0 4-1.794 4-4a4.008 4.008 0 0 0-3.056-3.888z\"},\"child\":[]}]})(props);\n};\nexport function BiCloudSnow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 10.112C18.507 6.67 15.56 4 12 4 9.244 4 6.85 5.611 5.757 8.15 3.609 8.792 2 10.819 2 13c0 2.757 2.243 5 5 5v-2c-1.654 0-3-1.346-3-3 0-1.403 1.199-2.756 2.673-3.015l.581-.103.192-.559C8.149 7.273 9.895 6 12 6c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2h-1v2h1c2.206 0 4-1.794 4-4a4.008 4.008 0 0 0-3.056-3.888z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"16\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"21\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"16\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function BiCloudUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19v-4h3l-4-5-4 5h3v4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h2v-2H7c-1.654 0-3-1.346-3-3 0-1.404 1.199-2.756 2.673-3.015l.581-.102.192-.558C8.149 8.274 9.895 7 12 7c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2h-3v2h3c2.206 0 4-1.794 4-4a4.01 4.01 0 0 0-3.056-3.888C18.507 7.67 15.56 5 12 5 9.244 5 6.85 6.611 5.757 9.15 3.609 9.792 2 11.82 2 14c0 2.757 2.243 5 5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiCloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 11.112C18.507 7.67 15.56 5 12 5 9.244 5 6.85 6.611 5.757 9.15 3.609 9.792 2 11.82 2 14c0 2.757 2.243 5 5 5h11c2.206 0 4-1.794 4-4a4.01 4.01 0 0 0-3.056-3.888zM18 17H7c-1.654 0-3-1.346-3-3 0-1.404 1.199-2.756 2.673-3.015l.581-.102.192-.558C8.149 8.274 9.895 7 12 7c2.757 0 5 2.243 5 5v1h1c1.103 0 2 .897 2 2s-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiCodeAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7.375 16.781 1.25-1.562L4.601 12l4.024-3.219-1.25-1.562-5 4a1 1 0 0 0 0 1.562l5 4zm9.25-9.562-1.25 1.562L19.399 12l-4.024 3.219 1.25 1.562 5-4a1 1 0 0 0 0-1.562l-5-4zm-1.649-4.003-4 18-1.953-.434 4-18z\"},\"child\":[]}]})(props);\n};\nexport function BiCodeBlock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 19V7h16l.002 12H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.293 9.293 5.586 13l3.707 3.707 1.414-1.414L8.414 13l2.293-2.293zm5.414 0-1.414 1.414L15.586 13l-2.293 2.293 1.414 1.414L18.414 13z\"},\"child\":[]}]})(props);\n};\nexport function BiCodeCurly (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 22h1v-2h-.989C8.703 19.994 6 19.827 6 16c0-1.993-.665-3.246-1.502-4C5.335 11.246 6 9.993 6 8c0-3.827 2.703-3.994 3-4h1V2H8.998C7.269 2.004 4 3.264 4 8c0 2.8-1.678 2.99-2.014 3L2 13c.082 0 2 .034 2 3 0 4.736 3.269 5.996 5 6zm13-11c-.082 0-2-.034-2-3 0-4.736-3.269-5.996-5-6h-1v2h.989c.308.006 3.011.173 3.011 4 0 1.993.665 3.246 1.502 4-.837.754-1.502 2.007-1.502 4 0 3.827-2.703 3.994-3 4h-1v2h1.002C16.731 21.996 20 20.736 20 16c0-2.8 1.678-2.99 2.014-3L22 11z\"},\"child\":[]}]})(props);\n};\nexport function BiCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.293 6.293 2.586 12l5.707 5.707 1.414-1.414L5.414 12l4.293-4.293zm7.414 11.414L21.414 12l-5.707-5.707-1.414 1.414L18.586 12l-4.293 4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiCoffeeTogo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22h10a1 1 0 0 0 .99-.858L19.867 8H21V6h-1.382l-1.724-3.447A.998.998 0 0 0 17 2H7c-.379 0-.725.214-.895.553L4.382 6H3v2h1.133L6.01 21.142A1 1 0 0 0 7 22zm10.418-11H6.582l-.429-3h11.693l-.428 3zm-9.551 9-.429-3h9.123l-.429 3H7.867zM7.618 4h8.764l1 2H6.618l1-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCoffee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2h2v3H5zm4 0h2v3H9zm4 0h2v3h-2zm6 7h-2V7H3v11c0 1.654 1.346 3 3 3h8c1.654 0 3-1.346 3-3h2c1.103 0 2-.897 2-2v-5c0-1.103-.897-2-2-2zm-4 9a1 1 0 0 1-1 1H6a1 1 0 0 1-1-1V9h10v9zm2-2v-5h2l.002 5H17z\"},\"child\":[]}]})(props);\n};\nexport function BiCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4zm0-6c1.084 0 2 .916 2 2s-.916 2-2 2-2-.916-2-2 .916-2 2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2.845 16.136 1 1.73c.531.917 1.809 1.261 2.73.73l.529-.306A8.1 8.1 0 0 0 9 19.402V20c0 1.103.897 2 2 2h2c1.103 0 2-.897 2-2v-.598a8.132 8.132 0 0 0 1.896-1.111l.529.306c.923.53 2.198.188 2.731-.731l.999-1.729a2.001 2.001 0 0 0-.731-2.732l-.505-.292a7.718 7.718 0 0 0 0-2.224l.505-.292a2.002 2.002 0 0 0 .731-2.732l-.999-1.729c-.531-.92-1.808-1.265-2.731-.732l-.529.306A8.1 8.1 0 0 0 15 4.598V4c0-1.103-.897-2-2-2h-2c-1.103 0-2 .897-2 2v.598a8.132 8.132 0 0 0-1.896 1.111l-.529-.306c-.924-.531-2.2-.187-2.731.732l-.999 1.729a2.001 2.001 0 0 0 .731 2.732l.505.292a7.683 7.683 0 0 0 0 2.223l-.505.292a2.003 2.003 0 0 0-.731 2.733zm3.326-2.758A5.703 5.703 0 0 1 6 12c0-.462.058-.926.17-1.378a.999.999 0 0 0-.47-1.108l-1.123-.65.998-1.729 1.145.662a.997.997 0 0 0 1.188-.142 6.071 6.071 0 0 1 2.384-1.399A1 1 0 0 0 11 5.3V4h2v1.3a1 1 0 0 0 .708.956 6.083 6.083 0 0 1 2.384 1.399.999.999 0 0 0 1.188.142l1.144-.661 1 1.729-1.124.649a1 1 0 0 0-.47 1.108c.112.452.17.916.17 1.378 0 .461-.058.925-.171 1.378a1 1 0 0 0 .471 1.108l1.123.649-.998 1.729-1.145-.661a.996.996 0 0 0-1.188.142 6.071 6.071 0 0 1-2.384 1.399A1 1 0 0 0 13 18.7l.002 1.3H11v-1.3a1 1 0 0 0-.708-.956 6.083 6.083 0 0 1-2.384-1.399.992.992 0 0 0-1.188-.141l-1.144.662-1-1.729 1.124-.651a1 1 0 0 0 .471-1.108z\"},\"child\":[]}]})(props);\n};\nexport function BiCoinStack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c3.976 0 8-1.374 8-4V6c0-2.626-4.024-4-8-4S4 3.374 4 6v12c0 2.626 4.024 4 8 4zm0-2c-3.722 0-6-1.295-6-2v-1.268C7.541 17.57 9.777 18 12 18s4.459-.43 6-1.268V18c0 .705-2.278 2-6 2zm0-16c3.722 0 6 1.295 6 2s-2.278 2-6 2-6-1.295-6-2 2.278-2 6-2zM6 8.732C7.541 9.57 9.777 10 12 10s4.459-.43 6-1.268V10c0 .705-2.278 2-6 2s-6-1.295-6-2V8.732zm0 4C7.541 13.57 9.777 14 12 14s4.459-.43 6-1.268V14c0 .705-2.278 2-6 2s-6-1.295-6-2v-1.268z\"},\"child\":[]}]})(props);\n};\nexport function BiCoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6C7.03 6 2 7.546 2 10.5v4C2 17.454 7.03 19 12 19s10-1.546 10-4.5v-4C22 7.546 16.97 6 12 6zm-8 8.5v-1.197a9.989 9.989 0 0 0 2 .86v1.881c-1.312-.514-2-1.126-2-1.544zm12 .148v1.971c-.867.179-1.867.31-3 .358v-2a21.75 21.75 0 0 0 3-.329zm-5 2.33a18.788 18.788 0 0 1-3-.358v-1.971c.959.174 1.972.287 3 .33v1.999zm7-.934v-1.881a9.931 9.931 0 0 0 2-.86V14.5c0 .418-.687 1.03-2 1.544zM12 13c-5.177 0-8-1.651-8-2.5S6.823 8 12 8s8 1.651 8 2.5-2.823 2.5-8 2.5z\"},\"child\":[]}]})(props);\n};\nexport function BiCollapseAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h7v7h2v-9H2v2zM15 2h-2v9h9V9h-7V2z\"},\"child\":[]}]})(props);\n};\nexport function BiCollapseHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.95 5.64 13.59 12l6.36 6.36 1.41-1.41L16.41 12l4.95-4.95-1.41-1.41zM2.64 7.05 7.59 12l-4.95 4.95 1.41 1.41L10.41 12 4.05 5.64 2.64 7.05z\"},\"child\":[]}]})(props);\n};\nexport function BiCollapseVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.59 7.05 2.64 5.64 4.05 12 10.41l6.36-6.36-1.41-1.41L12 7.59zM5.64 19.95l1.41 1.41L12 16.41l4.95 4.95 1.41-1.41L12 13.59l-6.36 6.36z\"},\"child\":[]}]})(props);\n};\nexport function BiCollapse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.121 6.465 14 4.344V10h5.656l-2.121-2.121 3.172-3.172-1.414-1.414zM4.707 3.293 3.293 4.707l3.172 3.172L4.344 10H10V4.344L7.879 6.465zM19.656 14H14v5.656l2.121-2.121 3.172 3.172 1.414-1.414-3.172-3.172zM6.465 16.121l-3.172 3.172 1.414 1.414 3.172-3.172L10 19.656V14H4.344z\"},\"child\":[]}]})(props);\n};\nexport function BiCollection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10H5c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-8c0-1.103-.897-2-2-2zM5 20v-8h14l.002 8H5zM5 6h14v2H5zm2-4h10v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiColorFill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13.998c-.092.065-2 2.083-2 3.5 0 1.494.949 2.448 2 2.5.906.044 2-.891 2-2.5 0-1.5-1.908-3.435-2-3.5zm-16.586-1c0 .534.208 1.036.586 1.414l5.586 5.586c.378.378.88.586 1.414.586s1.036-.208 1.414-.586l7-7-.707-.707L11 4.584 8.707 2.291 7.293 3.705l2.293 2.293L4 11.584c-.378.378-.586.88-.586 1.414zM11 7.412l5.586 5.586L11 18.584h.001l-.001 1v-1l-5.586-5.586L11 7.412z\"},\"child\":[]}]})(props);\n};\nexport function BiColor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm0 13a3 3 0 1 1 3-3 3 3 0 0 1-3 3zm2.75-7.17A5 5 0 0 0 13 7.1v-3a7.94 7.94 0 0 1 3.9 1.62zM11 7.1a5 5 0 0 0-1.75.73L7.1 5.69A7.94 7.94 0 0 1 11 4.07zM7.83 9.25A5 5 0 0 0 7.1 11h-3a7.94 7.94 0 0 1 1.59-3.9zM7.1 13a5 5 0 0 0 .73 1.75L5.69 16.9A7.94 7.94 0 0 1 4.07 13zm2.15 3.17a5 5 0 0 0 1.75.73v3a7.94 7.94 0 0 1-3.9-1.62zm3.75.73a5 5 0 0 0 1.75-.73l2.15 2.14a7.94 7.94 0 0 1-3.9 1.62zm3.17-2.15A5 5 0 0 0 16.9 13h3a7.94 7.94 0 0 1-1.62 3.9zM16.9 11a5 5 0 0 0-.73-1.75l2.14-2.15a7.94 7.94 0 0 1 1.62 3.9z\"},\"child\":[]}]})(props);\n};\nexport function BiColumns (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.893 3.001H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h15.893c1.103 0 2-.897 2-2V5a2.003 2.003 0 0 0-2-1.999zM8 19.001H4V8h4v11.001zm6 0h-4V8h4v11.001zm2 0V8h3.893l.001 11.001H16z\"},\"child\":[]}]})(props);\n};\nexport function BiCommand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14c-2.206 0-4 1.794-4 4s1.794 4 4 4a4.003 4.003 0 0 0 3.998-3.98H10V16h4v2.039h.004A4.002 4.002 0 0 0 18 22c2.206 0 4-1.794 4-4s-1.794-4-4-4h-2v-4h2c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4v2h-4V5.98h-.002A4.003 4.003 0 0 0 6 2C3.794 2 2 3.794 2 6s1.794 4 4 4h2v4H6zm2 4c0 1.122-.879 2-2 2s-2-.878-2-2 .879-2 2-2h2v2zm10-2c1.121 0 2 .878 2 2s-.879 2-2 2-2-.878-2-2v-2h2zM16 6c0-1.122.879-2 2-2s2 .878 2 2-.879 2-2 2h-2V6zM6 8c-1.121 0-2-.878-2-2s.879-2 2-2 2 .878 2 2v2H6zm4 2h4v4h-4v-4z\"},\"child\":[]}]})(props);\n};\nexport function BiCommentAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h2v-3h3V9h-3V6h-2v3H8v2h3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiCommentCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17.207 8.207-1.414-1.414L11 11.586 8.707 9.293l-1.414 1.414L11 14.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiCommentDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10v2H7zm0 4h7v2H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiCommentDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiCommentEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13.771 9.123-1.399-1.398-3.869 3.864v1.398h1.398zM14.098 6l1.398 1.398-1.067 1.067-1.398-1.398z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiCommentError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v5h-2zm0 6h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiCommentMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8v2H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiCommentX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.707 13.707 12 11.414l2.293 2.293 1.414-1.414L13.414 10l2.293-2.293-1.414-1.414L12 8.586 9.707 6.293 8.293 7.707 10.586 10l-2.293 2.293z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiComment (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l5.333-4H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14H6.667L4 18V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiCompass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 16 5.991-2L16 8l-6 2z\"},\"child\":[]}]})(props);\n};\nexport function BiConfused (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"10.493\",\"r\":\"1.493\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.124 16.992-.248-1.984 8-1 .248 1.984z\"},\"child\":[]}]})(props);\n};\nexport function BiConversation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14h.5c.827 0 1.5-.673 1.5-1.5v-9c0-.827-.673-1.5-1.5-1.5h-13C2.673 2 2 2.673 2 3.5V18l5.333-4H16zm-9.333-2L4 14V4h12v8H6.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 8H20v6.001c0 1.1-.893 1.993-1.99 1.999H8v.5c0 .827.673 1.5 1.5 1.5h7.167L22 22V9.5c0-.827-.673-1.5-1.5-1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiCookie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.598 11.064a1.006 1.006 0 0 0-.854-.172A2.938 2.938 0 0 1 20 11c-1.654 0-3-1.346-3.003-2.937.005-.034.016-.136.017-.17a.998.998 0 0 0-1.254-1.006A2.963 2.963 0 0 1 15 7c-1.654 0-3-1.346-3-3 0-.217.031-.444.099-.716a1 1 0 0 0-1.067-1.236A9.956 9.956 0 0 0 2 12c0 5.514 4.486 10 10 10s10-4.486 10-10c0-.049-.003-.097-.007-.16a1.004 1.004 0 0 0-.395-.776zM12 20c-4.411 0-8-3.589-8-8a7.962 7.962 0 0 1 6.006-7.75A5.006 5.006 0 0 0 15 9l.101-.001a5.007 5.007 0 0 0 4.837 4C19.444 16.941 16.073 20 12 20z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12.5\",\"cy\":\"11.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"8.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"12.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"15.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"16.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiCool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.683 14.828a4.055 4.055 0 0 1-1.272.858 4.002 4.002 0 0 1-4.875-1.45l-1.658 1.119a6.063 6.063 0 0 0 1.621 1.62 5.963 5.963 0 0 0 2.148.903 6.035 6.035 0 0 0 3.542-.35 6.048 6.048 0 0 0 1.907-1.284c.272-.271.52-.571.734-.889l-1.658-1.119a4.147 4.147 0 0 1-.489.592z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 2c2.953 0 5.531 1.613 6.918 4H5.082C6.469 5.613 9.047 4 12 4zm0 16c-4.411 0-8-3.589-8-8 0-.691.098-1.359.264-2H5v1a2 2 0 0 0 2 2h2a2 2 0 0 0 2-2h2a2 2 0 0 0 2 2h2a2 2 0 0 0 2-2v-1h.736c.166.641.264 1.309.264 2 0 4.411-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiCopyAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H10c-1.103 0-2 .897-2 2v4H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2v-4h4c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM4 20V10h10l.002 10H4zm16-6h-4v-4c0-1.103-.897-2-2-2h-4V4h10v10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h6v2H6zm0 4h6v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H10c-1.103 0-2 .897-2 2v4H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2v-4h4c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM4 20V10h10l.002 10H4zm16-6h-4v-4c0-1.103-.897-2-2-2h-4V4h10v10z\"},\"child\":[]}]})(props);\n};\nexport function BiCopyright (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.421 0 10-4.579 10-10S17.421 2 12 2 2 6.579 2 12s4.579 10 10 10zm0-18c4.337 0 8 3.663 8 8s-3.663 8-8 8-8-3.663-8-8 3.663-8 8-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17c.901 0 2.581-.168 3.707-1.292l-1.414-1.416C13.85 14.735 12.992 15 12 15c-1.626 0-3-1.374-3-3s1.374-3 3-3c.993 0 1.851.265 2.293.707l1.414-1.414C14.582 7.168 12.901 7 12 7c-2.757 0-5 2.243-5 5s2.243 5 5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiCreditCardAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"13.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5c0-.815.396-1.532 1-1.988A2.47 2.47 0 0 0 11.5 11a2.5 2.5 0 1 0 0 5 2.47 2.47 0 0 0 1.5-.512 2.486 2.486 0 0 1-1-1.988z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM4 18V6h16l.002 12H4z\"},\"child\":[]}]})(props);\n};\nexport function BiCreditCardFront (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM4 18V6h16l.001 12H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 11h3a.5.5 0 0 0 .5-.5v-2a.5.5 0 0 0-.5-.5h-3a.5.5 0 0 0-.5.5v2a.5.5 0 0 0 .5.5zM6 14h6v2.001H6zm7 0h5v2.001h-5z\"},\"child\":[]}]})(props);\n};\nexport function BiCreditCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM4 6h16v2H4V6zm0 12v-6h16.001l.001 6H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h6v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiCricketBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.07 4.93A10 10 0 0 0 4.93 19.07 10 10 0 0 0 19.07 4.93zM6.34 6.34a8 8 0 0 1 8.78-1.71l-.29.3.71.71.52-.53a9.53 9.53 0 0 1 .84.57L5.68 16.9a9.53 9.53 0 0 1-.57-.84l.53-.52-.71-.71-.29.29a8 8 0 0 1 1.7-8.78zm11.32 11.32a8 8 0 0 1-8.78 1.71l.29-.3-.71-.71-.52.53a9.53 9.53 0 0 1-.84-.57L18.32 7.1a9.53 9.53 0 0 1 .57.84l-.53.52.71.71.29-.29a8 8 0 0 1-1.7 8.78zm-6.37-2.12.71.7-1.41 1.42-.71-.66zm2.83-2.83.71.7-1.42 1.42-.7-.71zM17 9.88l.71.71L16.24 12l-.7-.71zm-4.29-1.42-.71-.7 1.41-1.42.71.71zm-2.83 2.83-.71-.7 1.42-1.42.7.71zm-2.83 2.83-.71-.71L7.76 12l.7.71z\"},\"child\":[]}]})(props);\n};\nexport function BiCrop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7c0-1.103-.897-2-2-2H7V2H5v3H2v2h15v15h2v-3h3v-2h-3V7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9v8c0 1.103.897 2 2 2h8v-2H7V9H5z\"},\"child\":[]}]})(props);\n};\nexport function BiCross (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 2h2v7h-2zm0 13h2v7h-2zm4-4h7v2h-7zM2 11h7v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiCrosshair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm1 17.931V17h-2v2.931A8.008 8.008 0 0 1 4.069 13H7v-2H4.069A8.008 8.008 0 0 1 11 4.069V7h2V4.069A8.007 8.007 0 0 1 19.931 11H17v2h2.931A8.008 8.008 0 0 1 13 19.931z\"},\"child\":[]}]})(props);\n};\nexport function BiCrown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.219 3.375 8 7.399 4.781 3.375A1.002 1.002 0 0 0 3 4v15c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V4a1.002 1.002 0 0 0-1.781-.625L16 7.399l-3.219-4.024c-.381-.474-1.181-.474-1.562 0zM5 19v-2h14.001v2H5zm10.219-9.375c.381.475 1.182.475 1.563 0L19 6.851 19.001 15H5V6.851l2.219 2.774c.381.475 1.182.475 1.563 0L12 5.601l3.219 4.024z\"},\"child\":[]}]})(props);\n};\nexport function BiCubeAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.895 3.553A1.001 1.001 0 0 0 17 3H7c-.379 0-.725.214-.895.553l-4 8a1 1 0 0 0 0 .895l4 8c.17.338.516.552.895.552h10c.379 0 .725-.214.895-.553l4-8a1 1 0 0 0 0-.895l-4-7.999zM19.382 11h-7.764l-3-6h7.764l3 6zM4.118 12 7 6.236 9.882 12 7 17.764 4.118 12zm12.264 7H8.618l3-6h7.764l-3 6z\"},\"child\":[]}]})(props);\n};\nexport function BiCube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.406 6.086-9-4a1.001 1.001 0 0 0-.813 0l-9 4c-.02.009-.034.024-.054.035-.028.014-.058.023-.084.04-.022.015-.039.034-.06.05a.87.87 0 0 0-.19.194c-.02.028-.041.053-.059.081a1.119 1.119 0 0 0-.076.165c-.009.027-.023.052-.031.079A1.013 1.013 0 0 0 2 7v10c0 .396.232.753.594.914l9 4c.13.058.268.086.406.086a.997.997 0 0 0 .402-.096l.004.01 9-4A.999.999 0 0 0 22 17V7a.999.999 0 0 0-.594-.914zM12 4.095 18.538 7 12 9.905l-1.308-.581L5.463 7 12 4.095zM4 16.351V8.539l7 3.111v7.811l-7-3.11zm9 3.11V11.65l7-3.111v7.812l-7 3.11z\"},\"child\":[]}]})(props);\n};\nexport function BiCuboid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.707 2.293A.996.996 0 0 0 16 2H8c-.414 0-.785.255-.934.641l-5 13a.999.999 0 0 0 .227 1.066l5 5A.996.996 0 0 0 8 22h8c.414 0 .785-.255.934-.641l5-13a.999.999 0 0 0-.227-1.066l-5-5zM18.585 7h-5.171l-3-3h5.172l2.999 3zM8.381 4.795l3.438 3.438-4.462 10.71-3.19-3.191L8.381 4.795zM15.313 20h-6.23l4.583-11h5.878l-4.231 11z\"},\"child\":[]}]})(props);\n};\nexport function BiCurrentLocation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4.069V2h-2v2.069A8.01 8.01 0 0 0 4.069 11H2v2h2.069A8.008 8.008 0 0 0 11 19.931V22h2v-2.069A8.007 8.007 0 0 0 19.931 13H22v-2h-2.069A8.008 8.008 0 0 0 13 4.069zM12 18c-3.309 0-6-2.691-6-6s2.691-6 6-6 6 2.691 6 6-2.691 6-6 6z\"},\"child\":[]}]})(props);\n};\nexport function BiCustomize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm1-6h4v4H5V5zm15-2h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-1 6h-4V5h4v4zm-9 12a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6zm-5-6h4v4H5v-4zm13-1h-2v2h-2v2h2v2h2v-2h2v-2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6.5C10 4.57 8.43 3 6.5 3S3 4.57 3 6.5 4.57 10 6.5 10a3.45 3.45 0 0 0 1.613-.413l2.357 2.528-2.318 2.318A3.46 3.46 0 0 0 6.5 14C4.57 14 3 15.57 3 17.5S4.57 21 6.5 21s3.5-1.57 3.5-3.5c0-.601-.166-1.158-.434-1.652l2.269-2.268L17 19.121a3 3 0 0 0 2.121.879H22L9.35 8.518c.406-.572.65-1.265.65-2.018zM6.5 8C5.673 8 5 7.327 5 6.5S5.673 5 6.5 5 8 5.673 8 6.5 7.327 8 6.5 8zm0 11c-.827 0-1.5-.673-1.5-1.5S5.673 16 6.5 16s1.5.673 1.5 1.5S7.327 19 6.5 19z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 4.879-3.707 4.414 1.414 1.414L22 4h-2.879A3 3 0 0 0 17 4.879z\"},\"child\":[]}]})(props);\n};\nexport function BiCycling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15.414V20h2v-4.586c0-.526-.214-1.042-.586-1.414l-2-2L13 9.414l2 2c.372.372.888.586 1.414.586H20v-2h-3.586l-3.707-3.707a.999.999 0 0 0-1.414 0L8 9.586c-.378.378-.586.88-.586 1.414s.208 1.036.586 1.414l3 3z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"5\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4zm0 6c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2zM6 22c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4zm0-6c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiCylinder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.131 0 9-1.935 9-4.5V7h-.053c.033-.164.053-.33.053-.5C21 3.935 17.131 2 12 2 7.209 2 3.52 3.688 3.053 6H3v11.5c0 2.565 3.869 4.5 9 4.5zm0-2c-4.273 0-7-1.48-7-2.5V9.394C6.623 10.387 9.111 11 12 11s5.377-.613 7-1.606V17.5c0 1.02-2.727 2.5-7 2.5zm0-16c4.273 0 7 1.48 7 2.5S16.273 9 12 9 5 7.52 5 6.5 7.727 4 12 4z\"},\"child\":[]}]})(props);\n};\nexport function BiData (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17V7c0-2.168-3.663-4-8-4S4 4.832 4 7v10c0 2.168 3.663 4 8 4s8-1.832 8-4zM12 5c3.691 0 5.931 1.507 6 1.994C17.931 7.493 15.691 9 12 9S6.069 7.493 6 7.006C6.069 6.507 8.309 5 12 5zM6 9.607C7.479 10.454 9.637 11 12 11s4.521-.546 6-1.393v2.387c-.069.499-2.309 2.006-6 2.006s-5.931-1.507-6-2V9.607zM6 17v-2.393C7.479 15.454 9.637 16 12 16s4.521-.546 6-1.393v2.387c-.069.499-2.309 2.006-6 2.006s-5.931-1.507-6-2z\"},\"child\":[]}]})(props);\n};\nexport function BiDesktop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h7v2H8v2h8v-2h-3v-2h7c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 14V5h16l.002 9H4z\"},\"child\":[]}]})(props);\n};\nexport function BiDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 19V5h16l.002 14H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h12v2H6zm0 4h12v2H6zm0 4h6v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiDevices (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H7c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h6c1.103 0 2-.897 2-2h8c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM9.997 19H4V9h6l-.003 10zm10-2H12V9c0-1.103-.897-2-2-2H7V5h13l-.003 12z\"},\"child\":[]}]})(props);\n};\nexport function BiDialpadAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"6\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"6\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiDialpad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h4v3h-4zm0 5h4v3h-4zm0 5h4v3h-4zm6-10h4v3h-4zm0 5h4v3h-4zm0 5h4v3h-4zM4 3h4v3H4zm0 5h4v3H4zm0 5h4v3H4zm6 5h4v3h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiDiamond (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.813 3.838A2 2 0 0 0 16.187 3H7.813c-.644 0-1.252.313-1.667.899l-4 6.581a.999.999 0 0 0 .111 1.188l9 10a.995.995 0 0 0 1.486.001l9-10a.997.997 0 0 0 .111-1.188l-4.041-6.643zM12 19.505 5.245 12h13.509L12 19.505zM4.777 10l3.036-5 8.332-.062L19.222 10H4.777z\"},\"child\":[]}]})(props);\n};\nexport function BiDice1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiDice2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.5\",\"cy\":\"12\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"12\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiDice3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"8\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"16\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiDice4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"8\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"16\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"16\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"8\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiDice5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"8\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"16\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"16\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"8\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiDice6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"8\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"12\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"12\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"16\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"16\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"8\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiDirections (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.781 13.875-2-2.5A1 1 0 0 0 19 11h-6V9h6c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5a1 1 0 0 0-.781.375l-2 2.5a1.001 1.001 0 0 0 0 1.25l2 2.5A1 1 0 0 0 5 9h6v2H5c-1.103 0-2 .897-2 2v3c0 1.103.897 2 2 2h6v4h2v-4h6a1 1 0 0 0 .781-.375l2-2.5a1.001 1.001 0 0 0 0-1.25zM4.281 5.5 5.48 4H19l.002 3H5.48L4.281 5.5zM18.52 16H5v-3h13.52l1.2 1.5-1.2 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiDisc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm0 18a8 8 0 1 1 8-8 8 8 0 0 1-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a4 4 0 1 0 4 4 4 4 0 0 0-4-4zm0 6a2 2 0 1 1 2-2 2 2 0 0 1-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiDish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15c0-4.625-3.507-8.441-8-8.941V4h-2v2.059c-4.493.5-8 4.316-8 8.941v2h18v-2zM5 15c0-3.859 3.141-7 7-7s7 3.141 7 7H5zm-3 3h20v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiDislike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H6.693A2.01 2.01 0 0 0 4.82 4.298l-2.757 7.351A1 1 0 0 0 2 12v2c0 1.103.897 2 2 2h5.612L8.49 19.367a2.004 2.004 0 0 0 .274 1.802c.376.52.982.831 1.624.831H12c.297 0 .578-.132.769-.36l4.7-5.64H20c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm-8.469 17h-1.145l1.562-4.684A1 1 0 0 0 11 14H4v-1.819L6.693 5H16v9.638L11.531 20zM18 14V5h2l.001 9H18z\"},\"child\":[]}]})(props);\n};\nexport function BiDizzy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.707 12.293 9.414 11l1.293-1.293-1.414-1.414L8 9.586 6.707 8.293 5.293 9.707 6.586 11l-1.293 1.293 1.414 1.414L8 12.414l1.293 1.293zm6.586-4L16 9.586l-1.293-1.293-1.414 1.414L14.586 11l-1.293 1.293 1.414 1.414L16 12.414l1.293 1.293 1.414-1.414L17.414 11l1.293-1.293zM10 16h4v2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiDna (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.794 11.09c.332-.263.648-.542.947-.84l.136-.142c.283-.293.552-.598.801-.919l.062-.075c.255-.335.486-.688.702-1.049l.128-.22c.205-.364.395-.737.559-1.123.02-.047.035-.095.055-.142.147-.361.274-.731.383-1.109.021-.07.044-.14.063-.211.107-.402.189-.813.251-1.229.013-.087.021-.175.032-.263.051-.432.087-.869.087-1.311V2h-2v.457c0 .184-.031.361-.042.543H6.022C6.012 2.819 6 2.64 6 2.457V2H4v.457c0 4.876 3.269 9.218 7.952 10.569l.028.009c2.881.823 5.056 3.146 5.769 5.965H6.251l.799-2h7.607a7.416 7.416 0 0 0-2.063-2h-4c.445-.424.956-.774 1.491-1.09a9.922 9.922 0 0 1-2.08-1.014C5.55 14.812 4 17.779 4 21.015V23h2v-1.985L6.001 21h11.998l.001.015V23h2v-1.985c0-3.83-2.159-7.303-5.443-9.07a11.1 11.1 0 0 0 1.072-.729c.055-.042.11-.082.165-.126zm-1.19-1.604a8.945 8.945 0 0 1-2.325 1.348c-.092.036-.185.068-.278.102A8.95 8.95 0 0 1 8.836 9h6.292c-.171.161-.332.333-.517.48l-.007.006zM17.619 5c-.005.016-.007.033-.012.049l-.044.151a9.089 9.089 0 0 1-.513 1.252c-.096.19-.213.365-.321.548h-9.48a9.066 9.066 0 0 1-.871-2h11.241z\"},\"child\":[]}]})(props);\n};\nexport function BiDockBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zm0 2v9H5V5zM5 19v-3h14v3z\"},\"child\":[]}]})(props);\n};\nexport function BiDockLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zM5 5h3v14H5zm5 14V5h9v14z\"},\"child\":[]}]})(props);\n};\nexport function BiDockRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zM5 5h9v14H5zm11 14V5h3v14z\"},\"child\":[]}]})(props);\n};\nexport function BiDockTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zm0 2v3H5V5zM5 19v-9h14v9z\"},\"child\":[]}]})(props);\n};\nexport function BiDollarCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm0 18a8 8 0 1 1 8-8 8 8 0 0 1-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11c-2 0-2-.63-2-1s.7-1 2-1 1.39.64 1.4 1h2A3 3 0 0 0 13 7.12V6h-2v1.09C9 7.42 8 8.71 8 10c0 1.12.52 3 4 3 2 0 2 .68 2 1s-.62 1-2 1c-1.84 0-2-.86-2-1H8c0 .92.66 2.55 3 2.92V18h2v-1.08c2-.34 3-1.63 3-2.92 0-1.12-.52-3-4-3z\"},\"child\":[]}]})(props);\n};\nexport function BiDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.999 8.5h2c0-2.837-2.755-4.131-5-4.429V2h-2v2.071c-2.245.298-5 1.592-5 4.429 0 2.706 2.666 4.113 5 4.43v4.97c-1.448-.251-3-1.024-3-2.4h-2c0 2.589 2.425 4.119 5 4.436V22h2v-2.07c2.245-.298 5-1.593 5-4.43s-2.755-4.131-5-4.429V6.1c1.33.239 3 .941 3 2.4zm-8 0c0-1.459 1.67-2.161 3-2.4v4.799c-1.371-.253-3-1.002-3-2.399zm8 7c0 1.459-1.67 2.161-3 2.4v-4.8c1.33.239 3 .941 3 2.4z\"},\"child\":[]}]})(props);\n};\nexport function BiDonateBlood (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h9.62a3.995 3.995 0 0 0 3.037-1.397l5.102-5.952a1 1 0 0 0-.442-1.6l-1.968-.656a3.043 3.043 0 0 0-2.823.503l-3.185 2.547-.617-1.235A3.98 3.98 0 0 0 9.146 11H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2zm0-8h5.146c.763 0 1.448.423 1.789 1.105l.447.895H7v2h6.014a.996.996 0 0 0 .442-.11l.003-.001.004-.002h.003l.002-.001h.004l.001-.001c.011.003.003-.001.003-.001.012 0 .002-.001.002-.001h.001l.002-.001.003-.001.002-.001.002-.001.003-.001.002-.001.002-.001.003-.002.002-.001.002-.001.003-.001.002-.001h.001l.002-.001h.001l.002-.001.002-.001c.011-.001.003-.001.003-.001l.002-.001a.915.915 0 0 0 .11-.078l4.146-3.317c.261-.208.623-.273.94-.167l.557.186-4.133 4.823a2.029 2.029 0 0 1-1.52.688H4v-6zm9.761-10.674C13.3 2.832 11 5.457 11 7.5c0 1.93 1.57 3.5 3.5 3.5S18 9.43 18 7.5c0-2.043-2.3-4.668-2.761-5.174-.379-.416-1.099-.416-1.478 0zM16 7.5c0 .827-.673 1.5-1.5 1.5S13 8.327 13 7.5c0-.708.738-1.934 1.5-2.934.762 1 1.5 2.226 1.5 2.934z\"},\"child\":[]}]})(props);\n};\nexport function BiDonateHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h9.62a3.995 3.995 0 0 0 3.037-1.397l5.102-5.952a1 1 0 0 0-.442-1.6l-1.968-.656a3.043 3.043 0 0 0-2.823.503l-3.185 2.547-.617-1.235A3.98 3.98 0 0 0 9.146 11H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2zm0-8h5.146c.763 0 1.448.423 1.789 1.105l.447.895H7v2h6.014a.996.996 0 0 0 .442-.11l.003-.001.004-.002h.003l.002-.001h.004l.001-.001c.009.003.003-.001.003-.001.01 0 .002-.001.002-.001h.001l.002-.001.003-.001.002-.001.002-.001.003-.001.002-.001c.003 0 .001-.001.002-.001l.003-.002.002-.001.002-.001.003-.001.002-.001h.001l.002-.001h.001l.002-.001.002-.001c.009-.001.003-.001.003-.001l.002-.001a.915.915 0 0 0 .11-.078l4.146-3.317c.262-.208.623-.273.94-.167l.557.186-4.133 4.823a2.029 2.029 0 0 1-1.52.688H4v-6zM16 2h-.017c-.163.002-1.006.039-1.983.705-.951-.648-1.774-.7-1.968-.704L12.002 2h-.004c-.801 0-1.555.313-2.119.878C9.313 3.445 9 4.198 9 5s.313 1.555.861 2.104l3.414 3.586a1.006 1.006 0 0 0 1.45-.001l3.396-3.568C18.688 6.555 19 5.802 19 5s-.313-1.555-.878-2.121A2.978 2.978 0 0 0 16.002 2H16zm1 3c0 .267-.104.518-.311.725L14 8.55l-2.707-2.843C11.104 5.518 11 5.267 11 5s.104-.518.294-.708A.977.977 0 0 1 11.979 4c.025.001.502.032 1.067.485.081.065.163.139.247.222l.707.707.707-.707c.084-.083.166-.157.247-.222.529-.425.976-.478 1.052-.484a.987.987 0 0 1 .701.292c.189.189.293.44.293.707z\"},\"child\":[]}]})(props);\n};\nexport function BiDoorOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.385 21.788a.997.997 0 0 0 .857.182l8-2A.999.999 0 0 0 20 19V5a1 1 0 0 0-.758-.97l-8-2A1.003 1.003 0 0 0 10 3v1H6a1 1 0 0 0-1 1v14a1 1 0 0 0 1 1h4v1c0 .308.142.599.385.788zM12 4.281l6 1.5v12.438l-6 1.5V4.281zM7 18V6h3v12H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.242 13.159c.446-.112.758-.512.758-.971v-.377a1 1 0 1 0-2 .001v.377a1 1 0 0 0 1.242.97z\"},\"child\":[]}]})(props);\n};\nexport function BiDotsHorizontalRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c-1.1 0-2 .9-2 2s.9 2 2 2 2-.9 2-2-.9-2-2-2zm6 0c-1.1 0-2 .9-2 2s.9 2 2 2 2-.9 2-2-.9-2-2-2zM6 10c-1.1 0-2 .9-2 2s.9 2 2 2 2-.9 2-2-.9-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiDotsHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h4v4h-4zm6 0h4v4h-4zM4 10h4v4H4z\"},\"child\":[]}]})(props);\n};\nexport function BiDotsVerticalRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c-1.1 0-2 .9-2 2s.9 2 2 2 2-.9 2-2-.9-2-2-2zm0-6c-1.1 0-2 .9-2 2s.9 2 2 2 2-.9 2-2-.9-2-2-2zm0 12c-1.1 0-2 .9-2 2s.9 2 2 2 2-.9 2-2-.9-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiDotsVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h4v4h-4zm0-6h4v4h-4zm0 12h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiDoughnutChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm7.931 9h-3.032A5.013 5.013 0 0 0 13 7.102V4.069A8.008 8.008 0 0 1 19.931 11zM12 9c1.654 0 3 1.346 3 3s-1.346 3-3 3-3-1.346-3-3 1.346-3 3-3zm0 11c-4.411 0-8-3.589-8-8 0-4.072 3.061-7.436 7-7.931v3.032A5.009 5.009 0 0 0 7 12c0 2.757 2.243 5 5 5a5.007 5.007 0 0 0 4.898-4h3.032c-.494 3.939-3.858 7-7.93 7z\"},\"child\":[]}]})(props);\n};\nexport function BiDownArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18.707 12.707-1.414-1.414L13 15.586V6h-2v9.586l-4.293-4.293-1.414 1.414L12 19.414z\"},\"child\":[]}]})(props);\n};\nexport function BiDownArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.993C6.486 1.994 2 6.48 2 11.994c0 5.513 4.486 9.999 10 10 5.514 0 10-4.486 10-10s-4.485-10-10-10.001zm0 18.001c-4.411-.001-8-3.59-8-8 0-4.411 3.589-8 8-8.001 4.411.001 8 3.59 8 8.001s-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h-2v4H7.991l4.005 4.005L16 12h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiDownArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.886 5.536A1.002 1.002 0 0 0 21 5H3a1.002 1.002 0 0 0-.822 1.569l9 13a.998.998 0 0 0 1.644 0l9-13a.998.998 0 0 0 .064-1.033zM12 17.243 4.908 7h14.184L12 17.243z\"},\"child\":[]}]})(props);\n};\nexport function BiDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 16 4-5h-3V4h-2v7H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18H4v-7H2v7c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2v-7h-2v7z\"},\"child\":[]}]})(props);\n};\nexport function BiDownvote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.901 10.566A1.001 1.001 0 0 0 20 10h-4V3a1 1 0 0 0-1-1H9a1 1 0 0 0-1 1v7H4a1.001 1.001 0 0 0-.781 1.625l8 10a1 1 0 0 0 1.562 0l8-10c.24-.301.286-.712.12-1.059zM12 19.399 6.081 12H10V4h4v8h3.919L12 19.399z\"},\"child\":[]}]})(props);\n};\nexport function BiDrink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.832 4.555A1 1 0 0 0 20 3H4a1 1 0 0 0-.832 1.554L11 16.303V20H8v2h8v-2h-3v-3.697l7.832-11.748zM12 14.197 8.535 9h6.93L12 14.197zM18.132 5l-1.333 2H7.201L5.868 5h12.264z\"},\"child\":[]}]})(props);\n};\nexport function BiDroplet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c4.636 0 8-3.468 8-8.246C20 7.522 12.882 2.4 12.579 2.185a1 1 0 0 0-1.156-.001C11.12 2.397 4 7.503 4 13.75 4 18.53 7.364 22 12 22zm-.001-17.74C13.604 5.55 18 9.474 18 13.754 18 17.432 15.532 20 12 20s-6-2.57-6-6.25c0-4.29 4.394-8.203 5.999-9.49z\"},\"child\":[]}]})(props);\n};\nexport function BiDumbbell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5v14h3v-6h6v6h3V5h-3v6H9V5zM3 15a1 1 0 0 0 1 1h1V8H4a1 1 0 0 0-1 1v2H2v2h1v2zm18-6a1 1 0 0 0-1-1h-1v8h1a1 1 0 0 0 1-1v-2h1v-2h-1V9z\"},\"child\":[]}]})(props);\n};\nexport function BiDuplicate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10H9v3H6v2h3v3h2v-3h3v-2h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h12c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2zM4 8h12l.002 12H4V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8v2h12v12h2V4c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiEditAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.045 7.401c.378-.378.586-.88.586-1.414s-.208-1.036-.586-1.414l-1.586-1.586c-.378-.378-.88-.586-1.414-.586s-1.036.208-1.413.585L4 13.585V18h4.413L19.045 7.401zm-3-3 1.587 1.585-1.59 1.584-1.586-1.585 1.589-1.584zM6 16v-1.585l7.04-7.018 1.586 1.586L7.587 16H6zm-2 4h16v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 17.013 4.413-.015 9.632-9.54c.378-.378.586-.88.586-1.414s-.208-1.036-.586-1.414l-1.586-1.586c-.756-.756-2.075-.752-2.825-.003L7 12.583v4.43zM18.045 4.458l1.589 1.583-1.597 1.582-1.586-1.585 1.594-1.58zM9 13.417l6.03-5.973 1.586 1.586-6.029 5.971L9 15.006v-1.589z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2v-8.668l-2 2V19H8.158c-.026 0-.053.01-.079.01-.033 0-.066-.009-.1-.01H5V5h6.847l2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiEnvelopeOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.555 8.168-9-6a1 1 0 0 0-1.109 0l-9 6A1 1 0 0 0 2 9v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V9c0-.334-.167-.646-.445-.832zM12 4.202 19.197 9 12 13.798 4.803 9 12 4.202zM4 20v-9.131l7.445 4.963a1 1 0 0 0 1.11 0L20 10.869 19.997 20H4z\"},\"child\":[]}]})(props);\n};\nexport function BiEnvelope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm0 2v.511l-8 6.223-8-6.222V6h16zM4 18V9.044l7.386 5.745a.994.994 0 0 0 1.228 0L20 9.044 20.002 18H4z\"},\"child\":[]}]})(props);\n};\nexport function BiEqualizer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h2v6h-2zm4-3h2v12h-2zM7 4h2v16H7zm12 7h2v2h-2zM3 10h2v4H3z\"},\"child\":[]}]})(props);\n};\nexport function BiEraser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.586 15.408 4.299 4.299a.996.996 0 0 0 .707.293h12.001v-2h-6.958l7.222-7.222c.78-.779.78-2.049 0-2.828L14.906 3a2.003 2.003 0 0 0-2.828 0l-4.75 4.749-4.754 4.843a2.007 2.007 0 0 0 .012 2.816zM13.492 4.414l4.95 4.95-2.586 2.586L10.906 7l2.586-2.586zM8.749 9.156l.743-.742 4.95 4.95-4.557 4.557a1.026 1.026 0 0 0-.069.079h-1.81l-4.005-4.007 4.748-4.837z\"},\"child\":[]}]})(props);\n};\nexport function BiErrorAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2v7h-2zm0 8h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 7.293-5-5A.996.996 0 0 0 16 2H8a.996.996 0 0 0-.707.293l-5 5A.996.996 0 0 0 2 8v8c0 .266.105.52.293.707l5 5A.996.996 0 0 0 8 22h8c.266 0 .52-.105.707-.293l5-5A.996.996 0 0 0 22 16V8a.996.996 0 0 0-.293-.707zM20 15.586 15.586 20H8.414L4 15.586V8.414L8.414 4h7.172L20 8.414v7.172z\"},\"child\":[]}]})(props);\n};\nexport function BiErrorCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.953 2C6.465 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.493 2 11.953 2zM12 20c-4.411 0-8-3.589-8-8s3.567-8 7.953-8C16.391 4 20 7.589 20 12s-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2v7h-2zm0 8h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.001 10h2v5h-2zM11 16h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.768 4.2C13.42 3.545 12.742 3.138 12 3.138s-1.42.407-1.768 1.063L2.894 18.064a1.986 1.986 0 0 0 .054 1.968A1.984 1.984 0 0 0 4.661 21h14.678c.708 0 1.349-.362 1.714-.968a1.989 1.989 0 0 0 .054-1.968L13.768 4.2zM4.661 19 12 5.137 19.344 19H4.661z\"},\"child\":[]}]})(props);\n};\nexport function BiEuro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.464 6c1.43 0 2.779.613 3.799 1.726l1.475-1.352C17.334 4.843 15.461 4 13.464 4c-1.998 0-3.87.843-5.272 2.375A8.034 8.034 0 0 0 6.589 9H4v2h2.114c-.038.33-.064.663-.064 1s.026.67.064 1H4v2h2.589c.362.97.901 1.861 1.603 2.626C9.594 19.157 11.466 20 13.464 20c1.997 0 3.87-.843 5.273-2.374l-1.475-1.352C16.243 17.387 14.894 18 13.464 18s-2.778-.612-3.798-1.726A5.937 5.937 0 0 1 8.801 15H13v-2H8.139c-.05-.328-.089-.66-.089-1s.039-.672.089-1H13V9H8.801c.24-.457.516-.893.865-1.274C10.686 6.613 12.034 6 13.464 6z\"},\"child\":[]}]})(props);\n};\nexport function BiExclude (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8h-3V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h3v3c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2zm-4 7H9V9h6v6z\"},\"child\":[]}]})(props);\n};\nexport function BiExitFullscreen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4H8v4H4v2h6zM8 20h2v-6H4v2h4zm12-6h-6v6h2v-4h4zm0-6h-4V4h-2v6h6z\"},\"child\":[]}]})(props);\n};\nexport function BiExit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.002 3h-14c-1.103 0-2 .897-2 2v4h2V5h14v14h-14v-4h-2v4c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.898-2-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 16 5-4-5-4v3.001H3v2h8z\"},\"child\":[]}]})(props);\n};\nexport function BiExpandAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12H3v9h9v-2H5zm7-7h7v7h2V3h-9z\"},\"child\":[]}]})(props);\n};\nexport function BiExpandHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.29 5.64 1.93 12l6.36 6.36 1.42-1.41L4.76 12l4.95-4.95-1.42-1.41zm6 1.41L19.24 12l-4.95 4.95 1.42 1.41L22.07 12l-6.36-6.36-1.42 1.41z\"},\"child\":[]}]})(props);\n};\nexport function BiExpandVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 19.24-4.95-4.95-1.41 1.42L12 22.07l6.36-6.36-1.41-1.42L12 19.24zM5.64 8.29l1.41 1.42L12 4.76l4.95 4.95 1.41-1.42L12 1.93 5.64 8.29z\"},\"child\":[]}]})(props);\n};\nexport function BiExpand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21 15.344-2.121 2.121-3.172-3.172-1.414 1.414 3.172 3.172L15.344 21H21zM3 8.656l2.121-2.121 3.172 3.172 1.414-1.414-3.172-3.172L8.656 3H3zM21 3h-5.656l2.121 2.121-3.172 3.172 1.414 1.414 3.172-3.172L21 8.656zM3 21h5.656l-2.121-2.121 3.172-3.172-1.414-1.414-3.172 3.172L3 15.344z\"},\"child\":[]}]})(props);\n};\nexport function BiExport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h2V7h3l-4-5-4 5h3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2h-4v2h4v9H5v-9h4V9H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiExtension (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10V7c0-1.103-.897-2-2-2h-3c0-1.654-1.346-3-3-3S8 3.346 8 5H5c-1.103 0-2 .897-2 2v3.881l.659.239C4.461 11.41 5 12.166 5 13s-.539 1.59-1.341 1.88L3 15.119V19c0 1.103.897 2 2 2h3.881l.239-.659C9.41 19.539 10.166 19 11 19s1.59.539 1.88 1.341l.239.659H17c1.103 0 2-.897 2-2v-3c1.654 0 3-1.346 3-3s-1.346-3-3-3zm0 4h-2l-.003 5h-2.545c-.711-1.22-2.022-2-3.452-2s-2.741.78-3.452 2H5v-2.548C6.22 15.741 7 14.43 7 13s-.78-2.741-2-3.452V7h5V5a1 1 0 0 1 2 0v2h5v5h2a1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiFace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 2c3.213 0 5.982 1.908 7.254 4.648a7.8 7.8 0 0 1-.895-.498c-.409-.258-.873-.551-1.46-.772-.669-.255-1.4-.378-2.234-.378s-1.565.123-2.234.377c-.587.223-1.051.516-1.472.781-.378.237-.703.443-1.103.594C9.41 8.921 8.926 9 8.33 9c-.595 0-1.079-.079-1.524-.248-.4-.151-.728-.358-1.106-.598-.161-.101-.34-.208-.52-.313C6.587 5.542 9.113 4 12 4zm0 16c-4.411 0-8-3.589-8-8 0-.81.123-1.59.348-2.327.094.058.185.11.283.173.411.26.876.554 1.466.776.669.255 1.399.378 2.233.378.833 0 1.564-.123 2.235-.377.587-.223 1.051-.516 1.472-.781.378-.237.703-.443 1.103-.595.445-.168.929-.247 1.525-.247s1.08.079 1.525.248c.399.15.725.356 1.114.602.409.258.873.551 1.46.773.363.138.748.229 1.153.291.049.357.083.717.083 1.086 0 4.411-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"13.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"13.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiFastForwardCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 16 5-4-5-4zm-6 0 5-4-5-4z\"},\"child\":[]}]})(props);\n};\nexport function BiFastForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19 12-7-5v10zM5 7v10l7-5z\"},\"child\":[]}]})(props);\n};\nexport function BiFemaleSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C8.691 2 6 4.691 6 8c0 2.967 2.167 5.432 5 5.91V17H8v2h3v2.988h2V19h3v-2h-3v-3.09c2.833-.479 5-2.943 5-5.91 0-3.309-2.691-6-6-6zm0 10c-2.206 0-4-1.794-4-4s1.794-4 4-4 4 1.794 4 4-1.794 4-4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiFemale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.948 7.684A.997.997 0 0 0 14 7h-4a.998.998 0 0 0-.948.684l-2 6 1.775.593L8 18h2v4h4v-4h2l-.827-3.724 1.775-.593-2-5.999z\"},\"child\":[]}]})(props);\n};\nexport function BiFileBlank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.937 8.68c-.011-.032-.02-.063-.033-.094a.997.997 0 0 0-.196-.293l-6-6a.997.997 0 0 0-.293-.196c-.03-.014-.062-.022-.094-.033a.991.991 0 0 0-.259-.051C13.04 2.011 13.021 2 13 2H6c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V9c0-.021-.011-.04-.013-.062a.99.99 0 0 0-.05-.258zM16.586 8H14V5.414L16.586 8zM6 20V4h6v5a1 1 0 0 0 1 1h5l.002 10H6z\"},\"child\":[]}]})(props);\n};\nexport function BiFileFind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.707 2.293A.996.996 0 0 0 13 2H6c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V9a.996.996 0 0 0-.293-.707l-6-6zM6 4h6.586L18 9.414l.002 9.174-2.568-2.568c.35-.595.566-1.281.566-2.02 0-2.206-1.794-4-4-4s-4 1.794-4 4 1.794 4 4 4c.739 0 1.425-.216 2.02-.566L16.586 20H6V4zm6 12c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiFile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.903 8.586a.997.997 0 0 0-.196-.293l-6-6a.997.997 0 0 0-.293-.196c-.03-.014-.062-.022-.094-.033a.991.991 0 0 0-.259-.051C13.04 2.011 13.021 2 13 2H6c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V9c0-.021-.011-.04-.013-.062a.952.952 0 0 0-.051-.259c-.01-.032-.019-.063-.033-.093zM16.586 8H14V5.414L16.586 8zM6 20V4h6v5a1 1 0 0 0 1 1h5l.002 10H6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8v2H8zm0 4h8v2H8zm0-8h2v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiFilm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM9 11V5h6v6H9zm6 2v6H9v-6h6zM5 5h2v2H5V5zm0 4h2v2H5V9zm0 4h2v2H5v-2zm0 4h2v2H5v-2zm14.002 2H17v-2h2.002v2zm-.001-4H17v-2h2.001v2zm0-4H17V9h2.001v2zM17 7V5h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiFilterAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3H5a1 1 0 0 0-1 1v2.59c0 .523.213 1.037.583 1.407L10 13.414V21a1.001 1.001 0 0 0 1.447.895l4-2c.339-.17.553-.516.553-.895v-5.586l5.417-5.417c.37-.37.583-.884.583-1.407V4a1 1 0 0 0-1-1zm-6.707 9.293A.996.996 0 0 0 14 13v5.382l-2 1V13a.996.996 0 0 0-.293-.707L6 6.59V5h14.001l.002 1.583-5.71 5.71z\"},\"child\":[]}]})(props);\n};\nexport function BiFilter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11h10v2H7zM4 7h16v2H4zm6 8h4v2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiFingerprint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.962 17.674C7 19.331 7 20.567 7 22h2c0-1.521 0-3.244-1.343-5.389l-1.695 1.063zM16.504 3.387C13.977 1.91 7.55.926 4.281 4.305c-3.368 3.481-2.249 9.072.001 11.392.118.122.244.229.369.333.072.061.146.116.205.184l1.494-1.33a3.918 3.918 0 0 0-.419-.391c-.072-.06-.146-.119-.214-.188-1.66-1.711-2.506-6.017.001-8.608 2.525-2.611 8.068-1.579 9.777-.581 2.691 1.569 4.097 4.308 4.109 4.333l1.789-.895c-.065-.135-1.668-3.289-4.889-5.167z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.34 12.822c-1.03-1.26-1.787-2.317-1.392-3.506.263-.785.813-1.325 1.637-1.604 1.224-.41 2.92-.16 4.04.601l1.123-1.654c-1.648-1.12-3.982-1.457-5.804-.841-1.408.476-2.435 1.495-2.892 2.866-.776 2.328.799 4.254 1.74 5.405.149.183.29.354.409.512C11 18.323 11 20.109 11 22h2c0-2.036 0-4.345-3.201-8.601a19.71 19.71 0 0 0-.459-.577zm5.791-3.344c1.835 1.764 3.034 4.447 3.889 8.701l1.961-.395c-.939-4.678-2.316-7.685-4.463-9.748l-1.387 1.442z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11.556 9.169-1.115 1.66c.027.019 2.711 1.88 3.801 5.724l1.924-.545c-1.299-4.582-4.476-6.749-4.61-6.839zm3.132 9.29c.21 1.168.312 2.326.312 3.541h2c0-1.335-.112-2.608-.343-3.895l-1.969.354z\"},\"child\":[]}]})(props);\n};\nexport function BiFirstAid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zM9 4h6v2H9V4zM4 18V8h16l.001 10H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h-2v3H8v2h3v3h2v-3h3v-2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiFirstPage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16.293 17.707 1.414-1.414L13.414 12l4.293-4.293-1.414-1.414L10.586 12zM7 6h2v12H7z\"},\"child\":[]}]})(props);\n};\nexport function BiFlag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4H6V2H4v18H3v2h4v-2H6v-5h13a1 1 0 0 0 1-1V5a1 1 0 0 0-1-1zm-1 9H6V6h12v7z\"},\"child\":[]}]})(props);\n};\nexport function BiFolderMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-8.586L9.707 3.293A.996.996 0 0 0 9 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zM4 19V7h16l.002 12H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.874 12h8v2h-8z\"},\"child\":[]}]})(props);\n};\nexport function BiFolderOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.165 19.551c.186.28.499.449.835.449h15c.4 0 .762-.238.919-.606l3-7A.998.998 0 0 0 21 11h-1V7c0-1.103-.897-2-2-2h-6.1L9.616 3.213A.997.997 0 0 0 9 3H4c-1.103 0-2 .897-2 2v14h.007a1 1 0 0 0 .158.551zM17.341 18H4.517l2.143-5h12.824l-2.143 5zM18 7v4H6c-.4 0-.762.238-.919.606L4 14.129V7h14z\"},\"child\":[]}]})(props);\n};\nexport function BiFolderPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h-2v3H8v2h3v3h2v-3h3v-2h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-8.586L9.707 3.293A.996.996 0 0 0 9 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zM4 19V7h16l.002 12H4z\"},\"child\":[]}]})(props);\n};\nexport function BiFolder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-8.586L9.707 3.293A.997.997 0 0 0 9 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zM4 19V7h16l.002 12H4z\"},\"child\":[]}]})(props);\n};\nexport function BiFontColor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h14v3H5zm7.5-14h-1c-.401 0-.764.24-.921.609L5.745 16h2.173l1.273-3h5.604l1.268 3h2.171L13.421 4.61A1 1 0 0 0 12.5 4zm-2.46 7 1.959-4.616L13.95 11h-3.91z\"},\"child\":[]}]})(props);\n};\nexport function BiFontFamily (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h7v2h-7zm1 4h6v2h-6zm2 4h4v2h-4zM9.307 4l-6 16h2.137l1.875-5h6.363l1.875 5h2.137l-6-16H9.307zm-1.239 9L10.5 6.515 12.932 13H8.068z\"},\"child\":[]}]})(props);\n};\nexport function BiFontSize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m22 6-3-4-3 4h2v4h-2l3 4 3-4h-2V6zM9.307 4l-6 16h2.137l1.875-5h6.363l1.875 5h2.137l-6-16H9.307zm-1.239 9L10.5 6.515 12.932 13H8.068z\"},\"child\":[]}]})(props);\n};\nexport function BiFont (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.307 4-6 16h2.137l1.875-5h6.363l1.875 5h2.137l-6-16h-2.387zm-1.239 9L12.5 6.515 14.932 13h-4.864z\"},\"child\":[]}]})(props);\n};\nexport function BiFoodMenu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2h2v20H3zm7 4h7v2h-7zm0 4h7v2h-7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H6v20h13c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 18H8V4h11v16z\"},\"child\":[]}]})(props);\n};\nexport function BiFoodTag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-1 16H5V5h14v14z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"5\"},\"child\":[]}]})(props);\n};\nexport function BiFootball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 4.929a9.936 9.936 0 0 0-7.07-2.938 9.943 9.943 0 0 0-7.072 2.938c-3.899 3.898-3.899 10.243 0 14.142a9.94 9.94 0 0 0 7.073 2.938 9.936 9.936 0 0 0 7.07-2.937c3.899-3.898 3.899-10.243-.001-14.143zM12.181 4h-.359c.061-.001.119-.009.18-.009s.118.008.179.009zm6.062 13H16l-1.258 2.516a7.956 7.956 0 0 1-2.741.493 7.96 7.96 0 0 1-2.746-.494L8 17.01H5.765a7.96 7.96 0 0 1-1.623-3.532L6 11 4.784 8.567a7.936 7.936 0 0 1 1.559-2.224 7.994 7.994 0 0 1 3.22-1.969L12 6l2.438-1.625a8.01 8.01 0 0 1 3.22 1.968 7.94 7.94 0 0 1 1.558 2.221L18 11l1.858 2.478A7.952 7.952 0 0 1 18.243 17z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 11 1.5 4h4l1.5-4L12 8.5z\"},\"child\":[]}]})(props);\n};\nexport function BiFork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14.47 13.77-1.41-1.42 5.66-5.65-1.42-1.42-5.65 5.66-1.42-1.41 5.66-5.66-1.42-1.42-6.36 6.37a3 3 0 0 0 0 4.24l.71.71-6.37 6.36 1.42 1.42 6.36-6.37.71.71a3 3 0 0 0 4.24 0l6.37-6.36-1.42-1.42z\"},\"child\":[]}]})(props);\n};\nexport function BiFridge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 2 .001 5H10V7H8v2H6V4h12zM6 20v-9h2v3h2v-3h8.001l.001 9H6z\"},\"child\":[]}]})(props);\n};\nexport function BiFullscreen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h5V3H3v7h2zm5 14H5v-5H3v7h7zm11-5h-2v5h-5v2h7zm-2-4h2V3h-7v2h5z\"},\"child\":[]}]})(props);\n};\nexport function BiGame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.937 7.53C19.227 4.119 15.803 2 12 2 6.486 2 2 6.486 2 12s4.486 10 10 10c3.803 0 7.227-2.119 8.937-5.53a1 1 0 0 0-.397-1.316L15.017 12l5.522-3.153c.461-.264.636-.842.398-1.317zm-8.433 3.602a.999.999 0 0 0 0 1.736l6.173 3.525A7.949 7.949 0 0 1 12 20c-4.411 0-8-3.589-8-8s3.589-8 8-8a7.95 7.95 0 0 1 6.677 3.606l-6.173 3.526z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"7.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiGasPump (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19.616 6.48.014-.017-4-3.24-1.26 1.554 2.067 1.674a2.99 2.99 0 0 0-1.395 3.058c.149.899.766 1.676 1.565 2.112.897.49 1.685.446 2.384.197L18.976 18a.996.996 0 0 1-1.39.922.995.995 0 0 1-.318-.217.996.996 0 0 1-.291-.705L17 16a2.98 2.98 0 0 0-.877-2.119A3 3 0 0 0 14 13h-1V5c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h7c1.103 0 2-.897 2-2v-4h1c.136 0 .267.027.391.078a1.028 1.028 0 0 1 .531.533A.994.994 0 0 1 15 16l-.024 2c0 .406.079.799.236 1.168.151.359.368.68.641.951a2.97 2.97 0 0 0 2.123.881c.406 0 .798-.078 1.168-.236.358-.15.68-.367.951-.641A2.983 2.983 0 0 0 20.976 18L21 9a2.997 2.997 0 0 0-1.384-2.52zM4 5h7l.001 4H4V5zm0 14v-8h7.001l.001 8H4zm14-9a1 1 0 1 1 0-2 1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiGhost (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-4.963 0-9 4.038-9 9v8h.051c.245 1.691 1.69 3 3.449 3 1.174 0 2.074-.417 2.672-1.174a3.99 3.99 0 0 0 5.668-.014c.601.762 1.504 1.188 2.66 1.188 1.93 0 3.5-1.57 3.5-3.5V11c0-4.962-4.037-9-9-9zm7 16.5c0 .827-.673 1.5-1.5 1.5-.449 0-1.5 0-1.5-2v-1h-2v1c0 1.103-.897 2-2 2s-2-.897-2-2v-1H8v1c0 1.845-.774 2-1.5 2-.827 0-1.5-.673-1.5-1.5V11c0-3.86 3.141-7 7-7s7 3.14 7 7v7.5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiGift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7h-1.209A4.92 4.92 0 0 0 19 5.5C19 3.57 17.43 2 15.5 2c-1.622 0-2.705 1.482-3.404 3.085C11.407 3.57 10.269 2 8.5 2 6.57 2 5 3.57 5 5.5c0 .596.079 1.089.209 1.5H4c-1.103 0-2 .897-2 2v2c0 1.103.897 2 2 2v7c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-7c1.103 0 2-.897 2-2V9c0-1.103-.897-2-2-2zm-4.5-3c.827 0 1.5.673 1.5 1.5C17 7 16.374 7 16 7h-2.478c.511-1.576 1.253-3 1.978-3zM7 5.5C7 4.673 7.673 4 8.5 4c.888 0 1.714 1.525 2.198 3H8c-.374 0-1 0-1-1.5zM4 9h7v2H4V9zm2 11v-7h5v7H6zm12 0h-5v-7h5v7zm-5-9V9.085L13.017 9H20l.001 2H13z\"},\"child\":[]}]})(props);\n};\nexport function BiGitBranch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 4C15.57 4 14 5.57 14 7.5c0 1.554 1.025 2.859 2.43 3.315-.146.932-.547 1.7-1.23 2.323-1.946 1.773-5.527 1.935-7.2 1.907V8.837c1.44-.434 2.5-1.757 2.5-3.337C10.5 3.57 8.93 2 7 2S3.5 3.57 3.5 5.5c0 1.58 1.06 2.903 2.5 3.337v6.326c-1.44.434-2.5 1.757-2.5 3.337C3.5 20.43 5.07 22 7 22s3.5-1.57 3.5-3.5c0-.551-.14-1.065-.367-1.529 2.06-.186 4.657-.757 6.409-2.35 1.097-.997 1.731-2.264 1.904-3.768C19.915 10.438 21 9.1 21 7.5 21 5.57 19.43 4 17.5 4zm-12 1.5C5.5 4.673 6.173 4 7 4s1.5.673 1.5 1.5S7.827 7 7 7s-1.5-.673-1.5-1.5zM7 20c-.827 0-1.5-.673-1.5-1.5a1.5 1.5 0 0 1 1.482-1.498l.13.01A1.495 1.495 0 0 1 7 20zM17.5 9c-.827 0-1.5-.673-1.5-1.5S16.673 6 17.5 6s1.5.673 1.5 1.5S18.327 9 17.5 9z\"},\"child\":[]}]})(props);\n};\nexport function BiGitCommit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c-2.967 0-5.431 2.167-5.909 5H2v2h4.092c.479 2.832 2.942 4.998 5.909 4.998s5.43-2.166 5.909-4.998H22v-2h-4.09c-.478-2.833-2.942-5-5.91-5zm0 9.998c-2.205 0-3.999-1.794-3.999-3.999S9.795 8 12 8c2.206 0 4 1.794 4 3.999s-1.794 3.999-4 3.999z\"},\"child\":[]}]})(props);\n};\nexport function BiGitCompare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.01 2c-1.93 0-3.5 1.57-3.5 3.5 0 1.58 1.06 2.903 2.5 3.337v7.16c-.001.179.027 1.781 1.174 2.931C6.892 19.64 7.84 20 9 20v2l4-3-4-3v2c-1.823 0-1.984-1.534-1.99-2V8.837c1.44-.434 2.5-1.757 2.5-3.337 0-1.93-1.571-3.5-3.5-3.5zm0 5c-.827 0-1.5-.673-1.5-1.5S5.183 4 6.01 4s1.5.673 1.5 1.5S6.837 7 6.01 7zm13 8.163V7.997C19.005 6.391 17.933 4 15 4V2l-4 3 4 3V6c1.829 0 2.001 1.539 2.01 2v7.163c-1.44.434-2.5 1.757-2.5 3.337 0 1.93 1.57 3.5 3.5 3.5s3.5-1.57 3.5-3.5c0-1.58-1.06-2.903-2.5-3.337zm-1 4.837c-.827 0-1.5-.673-1.5-1.5s.673-1.5 1.5-1.5 1.5.673 1.5 1.5-.673 1.5-1.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiGitMerge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 18.5C2.5 20.43 4.07 22 6 22s3.5-1.57 3.5-3.5c0-1.58-1.06-2.903-2.5-3.337v-3.488c.244.273.509.527.813.744 1.18.844 2.617 1.098 3.918 1.098.966 0 1.853-.14 2.506-.281a3.5 3.5 0 0 0 3.264 2.265c1.93 0 3.5-1.57 3.5-3.5s-1.57-3.5-3.5-3.5a3.5 3.5 0 0 0-3.404 2.718c-1.297.321-3.664.616-5.119-.426-.666-.477-1.09-1.239-1.306-2.236C8.755 7.96 9.5 6.821 9.5 5.5 9.5 3.57 7.93 2 6 2S2.5 3.57 2.5 5.5c0 1.58 1.06 2.903 2.5 3.337v6.326c-1.44.434-2.5 1.757-2.5 3.337zm15-8c.827 0 1.5.673 1.5 1.5s-.673 1.5-1.5 1.5S16 12.827 16 12s.673-1.5 1.5-1.5zm-10 8c0 .827-.673 1.5-1.5 1.5s-1.5-.673-1.5-1.5S5.173 17 6 17s1.5.673 1.5 1.5zm-3-13C4.5 4.673 5.173 4 6 4s1.5.673 1.5 1.5S6.827 7 6 7s-1.5-.673-1.5-1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiGitPullRequest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.01 15.163V7.997C19.005 6.391 17.933 4 15 4V2l-4 3 4 3V6c1.829 0 2.001 1.539 2.01 2v7.163c-1.44.434-2.5 1.757-2.5 3.337 0 1.93 1.57 3.5 3.5 3.5s3.5-1.57 3.5-3.5c0-1.58-1.06-2.903-2.5-3.337zm-1 4.837c-.827 0-1.5-.673-1.5-1.5s.673-1.5 1.5-1.5 1.5.673 1.5 1.5-.673 1.5-1.5 1.5zM9.5 5.5C9.5 3.57 7.93 2 6 2S2.5 3.57 2.5 5.5c0 1.58 1.06 2.903 2.5 3.337v6.326c-1.44.434-2.5 1.757-2.5 3.337C2.5 20.43 4.07 22 6 22s3.5-1.57 3.5-3.5c0-1.58-1.06-2.903-2.5-3.337V8.837C8.44 8.403 9.5 7.08 9.5 5.5zm-5 0C4.5 4.673 5.173 4 6 4s1.5.673 1.5 1.5S6.827 7 6 7s-1.5-.673-1.5-1.5zm3 13c0 .827-.673 1.5-1.5 1.5s-1.5-.673-1.5-1.5S5.173 17 6 17s1.5.673 1.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiGitRepoForked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.559 8.855c.166 1.183.789 3.207 3.087 4.079C11 13.829 11 14.534 11 15v.163c-1.44.434-2.5 1.757-2.5 3.337 0 1.93 1.57 3.5 3.5 3.5s3.5-1.57 3.5-3.5c0-1.58-1.06-2.903-2.5-3.337V15c0-.466 0-1.171 2.354-2.065 2.298-.872 2.921-2.896 3.087-4.079C19.912 8.441 21 7.102 21 5.5 21 3.57 19.43 2 17.5 2S14 3.57 14 5.5c0 1.552 1.022 2.855 2.424 3.313-.146.735-.565 1.791-1.778 2.252-1.192.452-2.053.953-2.646 1.536-.593-.583-1.453-1.084-2.646-1.536-1.213-.461-1.633-1.517-1.778-2.252C8.978 8.355 10 7.052 10 5.5 10 3.57 8.43 2 6.5 2S3 3.57 3 5.5c0 1.602 1.088 2.941 2.559 3.355zM17.5 4c.827 0 1.5.673 1.5 1.5S18.327 7 17.5 7 16 6.327 16 5.5 16.673 4 17.5 4zm-4 14.5c0 .827-.673 1.5-1.5 1.5s-1.5-.673-1.5-1.5.673-1.5 1.5-1.5 1.5.673 1.5 1.5zM6.5 4C7.327 4 8 4.673 8 5.5S7.327 7 6.5 7 5 6.327 5 5.5 5.673 4 6.5 4z\"},\"child\":[]}]})(props);\n};\nexport function BiGlassesAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.948 11.684-2-6A.997.997 0 0 0 19 5h-3v2h2.279l1.334 4H15c-1.103 0-2 .897-2 2h-2c0-1.103-.897-2-2-2H4.387l1.334-4H8V5H5a.998.998 0 0 0-.948.684l-2 6 .012.004A.928.928 0 0 0 2 12v4c0 1.654 1.346 3 3 3h3c1.654 0 3-1.346 3-3v-1h2v1c0 1.654 1.346 3 3 3h3c1.654 0 3-1.346 3-3v-4a.964.964 0 0 0-.063-.313l.011-.003zM9 16c0 .551-.448 1-1 1H5c-.552 0-1-.449-1-1v-3h5v3zm11 0c0 .551-.448 1-1 1h-3c-.552 0-1-.449-1-1v-3h5v3z\"},\"child\":[]}]})(props);\n};\nexport function BiGlasses (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.977 13.783-2-9A1.002 1.002 0 0 0 19 4h-3v2h2.198l.961 4.326A4.467 4.467 0 0 0 17.5 10c-1.953 0-3.603 1.258-4.224 3h-2.553c-.621-1.742-2.271-3-4.224-3-.587 0-1.145.121-1.659.326L5.802 6H8V4H5a1 1 0 0 0-.976.783l-2 9 .047.011A4.552 4.552 0 0 0 2 14.5C2 16.981 4.019 19 6.5 19c2.31 0 4.197-1.756 4.449-4h2.102c.252 2.244 2.139 4 4.449 4 2.481 0 4.5-2.019 4.5-4.5 0-.242-.034-.475-.071-.706l.048-.011zM6.5 17C5.122 17 4 15.878 4 14.5S5.122 12 6.5 12 9 13.122 9 14.5 7.878 17 6.5 17zm11 0c-1.379 0-2.5-1.122-2.5-2.5s1.121-2.5 2.5-2.5 2.5 1.122 2.5 2.5-1.121 2.5-2.5 2.5z\"},\"child\":[]}]})(props);\n};\nexport function BiGlobeAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18.791V20H9v2h6v-2h-2v-1.845a9.934 9.934 0 0 0 3.071-2.084c3.898-3.898 3.898-10.243 0-14.143l-1.414 1.414c3.119 3.12 3.119 8.195 0 11.314-3.119 3.118-8.195 3.12-11.314 0L1.929 16.07A9.971 9.971 0 0 0 9 18.994a9.98 9.98 0 0 0 2-.203z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9c0 3.309 2.691 6 6 6s6-2.691 6-6-2.691-6-6-6-6 2.691-6 6zm10 0c0 2.206-1.794 4-4 4s-4-1.794-4-4 1.794-4 4-4 4 1.794 4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiGlobe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm7.931 9h-2.764a14.67 14.67 0 0 0-1.792-6.243A8.013 8.013 0 0 1 19.931 11zM12.53 4.027c1.035 1.364 2.427 3.78 2.627 6.973H9.03c.139-2.596.994-5.028 2.451-6.974.172-.01.344-.026.519-.026.179 0 .354.016.53.027zm-3.842.7C7.704 6.618 7.136 8.762 7.03 11H4.069a8.013 8.013 0 0 1 4.619-6.273zM4.069 13h2.974c.136 2.379.665 4.478 1.556 6.23A8.01 8.01 0 0 1 4.069 13zm7.381 6.973C10.049 18.275 9.222 15.896 9.041 13h6.113c-.208 2.773-1.117 5.196-2.603 6.972-.182.012-.364.028-.551.028-.186 0-.367-.016-.55-.027zm4.011-.772c.955-1.794 1.538-3.901 1.691-6.201h2.778a8.005 8.005 0 0 1-4.469 6.201z\"},\"child\":[]}]})(props);\n};\nexport function BiGridAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM9 9H5V5h4v4zm5 2h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm1-6h4v4h-4V5zM3 20a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6zm2-5h4v4H5v-4zm8 5a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v6zm2-5h4v4h-4v-4z\"},\"child\":[]}]})(props);\n};\nexport function BiGridHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7h4v4h-4zm6 0h4v4h-4zM4 7h4v4H4zm6 6h4v4h-4zm6 0h4v4h-4zM4 13h4v4H4z\"},\"child\":[]}]})(props);\n};\nexport function BiGridSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h4v4H7zm0 6h4v4H7zm6-6h4v4h-4zm0 6h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiGridVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h4v4H7zm0-6h4v4H7zm0 12h4v4H7zm6-6h4v4h-4zm0-6h4v4h-4zm0 12h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiGrid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3H4.984c-1.103 0-2 .897-2 2v14.016c0 1.103.897 2 2 2H19c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2h-4zm4 5h-3V5h3v3zM4.984 10h3v4.016h-3V10zm5 0H14v4.016H9.984V10zM16 10h3v4.016h-3V10zm-2-5v3H9.984V5H14zM7.984 5v3h-3V5h3zm-3 11.016h3v3h-3v-3zm5 3v-3H14v3H9.984zm6.016 0v-3h3.001v3H16z\"},\"child\":[]}]})(props);\n};\nexport function BiGroup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.604 11.048a5.67 5.67 0 0 0 .751-3.44c-.179-1.784-1.175-3.361-2.803-4.44l-1.105 1.666c1.119.742 1.8 1.799 1.918 2.974a3.693 3.693 0 0 1-1.072 2.986l-1.192 1.192 1.618.475C18.951 13.701 19 17.957 19 18h2c0-1.789-.956-5.285-4.396-6.952z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 12c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4zm0-6c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2zm1.5 7H8c-3.309 0-6 2.691-6 6v1h2v-1c0-2.206 1.794-4 4-4h3c2.206 0 4 1.794 4 4v1h2v-1c0-3.309-2.691-6-6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiHandicap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.98 14.804A1 1 0 0 0 16 14h-4.133l-.429-3H16V9h-4.847l-.163-1.142A1 1 0 0 0 10 7H9a1.003 1.003 0 0 0-.99 1.142l.877 6.142A2.009 2.009 0 0 0 10.867 16h4.313l.839 4.196c.094.467.504.804.981.804h3v-2h-2.181l-.839-4.196z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.51 17.5c-.739 1.476-2.25 2.5-4.01 2.5A4.505 4.505 0 0 1 4 15.5a4.503 4.503 0 0 1 2.817-4.167l-.289-2.025C3.905 10.145 2 12.604 2 15.5 2 19.084 4.916 22 8.5 22a6.497 6.497 0 0 0 5.545-3.126l-.274-1.374H12.51z\"},\"child\":[]}]})(props);\n};\nexport function BiHappyAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"9.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"9.493\",\"r\":\"1.493\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c5 0 6-5 6-5H6s1 5 6 5z\"},\"child\":[]}]})(props);\n};\nexport function BiHappyBeaming (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c4 0 5-4 5-4H7s1 4 5 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 12 2 .012c.012-.462.194-1.012 1-1.012s.988.55 1 1h2c0-1.206-.799-3-3-3s-3 1.794-3 3zm-5-1c.806 0 .988.55 1 1h2c0-1.206-.799-3-3-3s-3 1.794-3 3l2 .012C7.012 11.55 7.194 11 8 11z\"},\"child\":[]}]})(props);\n};\nexport function BiHappyHeartEyes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c4 0 5-4 5-4H7s1 4 5 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.514 0 10-4.486 10-10S17.514 2 12 2 2 6.486 2 12s4.486 10 10 10zm0-18c4.411 0 8 3.589 8 8s-3.589 8-8 8-8-3.589-8-8 3.589-8 8-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.535 12.634 2.05-2.083a1.485 1.485 0 0 0-.018-2.118 1.49 1.49 0 0 0-2.065-.034 1.488 1.488 0 0 0-2.067.068c-.586.6-.579 1.53.019 2.117l2.081 2.05zm7 0 2.05-2.083a1.485 1.485 0 0 0-.018-2.118 1.49 1.49 0 0 0-2.065-.034 1.488 1.488 0 0 0-2.068.067c-.586.6-.579 1.53.019 2.117l2.082 2.051z\"},\"child\":[]}]})(props);\n};\nexport function BiHappy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"10.493\",\"r\":\"1.493\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c4 0 5-4 5-4H7s1 4 5 4z\"},\"child\":[]}]})(props);\n};\nexport function BiHardHat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15a9.11 9.11 0 0 0-.18-1.81 8.53 8.53 0 0 0-.53-1.69 8.08 8.08 0 0 0-.83-1.5 8.73 8.73 0 0 0-1.1-1.33A8.27 8.27 0 0 0 17 7.54a8.08 8.08 0 0 0-1.53-.83L15 6.52V5a1 1 0 0 0-1-1h-4a1 1 0 0 0-1 1v1.52l-.5.19a8.08 8.08 0 0 0-1.5.83 8.27 8.27 0 0 0-1.33 1.1A8.27 8.27 0 0 0 4.54 10a8.08 8.08 0 0 0-.83 1.53 9 9 0 0 0-.53 1.69A9.11 9.11 0 0 0 3 15v3H2v2h20v-2h-1zM5 15a7.33 7.33 0 0 1 .14-1.41 6.64 6.64 0 0 1 .41-1.31 7.15 7.15 0 0 1 .64-1.19 7.15 7.15 0 0 1 1.9-1.9A7.33 7.33 0 0 1 9 8.68V15h2V6h2v9h2V8.68a8.13 8.13 0 0 1 .91.51 7.09 7.09 0 0 1 1 .86 6.44 6.44 0 0 1 .85 1 6 6 0 0 1 .65 1.19 7.13 7.13 0 0 1 .41 1.31A7.33 7.33 0 0 1 19 15v3H5z\"},\"child\":[]}]})(props);\n};\nexport function BiHash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.018 3.815 15.232 8h-4.966l.716-3.815-1.964-.37L8.232 8H4v2h3.857l-.751 4H3v2h3.731l-.714 3.805 1.965.369L8.766 16h4.966l-.714 3.805 1.965.369.783-4.174H20v-2h-3.859l.751-4H21V8h-3.733l.716-3.815-1.965-.37zM14.106 14H9.141l.751-4h4.966l-.752 4z\"},\"child\":[]}]})(props);\n};\nexport function BiHdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.983 13.821-1.851-10.18A1.998 1.998 0 0 0 18.165 2H5.835a2 2 0 0 0-1.968 1.643l-1.85 10.178.019.003c-.012.06-.036.114-.036.176v5c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2v-5c0-.063-.024-.116-.035-.176l.018-.003zM5.835 4h12.331l1.637 9H4.198l1.637-9zM4 19v-4h16l.002 4H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16h2v2h-2zm-3 0h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiHeading (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20V4h-3v6H9V4H6v16h3v-7h6v7z\"},\"child\":[]}]})(props);\n};\nexport function BiHeadphone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v-1.707c0-4.442-3.479-8.161-7.755-8.29-2.204-.051-4.251.736-5.816 2.256A7.933 7.933 0 0 0 4 10v2c-1.103 0-2 .897-2 2v4c0 1.103.897 2 2 2h2V10a5.95 5.95 0 0 1 1.821-4.306 5.977 5.977 0 0 1 4.363-1.691C15.392 4.099 18 6.921 18 10.293V20h2c1.103 0 2-.897 2-2v-4c0-1.103-.897-2-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h2v8H7zm8 0h2v8h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiHealth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.649 5.286 14 8.548V2.025h-4v6.523L4.351 5.286l-2 3.465 5.648 3.261-5.648 3.261 2 3.465L10 15.477V22h4v-6.523l5.649 3.261 2-3.465-5.648-3.261 5.648-3.261z\"},\"child\":[]}]})(props);\n};\nexport function BiHeartCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.279 8.833 12 9.112l-.279-.279a2.745 2.745 0 0 0-3.906 0 2.745 2.745 0 0 0 0 3.907L12 16.926l4.186-4.186a2.745 2.745 0 0 0 0-3.907 2.746 2.746 0 0 0-3.907 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiHeartSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.998 17 4.186-4.186a2.745 2.745 0 0 0 0-3.907 2.746 2.746 0 0 0-3.907 0l-.278.279-.279-.279a2.746 2.746 0 0 0-3.907 0 2.746 2.746 0 0 0 0 3.907L11.998 17z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4zm-2 15H5V5h14v14z\"},\"child\":[]}]})(props);\n};\nexport function BiHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4.595a5.904 5.904 0 0 0-3.996-1.558 5.942 5.942 0 0 0-4.213 1.758c-2.353 2.363-2.352 6.059.002 8.412l7.332 7.332c.17.299.498.492.875.492a.99.99 0 0 0 .792-.409l7.415-7.415c2.354-2.354 2.354-6.049-.002-8.416a5.938 5.938 0 0 0-4.209-1.754A5.906 5.906 0 0 0 12 4.595zm6.791 1.61c1.563 1.571 1.564 4.025.002 5.588L12 18.586l-6.793-6.793c-1.562-1.563-1.561-4.017-.002-5.584.76-.756 1.754-1.172 2.799-1.172s2.035.416 2.789 1.17l.5.5a.999.999 0 0 0 1.414 0l.5-.5c1.512-1.509 4.074-1.505 5.584-.002z\"},\"child\":[]}]})(props);\n};\nexport function BiHelpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a3.939 3.939 0 0 0-3.934 3.934h2C10.066 8.867 10.934 8 12 8s1.934.867 1.934 1.934c0 .598-.481 1.032-1.216 1.626a9.208 9.208 0 0 0-.691.599c-.998.997-1.027 2.056-1.027 2.174V15h2l-.001-.633c.001-.016.033-.386.441-.793.15-.15.339-.3.535-.458.779-.631 1.958-1.584 1.958-3.182A3.937 3.937 0 0 0 12 6zm-1 10h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiHide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19c.946 0 1.81-.103 2.598-.281l-1.757-1.757c-.273.021-.55.038-.841.038-5.351 0-7.424-3.846-7.926-5a8.642 8.642 0 0 1 1.508-2.297L4.184 8.305c-1.538 1.667-2.121 3.346-2.132 3.379a.994.994 0 0 0 0 .633C2.073 12.383 4.367 19 12 19zm0-14c-1.837 0-3.346.396-4.604.981L3.707 2.293 2.293 3.707l18 18 1.414-1.414-3.319-3.319c2.614-1.951 3.547-4.615 3.561-4.657a.994.994 0 0 0 0-.633C21.927 11.617 19.633 5 12 5zm4.972 10.558-2.28-2.28c.19-.39.308-.819.308-1.278 0-1.641-1.359-3-3-3-.459 0-.888.118-1.277.309L8.915 7.501A9.26 9.26 0 0 1 12 7c5.351 0 7.424 3.846 7.926 5-.302.692-1.166 2.342-2.954 3.558z\"},\"child\":[]}]})(props);\n};\nexport function BiHighlight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.707 5.826-3.535-3.533a.999.999 0 0 0-1.408-.006L7.096 10.82a1.01 1.01 0 0 0-.273.488l-1.024 4.437L4 18h2.828l1.142-1.129 3.588-.828c.18-.042.345-.133.477-.262l8.667-8.535a1 1 0 0 0 .005-1.42zm-9.369 7.833-2.121-2.12 7.243-7.131 2.12 2.12-7.242 7.131zM4 20h16v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiHistory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v5h5v-2h-3V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.292 8.497a8.957 8.957 0 0 0-1.928-2.862 9.004 9.004 0 0 0-4.55-2.452 9.09 9.09 0 0 0-3.626 0 8.965 8.965 0 0 0-4.552 2.453 9.048 9.048 0 0 0-1.928 2.86A8.963 8.963 0 0 0 4 12l.001.025H2L5 16l3-3.975H6.001L6 12a6.957 6.957 0 0 1 1.195-3.913 7.066 7.066 0 0 1 1.891-1.892 7.034 7.034 0 0 1 2.503-1.054 7.003 7.003 0 0 1 8.269 5.445 7.117 7.117 0 0 1 0 2.824 6.936 6.936 0 0 1-1.054 2.503c-.25.371-.537.72-.854 1.036a7.058 7.058 0 0 1-2.225 1.501 6.98 6.98 0 0 1-1.313.408 7.117 7.117 0 0 1-2.823 0 6.957 6.957 0 0 1-2.501-1.053 7.066 7.066 0 0 1-1.037-.855l-1.414 1.414A8.985 8.985 0 0 0 13 21a9.05 9.05 0 0 0 3.503-.707 9.009 9.009 0 0 0 3.959-3.26A8.968 8.968 0 0 0 22 12a8.928 8.928 0 0 0-.708-3.503z\"},\"child\":[]}]})(props);\n};\nexport function BiHive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.895 7.553-2-4A1.001 1.001 0 0 0 18 3h-5c-.379 0-.725.214-.895.553L10.382 7H6c-.379 0-.725.214-.895.553l-2 4a1 1 0 0 0 0 .895l2 4c.17.338.516.552.895.552h4.382l1.724 3.447A.998.998 0 0 0 13 21h5c.379 0 .725-.214.895-.553l2-4a1 1 0 0 0 0-.895L19.118 12l1.776-3.553a1 1 0 0 0 .001-.894zM13.618 5h3.764l1.5 3-1.5 3h-3.764l-1.5-3 1.5-3zm-8.5 7 1.5-3h3.764l1.5 3-1.5 3H6.618l-1.5-3zm12.264 7h-3.764l-1.5-3 1.5-3h3.764l1.5 3-1.5 3z\"},\"child\":[]}]})(props);\n};\nexport function BiHomeAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.71 2.29a1 1 0 0 0-1.42 0l-9 9a1 1 0 0 0 0 1.42A1 1 0 0 0 3 13h1v7a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-7h1a1 1 0 0 0 1-1 1 1 0 0 0-.29-.71zM6 20v-9.59l6-6 6 6V20z\"},\"child\":[]}]})(props);\n};\nexport function BiHomeAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14a2 2 0 0 0 2-2v-9a1 1 0 0 0-.29-.71l-8-8a1 1 0 0 0-1.41 0l-8 8A1 1 0 0 0 3 11v9a2 2 0 0 0 2 2zm5-2v-5h4v5zm-5-8.59 7-7 7 7V20h-3v-5a2 2 0 0 0-2-2h-4a2 2 0 0 0-2 2v5H5z\"},\"child\":[]}]})(props);\n};\nexport function BiHomeCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h1v7c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-7h1a1 1 0 0 0 .707-1.707l-9-9a.999.999 0 0 0-1.414 0l-9 9A1 1 0 0 0 3 13zm9-8.586 6 6V15l.001 5H6v-9.585l6-6.001z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4zm0-6c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiHomeHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12.223 11.641-.223.22-.224-.22a2.224 2.224 0 0 0-3.125 0 2.13 2.13 0 0 0 0 3.07L12 18l3.349-3.289a2.13 2.13 0 0 0 0-3.07 2.225 2.225 0 0 0-3.126 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 11.293-9-9a.999.999 0 0 0-1.414 0l-9 9A1 1 0 0 0 3 13h1v7c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-7h1a1 1 0 0 0 .707-1.707zM18.001 20H6v-9.585l6-6 6 6V15l.001 5z\"},\"child\":[]}]})(props);\n};\nexport function BiHomeSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h1v7c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-7h1a1 1 0 0 0 .707-1.707l-9-9a.999.999 0 0 0-1.414 0l-9 9A1 1 0 0 0 3 13zm9-8.586 6 6V15l.001 5H6v-9.586l6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c3.703 0 4.901-3.539 4.95-3.689l-1.9-.621c-.008.023-.781 2.31-3.05 2.31-2.238 0-3.02-2.221-3.051-2.316l-1.899.627C7.099 14.461 8.297 18 12 18z\"},\"child\":[]}]})(props);\n};\nexport function BiHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h1v7c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-7h1a1 1 0 0 0 .707-1.707l-9-9a.999.999 0 0 0-1.414 0l-9 9A1 1 0 0 0 3 13zm7 7v-5h4v5h-4zm2-15.586 6 6V15l.001 5H16v-5c0-1.103-.897-2-2-2h-4c-1.103 0-2 .897-2 2v5H6v-9.586l6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiHorizontalCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5.005 15.995 4-4-4-4v3h-3v2h3zm14-5v-3l-4 4 4 4v-3h3v-2h-2.072zm-8 7h2v3h-2zm0-5h2v3h-2zm0-5h2v3h-2zm0-5h2v3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiHorizontalLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11 8-4 4 4 4v-3h8v-2h-8V8zM3 18h2v3H3zM3 13h2v3H3zM3 8h2v3H3zM3 3h2v3H3z\"},\"child\":[]}]})(props);\n};\nexport function BiHorizontalRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11H5v2h8v3l4-4-4-4v3zM19 3h2v3h-2zM19 8h2v3h-2zM19 13h2v3h-2zM19 18h2v3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiHotel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"11.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.205 7H12a1 1 0 0 0-1 1v7H4V6H2v14h2v-3h16v3h2v-8.205A4.8 4.8 0 0 0 17.205 7zM13 15V9h4.205A2.798 2.798 0 0 1 20 11.795V15h-7z\"},\"child\":[]}]})(props);\n};\nexport function BiHourglass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.566 11.021A7.016 7.016 0 0 0 19 5V4h1V2H4v2h1v1a7.016 7.016 0 0 0 3.434 6.021c.354.208.566.545.566.9v.158c0 .354-.212.69-.566.9A7.016 7.016 0 0 0 5 19v1H4v2h16v-2h-1v-1a7.014 7.014 0 0 0-3.433-6.02c-.355-.21-.567-.547-.567-.901v-.158c0-.355.212-.692.566-.9zm-1.015 3.681A5.008 5.008 0 0 1 17 19v1H7v-1a5.01 5.01 0 0 1 2.45-4.299c.971-.573 1.55-1.554 1.55-2.622v-.158c0-1.069-.58-2.051-1.551-2.623A5.008 5.008 0 0 1 7 5V4h10v1c0 1.76-.938 3.406-2.449 4.298C13.58 9.87 13 10.852 13 11.921v.158c0 1.068.579 2.049 1.551 2.623z\"},\"child\":[]}]})(props);\n};\nexport function BiIdCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.715 12c1.151 0 2-.849 2-2s-.849-2-2-2-2 .849-2 2 .848 2 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .841-2 1.875v12.25C2 19.159 2.897 20 4 20h16c1.103 0 2-.841 2-1.875V5.875C22 4.841 21.103 4 20 4zm0 14-16-.011V6l16 .011V18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h4v2h-4zm1 4h3v2h-3zm-1.57 2.536c0-1.374-1.676-2.786-3.715-2.786S6 14.162 6 15.536V16h7.43v-.464z\"},\"child\":[]}]})(props);\n};\nexport function BiImageAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5h13v7h2V5c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h8v-2H4V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 11-3 4h11l-4-6-3 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14h-2v3h-3v2h3v3h2v-3h3v-2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiImageAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 14-1-1-3 4h12l-5-7z\"},\"child\":[]}]})(props);\n};\nexport function BiImage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.499\",\"cy\":\"9.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.499 14-1.5-2-3 4h12l-4.5-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.999 4h-16c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-16 14V6h16l.002 12H3.999z\"},\"child\":[]}]})(props);\n};\nexport function BiImages (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM8 16V4h12l.002 12H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8H2v12c0 1.103.897 2 2 2h12v-2H4V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 12-1-1-2 3h10l-4-6z\"},\"child\":[]}]})(props);\n};\nexport function BiImport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 18 4-5h-3V2h-2v11H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h-4v2h4v9H5v-9h4V9H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiInfinite (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7c-2.094 0-3.611 1.567-5.001 3.346C10.609 8.567 9.093 7 7 7c-2.757 0-5 2.243-5 5a4.98 4.98 0 0 0 1.459 3.534A4.956 4.956 0 0 0 6.99 17h.012c2.089-.005 3.605-1.572 4.996-3.351C13.389 15.431 14.906 17 17 17c2.757 0 5-2.243 5-5s-2.243-5-5-5zM6.998 15l-.008 1v-1c-.799 0-1.55-.312-2.114-.878A3.004 3.004 0 0 1 7 9c1.33 0 2.56 1.438 3.746 2.998C9.558 13.557 8.328 14.997 6.998 15zM17 15c-1.33 0-2.561-1.44-3.749-3.002C14.438 10.438 15.668 9 17 9c1.654 0 3 1.346 3 3s-1.346 3-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiInfoCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11h2v6h-2zm0-4h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiInfoSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-1 16H5V5h14v14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2v2h-2zm0 4h2v6h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiInjection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.84 7.4 22.25 6 18 1.75l-1.4 1.41L18 4.58 16.6 6l-2.13-2.13-1.41-1.42-1.41 1.42.7.71L3 13.92a2 2 0 0 0-.3 2.45L4 18.56l-2.25 2.28 1.41 1.41L5.44 20l2.19 1.31a2 2 0 0 0 1 .28 2 2 0 0 0 1.45-.59l9.34-9.34.71.7 1.42-1.41-1.42-1.42L18 7.4 19.42 6zM18 10.23l-9.34 9.35L6 18l-1.58-2.66.86-.87 2.83 2.83 1.42-1.41-2.83-2.83 1.41-1.41 2.83 2.82 1.41-1.41-2.83-2.83 1.42-1.41 2.83 2.83 1.41-1.42-2.83-2.83L13.77 6z\"},\"child\":[]}]})(props);\n};\nexport function BiIntersect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h3v3c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2h-3V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2zm9.001-2L14 10h.001v4zM19 10l.001 9H10v-3h4c1.103 0 2-.897 2-2v-4h3zM5 5h9v3h-4c-1.103 0-2 .897-2 2v4H5V5z\"},\"child\":[]}]})(props);\n};\nexport function BiItalic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7V4H9v3h2.868L9.012 17H5v3h10v-3h-2.868l2.856-10z\"},\"child\":[]}]})(props);\n};\nexport function BiJoystickAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"13\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"11\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9H8v2H6v2h2v2h2v-2h2v-2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5H9a7 7 0 0 0-7 7 7 7 0 0 0 7 7h6a7 7 0 0 0 7-7 7 7 0 0 0-7-7zm0 12H9A5 5 0 1 1 9 7h6a5 5 0 1 1 0 10z\"},\"child\":[]}]})(props);\n};\nexport function BiJoystickButton (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v3H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2h3v3c0 1.103.897 2 2 2h6c1.103 0 2-.897 2-2v-3h3c1.103 0 2-.897 2-2V9c0-1.103-.897-2-2-2zm0 8h-5v4h.001v1H9v-5H4V9h5V4h6v5h5v6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v-4l-3 2zm8 0 3-2-3-2zm-6-6h4l-2-3zm2 11 2-3h-4z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiJoystick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8.65A5 5 0 0 0 17 4H7a5 5 0 0 0-5 4.74A2 2 0 0 0 2 9v7.5A3.48 3.48 0 0 0 5.5 20c1.43 0 2.32-1.06 3.19-2.09.32-.37.65-.76 1-1.1a4.81 4.81 0 0 1 1.54-.75 6.61 6.61 0 0 1 1.54 0 4.81 4.81 0 0 1 1.54.75c.35.34.68.73 1 1.1.87 1 1.76 2.09 3.19 2.09a3.48 3.48 0 0 0 3.5-3.5V9a2.09 2.09 0 0 0 0-.26zm-2 7.85a1.5 1.5 0 0 1-1.5 1.5c-.5 0-1-.64-1.66-1.38-.34-.39-.72-.85-1.15-1.26a6.68 6.68 0 0 0-2.46-1.25 6.93 6.93 0 0 0-2.46 0 6.68 6.68 0 0 0-2.46 1.25c-.43.41-.81.87-1.15 1.26C6.54 17.36 6 18 5.5 18A1.5 1.5 0 0 1 4 16.5V9a.77.77 0 0 0 0-.15A3 3 0 0 1 7 6h10a3 3 0 0 1 3 2.72v.12A.86.86 0 0 0 20 9z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"10\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"8\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14\",\"cy\":\"10\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17a5.007 5.007 0 0 0 4.898-4H14v2h2v-2h2v3h2v-3h1v-2h-9.102A5.007 5.007 0 0 0 7 7c-2.757 0-5 2.243-5 5s2.243 5 5 5zm0-8c1.654 0 3 1.346 3 3s-1.346 3-3 3-3-1.346-3-3 1.346-3 3-3z\"},\"child\":[]}]})(props);\n};\nexport function BiKnife (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.66 3.6a3 3 0 0 0-4.24 0l-.71.71-7.07 7.07 2.12 2.12-6.36 6.36 1.41 1.42L19.66 6.43c1.1-1.1 1.1-1.73.71-2.12z\"},\"child\":[]}]})(props);\n};\nexport function BiLabel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.813 4.419A.997.997 0 0 0 16 4H3a1 1 0 0 0-.813 1.581L6.771 12l-4.585 6.419A1 1 0 0 0 3 20h13a.997.997 0 0 0 .813-.419l5-7a.997.997 0 0 0 0-1.162l-5-7zM15.485 18H4.943l3.87-5.419a.997.997 0 0 0 0-1.162L4.943 6h10.542l4.286 6-4.286 6z\"},\"child\":[]}]})(props);\n};\nexport function BiLandscape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18a1 1 0 0 0 .864-1.504l-7-12c-.359-.615-1.369-.613-1.729 0L9.866 12.1l-1.02-1.632A.998.998 0 0 0 8 10h-.001a1 1 0 0 0-.847.47l-5 8A1 1 0 0 0 3 20zM14 8.985 19.259 18h-5.704l-2.486-3.987L14 8.985zm-5.999 3.9L11.197 18H4.805l3.196-5.115zM6 8c1.654 0 3-1.346 3-3S7.654 2 6 2 3 3.346 3 5s1.346 3 3 3zm0-4a1 1 0 1 1 0 2 1 1 0 0 1 0-2z\"},\"child\":[]}]})(props);\n};\nexport function BiLaptop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17.722c.595-.347 1-.985 1-1.722V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v11c0 .736.405 1.375 1 1.722V18H2v2h20v-2h-2v-.278zM5 16V5h14l.002 11H5z\"},\"child\":[]}]})(props);\n};\nexport function BiLastPage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.707 17.707 13.414 12 7.707 6.293 6.293 7.707 10.586 12l-4.293 4.293zM15 6h2v12h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiLaugh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c4 0 5-4 5-4H7s1 4 5 4zm5.555-9.168-1.109-1.664-3 2a1.001 1.001 0 0 0 .108 1.727l4 2 .895-1.789-2.459-1.229 1.565-1.045zm-6.557 1.23a1 1 0 0 0-.443-.894l-3-2-1.11 1.664 1.566 1.044-2.459 1.229.895 1.789 4-2a.998.998 0 0 0 .551-.832z\"},\"child\":[]}]})(props);\n};\nexport function BiLayerMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.513 12.833 9.022 5.04a.995.995 0 0 0 .973.001l8.978-5a1 1 0 0 0-.002-1.749l-9.022-5a1 1 0 0 0-.968-.001l-8.978 4.96a1 1 0 0 0-.003 1.749zm9.464-4.69 6.964 3.859-6.917 3.853-6.964-3.89 6.917-3.822z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.485 15.126-.971 1.748 9 5a1 1 0 0 0 .971 0l9-5-.971-1.748L12 19.856l-8.515-4.73zM16 4h6v2h-6z\"},\"child\":[]}]})(props);\n};\nexport function BiLayerPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.484 11.125-9.022-5a1 1 0 0 0-.968-.001l-8.978 4.96a1 1 0 0 0-.003 1.749l9.022 5.04a.995.995 0 0 0 .973.001l8.978-5a1 1 0 0 0-.002-1.749zm-9.461 4.73-6.964-3.89 6.917-3.822 6.964 3.859-6.917 3.853z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a.994.994 0 0 0 .485-.126l9-5-.971-1.748L12 19.856l-8.515-4.73-.971 1.748 9 5A1 1 0 0 0 12 22zm8-20h-2v2h-2v2h2v2h2V6h2V4h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiLayer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 7.999a1 1 0 0 0-.516-.874l-9.022-5a1.003 1.003 0 0 0-.968 0l-8.978 4.96a1 1 0 0 0-.003 1.748l9.022 5.04a.995.995 0 0 0 .973.001l8.978-5A1 1 0 0 0 22 7.999zm-9.977 3.855L5.06 7.965l6.917-3.822 6.964 3.859-6.918 3.852z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.515 11.126 12 15.856l-8.515-4.73-.971 1.748 9 5a1 1 0 0 0 .971 0l9-5-.97-1.748z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.515 15.126 12 19.856l-8.515-4.73-.971 1.748 9 5a1 1 0 0 0 .971 0l9-5-.97-1.748z\"},\"child\":[]}]})(props);\n};\nexport function BiLayout (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm0 2 .001 4H5V5h14zM5 11h8v8H5v-8zm10 8v-8h4.001l.001 8H15z\"},\"child\":[]}]})(props);\n};\nexport function BiLeaf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.88 2.15-1.2.4a13.84 13.84 0 0 1-6.41.64 11.87 11.87 0 0 0-6.68.9A7.23 7.23 0 0 0 3.3 9.5a8.65 8.65 0 0 0 1.47 6.6c-.06.21-.12.42-.17.63A22.6 22.6 0 0 0 4 22h2a30.69 30.69 0 0 1 .59-4.32 9.25 9.25 0 0 0 4.52 1.11 11 11 0 0 0 4.28-.87C23 14.67 22 3.86 22 3.41zm-7.27 13.93c-2.61 1.11-5.73.92-7.48-.45a13.79 13.79 0 0 1 1.21-2.84A10.17 10.17 0 0 1 9.73 11a9 9 0 0 1 1.81-1.42A12 12 0 0 1 16 8V7a11.43 11.43 0 0 0-5.26 1.08 10.28 10.28 0 0 0-4.12 3.65 15.07 15.07 0 0 0-1 1.87 7 7 0 0 1-.38-3.73 5.24 5.24 0 0 1 3.14-4 8.93 8.93 0 0 1 3.82-.84c.62 0 1.23.06 1.87.11a16.2 16.2 0 0 0 6-.35C20 7.55 19.5 14 14.61 16.08z\"},\"child\":[]}]})(props);\n};\nexport function BiLeftArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.707 17.293 8.414 13H18v-2H8.414l4.293-4.293-1.414-1.414L4.586 12l6.707 6.707z\"},\"child\":[]}]})(props);\n};\nexport function BiLeftArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.999 1.993C6.486 1.994 2 6.48 1.999 11.994c0 5.514 4.486 10 10.001 10 5.514-.001 10-4.487 10-10 0-5.514-4.486-10-10.001-10.001zM12 19.994c-4.412 0-8.001-3.589-8.001-8 .001-4.411 3.59-8 8-8.001C16.411 3.994 20 7.583 20 11.994c0 4.41-3.589 7.999-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12.012 7.989-4.005 4.005 4.005 4.004v-3.004h3.994v-2h-3.994z\"},\"child\":[]}]})(props);\n};\nexport function BiLeftArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.464 2.114a.998.998 0 0 0-1.033.063l-13 9a1.003 1.003 0 0 0 0 1.645l13 9A1 1 0 0 0 19 21V3a1 1 0 0 0-.536-.886zM17 19.091 6.757 12 17 4.909v14.182z\"},\"child\":[]}]})(props);\n};\nexport function BiLeftDownArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.006 2.007A9.93 9.93 0 0 0 4.935 4.93c-3.898 3.898-3.898 10.242 0 14.142 1.885 1.885 4.396 2.923 7.071 2.923s5.187-1.038 7.071-2.923c3.898-3.899 3.898-10.243 0-14.142a9.931 9.931 0 0 0-7.071-2.923zm5.657 15.65c-1.507 1.507-3.516 2.337-5.657 2.337s-4.15-.83-5.657-2.337c-3.118-3.119-3.118-8.194 0-11.313 1.507-1.507 3.517-2.337 5.657-2.337s4.15.83 5.657 2.337c3.118 3.119 3.118 8.194 0 11.313z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.346 8.247-3.215 3.215-2.125-2.125V15h5.663l-2.124-2.124 3.215-3.215z\"},\"child\":[]}]})(props);\n};\nexport function BiLeftIndent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h10v2H4zm0-4h16v2H4zm0 8h10v2H4zm0 4h10v2H4zm0 4h16v2H4zm16-3V8l-4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiLeftTopArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.993 2.007a9.928 9.928 0 0 0-7.071 2.922c-3.899 3.899-3.899 10.243 0 14.143a9.931 9.931 0 0 0 7.071 2.923 9.928 9.928 0 0 0 7.071-2.923c3.899-3.899 3.899-10.243 0-14.143a9.927 9.927 0 0 0-7.071-2.922zm5.657 15.65a7.945 7.945 0 0 1-5.657 2.337c-2.141 0-4.15-.83-5.657-2.337-3.119-3.119-3.119-8.195 0-11.314a7.946 7.946 0 0 1 5.657-2.336c2.142 0 4.15.829 5.657 2.336 3.12 3.119 3.12 8.195 0 11.314z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.657 9H8.993v5.663l2.125-2.124 3.215 3.214 1.414-1.414-3.215-3.214z\"},\"child\":[]}]})(props);\n};\nexport function BiLemon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a9.83 9.83 0 0 1-3.26-.55 2.23 2.23 0 0 0-1.7.19 3.51 3.51 0 0 1-2.47.24 3.55 3.55 0 0 1-2.45-2.45A3.51 3.51 0 0 1 2.36 17a2.23 2.23 0 0 0 .19-1.7 10.07 10.07 0 0 1 0-6.53 9.87 9.87 0 0 1 6.18-6.23 10.07 10.07 0 0 1 6.53 0A2.23 2.23 0 0 0 17 2.36a3.51 3.51 0 0 1 2.47-.24 3.55 3.55 0 0 1 2.45 2.45A3.51 3.51 0 0 1 21.64 7a2.23 2.23 0 0 0-.19 1.7 10.07 10.07 0 0 1 0 6.53 9.87 9.87 0 0 1-6.19 6.19A10.33 10.33 0 0 1 12 22zm-3.84-2.64a3.91 3.91 0 0 1 1.23.2 8 8 0 0 0 5.24 0 7.84 7.84 0 0 0 4.94-4.93 8 8 0 0 0 0-5.24 4.19 4.19 0 0 1 .29-3.23 1.53 1.53 0 0 0 .09-1.08 1.49 1.49 0 0 0-1-1 1.53 1.53 0 0 0-1.08.09 4.19 4.19 0 0 1-3.23.29 8 8 0 0 0-5.24 0 7.84 7.84 0 0 0-4.97 4.91 8 8 0 0 0 0 5.24 4.19 4.19 0 0 1-.29 3.23 1.53 1.53 0 0 0-.09 1.08 1.49 1.49 0 0 0 1 1 1.53 1.53 0 0 0 1.08-.09 4.47 4.47 0 0 1 2.03-.47z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12H6a6 6 0 0 1 6-6v2a4 4 0 0 0-4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiLibrary (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h2v18H7zM4 3h2v18H4zm6 0h2v18h-2zm9.062 17.792-6.223-16.89 1.877-.692 6.223 16.89z\"},\"child\":[]}]})(props);\n};\nexport function BiLike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h-5.612l1.123-3.367c.202-.608.1-1.282-.275-1.802S14.253 2 13.612 2H12c-.297 0-.578.132-.769.36L6.531 8H4c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h13.307a2.01 2.01 0 0 0 1.873-1.298l2.757-7.351A1 1 0 0 0 22 12v-2c0-1.103-.897-2-2-2zM4 10h2v9H4v-9zm16 1.819L17.307 19H8V9.362L12.468 4h1.146l-1.562 4.683A.998.998 0 0 0 13 10h7v1.819z\"},\"child\":[]}]})(props);\n};\nexport function BiLineChartDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3H3v18h18v-2H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12.586 8.707 8.293 7.293 9.707 13 15.414l3-3 4.293 4.293 1.414-1.414L16 9.586z\"},\"child\":[]}]})(props);\n};\nexport function BiLineChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v17a1 1 0 0 0 1 1h17v-2H5V3H3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.293 14.707a.999.999 0 0 0 1.414 0l5-5-1.414-1.414L16 12.586l-2.293-2.293a.999.999 0 0 0-1.414 0l-5 5 1.414 1.414L13 12.414l2.293 2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiLinkAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.222 19.778a4.983 4.983 0 0 0 3.535 1.462 4.986 4.986 0 0 0 3.536-1.462l2.828-2.829-1.414-1.414-2.828 2.829a3.007 3.007 0 0 1-4.243 0 3.005 3.005 0 0 1 0-4.243l2.829-2.828-1.414-1.414-2.829 2.828a5.006 5.006 0 0 0 0 7.071zm15.556-8.485a5.008 5.008 0 0 0 0-7.071 5.006 5.006 0 0 0-7.071 0L9.879 7.051l1.414 1.414 2.828-2.829a3.007 3.007 0 0 1 4.243 0 3.005 3.005 0 0 1 0 4.243l-2.829 2.828 1.414 1.414 2.829-2.828z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.464 16.95-1.415-1.414 8.487-8.486 1.414 1.415z\"},\"child\":[]}]})(props);\n};\nexport function BiLinkExternal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13 3 3.293 3.293-7 7 1.414 1.414 7-7L21 11V3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19H5V5h7l-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-5l-2-2v7z\"},\"child\":[]}]})(props);\n};\nexport function BiLink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.465 11.293c1.133-1.133 3.109-1.133 4.242 0l.707.707 1.414-1.414-.707-.707c-.943-.944-2.199-1.465-3.535-1.465s-2.592.521-3.535 1.465L4.929 12a5.008 5.008 0 0 0 0 7.071 4.983 4.983 0 0 0 3.535 1.462A4.982 4.982 0 0 0 12 19.071l.707-.707-1.414-1.414-.707.707a3.007 3.007 0 0 1-4.243 0 3.005 3.005 0 0 1 0-4.243l2.122-2.121z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 4.929-.707.707 1.414 1.414.707-.707a3.007 3.007 0 0 1 4.243 0 3.005 3.005 0 0 1 0 4.243l-2.122 2.121c-1.133 1.133-3.109 1.133-4.242 0L10.586 12l-1.414 1.414.707.707c.943.944 2.199 1.465 3.535 1.465s2.592-.521 3.535-1.465L19.071 12a5.008 5.008 0 0 0 0-7.071 5.006 5.006 0 0 0-7.071 0z\"},\"child\":[]}]})(props);\n};\nexport function BiLira (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h2c4.411 0 8-4.038 8-9h-2c0 3.86-2.691 7-6 7v-7.358l6-1.385V8.204l-6 1.385V7.642l6-1.385V4.204l-6 1.385V3H9v3.05l-3 .693v2.053l3-.692v1.947l-3 .692v2.053l3-.692V21z\"},\"child\":[]}]})(props);\n};\nexport function BiListCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h11v2H4zm0 4h11v2H4zm0 4h7v2H4zm15.299-2.708-4.3 4.291-1.292-1.291-1.414 1.415 2.706 2.704 5.712-5.703z\"},\"child\":[]}]})(props);\n};\nexport function BiListMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.063 15H13v2h9v-2zM4 7h11v2H4zm0 4h11v2H4zm0 4h7v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiListOl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.282 12.064c-.428.328-.72.609-.875.851-.155.24-.249.498-.279.768h2.679v-.748H5.413c.081-.081.152-.151.212-.201.062-.05.182-.142.361-.27.303-.218.511-.42.626-.604.116-.186.173-.375.173-.578a.898.898 0 0 0-.151-.512.892.892 0 0 0-.412-.341c-.174-.076-.419-.111-.733-.111-.3 0-.537.038-.706.114a.889.889 0 0 0-.396.338c-.094.143-.159.346-.194.604l.894.076c.025-.188.074-.317.147-.394a.375.375 0 0 1 .279-.108c.11 0 .2.035.272.108a.344.344 0 0 1 .108.258.55.55 0 0 1-.108.297c-.074.102-.241.254-.503.453zm.055 6.386a.398.398 0 0 1-.282-.105c-.074-.07-.128-.195-.162-.378L4 18.085c.059.204.142.372.251.506.109.133.248.235.417.306.168.069.399.103.692.103.3 0 .541-.047.725-.14a1 1 0 0 0 .424-.403c.098-.175.146-.354.146-.544a.823.823 0 0 0-.088-.393.708.708 0 0 0-.249-.261 1.015 1.015 0 0 0-.286-.11.943.943 0 0 0 .345-.299.673.673 0 0 0 .113-.383.747.747 0 0 0-.281-.596c-.187-.159-.49-.238-.909-.238-.365 0-.648.072-.847.219-.2.143-.334.353-.404.626l.844.151c.023-.162.067-.274.133-.338s.151-.098.257-.098a.33.33 0 0 1 .241.089c.059.06.087.139.087.238 0 .104-.038.193-.117.27s-.177.112-.293.112a.907.907 0 0 1-.116-.011l-.045.649a1.13 1.13 0 0 1 .289-.056c.132 0 .237.041.313.126.077.082.115.199.115.352 0 .146-.04.266-.119.354a.394.394 0 0 1-.301.134zm.948-10.083V5h-.739a1.47 1.47 0 0 1-.394.523c-.168.142-.404.262-.708.365v.754a2.595 2.595 0 0 0 .937-.48v2.206h.904zM9 6h11v2H9zm0 5h11v2H9zm0 5h11v2H9z\"},\"child\":[]}]})(props);\n};\nexport function BiListPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15v-3h-2v3h-3v2h3v3h2v-3h3v-2h-.937zM4 7h11v2H4zm0 4h11v2H4zm0 4h8v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiListUl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h2v2H4zm0 5h2v2H4zm0 5h2v2H4zm16-8V6H8.023v2H18.8zM8 11h12v2H8zm0 5h12v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiLoaderAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.421 0 10-4.579 10-10h-2c0 4.337-3.663 8-8 8s-8-3.663-8-8c0-4.336 3.663-8 8-8V2C6.579 2 2 6.58 2 12c0 5.421 4.579 10 10 10z\"},\"child\":[]}]})(props);\n};\nexport function BiLoaderCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"20\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.343\",\"cy\":\"17.657\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.657\",\"cy\":\"6.343\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"12\",\"r\":\"2.001\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"20\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.343\",\"cy\":\"6.344\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.657\",\"cy\":\"17.658\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiLoader (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 11h5v2H2zm15 0h5v2h-5zm-6 6h2v5h-2zm0-15h2v5h-2zM4.222 5.636l1.414-1.414 3.536 3.536-1.414 1.414zm15.556 12.728-1.414 1.414-3.536-3.536 1.414-1.414zm-12.02-3.536 1.414 1.414-3.536 3.536-1.414-1.414zm7.07-7.071 3.536-3.535 1.414 1.415-3.536 3.535z\"},\"child\":[]}]})(props);\n};\nexport function BiLocationPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.42 21.815a1.004 1.004 0 0 0 1.16 0C12.884 21.598 20.029 16.44 20 10c0-4.411-3.589-8-8-8S4 5.589 4 9.996c-.029 6.444 7.116 11.602 7.42 11.819zM12 4c3.309 0 6 2.691 6 6.004.021 4.438-4.388 8.423-6 9.731-1.611-1.308-6.021-5.293-6-9.735 0-3.309 2.691-6 6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h2v-3h3V9h-3V6h-2v3H8v2h3z\"},\"child\":[]}]})(props);\n};\nexport function BiLockAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C9.243 2 7 4.243 7 7v3H6c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-8c0-1.103-.897-2-2-2h-1V7c0-2.757-2.243-5-5-5zm6 10 .002 8H6v-8h12zm-9-2V7c0-1.654 1.346-3 3-3s3 1.346 3 3v3H9z\"},\"child\":[]}]})(props);\n};\nexport function BiLockOpenAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8V7c0-2.757-2.243-5-5-5S7 4.243 7 7v3H6c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-8c0-1.103-.897-2-2-2H9V7c0-1.654 1.346-3 3-3s3 1.346 3 3v1h2zm1 4 .002 8H6v-8h12z\"},\"child\":[]}]})(props);\n};\nexport function BiLockOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c1.654 0 3 1.346 3 3h2c0-2.757-2.243-5-5-5S7 4.243 7 7v2H6c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2H9V7c0-1.654 1.346-3 3-3zm6.002 16H13v-2.278c.595-.347 1-.985 1-1.722 0-1.103-.897-2-2-2s-2 .897-2 2c0 .736.405 1.375 1 1.722V20H6v-9h12l.002 9z\"},\"child\":[]}]})(props);\n};\nexport function BiLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C9.243 2 7 4.243 7 7v2H6c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2h-1V7c0-2.757-2.243-5-5-5zM9 7c0-1.654 1.346-3 3-3s3 1.346 3 3v2H9V7zm9.002 13H13v-2.278c.595-.347 1-.985 1-1.722 0-1.103-.897-2-2-2s-2 .897-2 2c0 .736.405 1.375 1 1.722V20H6v-9h12l.002 9z\"},\"child\":[]}]})(props);\n};\nexport function BiLogInCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10.998 16 5-4-5-4v3h-9v2h9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.999 2.999a8.938 8.938 0 0 0-6.364 2.637L8.049 7.05c1.322-1.322 3.08-2.051 4.95-2.051s3.628.729 4.95 2.051S20 10.13 20 12s-.729 3.628-2.051 4.95-3.08 2.051-4.95 2.051-3.628-.729-4.95-2.051l-1.414 1.414c1.699 1.7 3.959 2.637 6.364 2.637s4.665-.937 6.364-2.637C21.063 16.665 22 14.405 22 12s-.937-4.665-2.637-6.364a8.938 8.938 0 0 0-6.364-2.637z\"},\"child\":[]}]})(props);\n};\nexport function BiLogIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13 16 5-4-5-4v3H4v2h9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3h-9c-1.103 0-2 .897-2 2v4h2V5h9v14h-9v-4H9v4c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiLogOutCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 12 5 4v-3h9v-2H7V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.001 2.999a8.938 8.938 0 0 0-6.364 2.637L8.051 7.05c1.322-1.322 3.08-2.051 4.95-2.051s3.628.729 4.95 2.051 2.051 3.08 2.051 4.95-.729 3.628-2.051 4.95-3.08 2.051-4.95 2.051-3.628-.729-4.95-2.051l-1.414 1.414c1.699 1.7 3.959 2.637 6.364 2.637s4.665-.937 6.364-2.637c1.7-1.699 2.637-3.959 2.637-6.364s-.937-4.665-2.637-6.364a8.938 8.938 0 0 0-6.364-2.637z\"},\"child\":[]}]})(props);\n};\nexport function BiLogOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13v-2H7V8l-5 4 5 4v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3h-9c-1.103 0-2 .897-2 2v4h2V5h9v14h-9v-4H9v4c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiLowVision (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4.998c-1.836 0-3.356.389-4.617.971L3.707 2.293 2.293 3.707l3.315 3.316c-2.613 1.952-3.543 4.618-3.557 4.66l-.105.316.105.316C2.073 12.382 4.367 19 12 19c1.835 0 3.354-.389 4.615-.971l3.678 3.678 1.414-1.414-3.317-3.317c2.614-1.952 3.545-4.618 3.559-4.66l.105-.316-.105-.316c-.022-.068-2.316-6.686-9.949-6.686zM4.074 12c.103-.236.274-.586.521-.989l5.867 5.867C6.249 16.23 4.523 13.035 4.074 12zm9.247 4.907-7.48-7.481a8.138 8.138 0 0 1 1.188-.982l8.055 8.054a8.835 8.835 0 0 1-1.763.409zm3.648-1.352-1.541-1.541c.354-.596.572-1.28.572-2.015 0-.474-.099-.924-.255-1.349A.983.983 0 0 1 15 11a1 1 0 0 1-1-1c0-.439.288-.802.682-.936A3.97 3.97 0 0 0 12 7.999c-.735 0-1.419.218-2.015.572l-1.07-1.07A9.292 9.292 0 0 1 12 6.998c5.351 0 7.425 3.847 7.926 5a8.573 8.573 0 0 1-2.957 3.557z\"},\"child\":[]}]})(props);\n};\nexport function BiMagnet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3h-3c-1.103 0-2 .897-2 2v8c0 1.103-.897 2-2 2s-2-.897-2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v8c0 4.963 4.037 9 9 9s9-4.037 9-9V5c0-1.103-.897-2-2-2zm-3 2h3v3h-3V5zM5 5h3v3H5V5zm7 15c-3.859 0-7-3.141-7-7v-3h3v3c0 2.206 1.794 4 4 4s4-1.794 4-4v-3h3v3c0 3.859-3.141 7-7 7z\"},\"child\":[]}]})(props);\n};\nexport function BiMailSend (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H6c-1.103 0-2 .897-2 2v5h2V8l6.4 4.8a1.001 1.001 0 0 0 1.2 0L20 8v9h-8v2h8c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-7 6.75L6.666 6h12.668L13 10.75z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h7v2H2zm2 3h6v2H4zm3 3h4v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiMaleFemale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7H3a1 1 0 0 0-1 1v7h2v7h4v-7h2V8a1 1 0 0 0-1-1z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.21 7.73a1 1 0 0 0-1-.73h-4.5a1 1 0 0 0-1 .73L12 14h2l-1 4h2v4h4v-4h2l-1-4h2z\"},\"child\":[]}]})(props);\n};\nexport function BiMaleSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11V4h-7l2.793 2.793-4.322 4.322A5.961 5.961 0 0 0 8 10c-3.309 0-6 2.691-6 6s2.691 6 6 6 6-2.691 6-6c0-1.294-.416-2.49-1.115-3.471l4.322-4.322L20 11zM8 20c-2.206 0-4-1.794-4-4s1.794-4 4-4 4 1.794 4 4-1.794 4-4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiMale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7H9a1 1 0 0 0-1 1v7h2v7h4v-7h2V8a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiMapAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.447 6.105-6-3a1 1 0 0 0-.895 0L9 5.882 3.447 3.105A1 1 0 0 0 2 4v13c0 .379.214.725.553.895l6 3a1 1 0 0 0 .895 0L15 18.118l5.553 2.776a.992.992 0 0 0 .972-.043c.295-.183.475-.504.475-.851V7c0-.379-.214-.725-.553-.895zM10 7.618l4-2v10.764l-4 2V7.618zm-6-2 4 2v10.764l-4-2V5.618zm16 12.764-4-2V5.618l4 2v10.764z\"},\"child\":[]}]})(props);\n};\nexport function BiMapPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 17 1-2V9.858c1.721-.447 3-2 3-3.858 0-2.206-1.794-4-4-4S8 3.794 8 6c0 1.858 1.279 3.411 3 3.858V15l1 2zM10 6c0-1.103.897-2 2-2s2 .897 2 2-.897 2-2 2-2-.897-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.267 10.563-.533 1.928C18.325 13.207 20 14.584 20 16c0 1.892-3.285 4-8 4s-8-2.108-8-4c0-1.416 1.675-2.793 4.267-3.51l-.533-1.928C4.197 11.54 2 13.623 2 16c0 3.364 4.393 6 10 6s10-2.636 10-6c0-2.377-2.197-4.46-5.733-5.437z\"},\"child\":[]}]})(props);\n};\nexport function BiMap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4zm0-6c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.42 21.814a.998.998 0 0 0 1.16 0C12.884 21.599 20.029 16.44 20 10c0-4.411-3.589-8-8-8S4 5.589 4 9.995c-.029 6.445 7.116 11.604 7.42 11.819zM12 4c3.309 0 6 2.691 6 6.005.021 4.438-4.388 8.423-6 9.73-1.611-1.308-6.021-5.294-6-9.735 0-3.309 2.691-6 6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiMask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6H5C3.346 6 2 7.346 2 9v5c0 2.206 1.794 4 4 4h1.637c1.166 0 2.28-.557 2.981-1.491.66-.879 2.104-.88 2.764.001A3.744 3.744 0 0 0 16.363 18H18c2.206 0 4-1.794 4-4V9c0-1.654-1.346-3-3-3zm1 8c0 1.103-.897 2-2 2h-1.637c-.54 0-1.057-.259-1.382-.69-.71-.948-1.797-1.492-2.981-1.492s-2.271.544-2.981 1.491A1.741 1.741 0 0 1 7.637 16H6c-1.103 0-2-.897-2-2V9c0-.551.448-1 1-1h14c.552 0 1 .449 1 1v5z\"},\"child\":[]},{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"11.5\",\"rx\":\"2.5\",\"ry\":\"1.5\"},\"child\":[]},{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"11.5\",\"rx\":\"2.5\",\"ry\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiMath (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2H5v3H2v2h3v3h2V7h3V5H7V2zm7 3h8v2h-8zm0 10h8v2h-8zm0 4h8v2h-8zm-5.71-4.71L6 16.59l-2.29-2.3-1.42 1.42L4.59 18l-2.3 2.29 1.42 1.42L6 19.41l2.29 2.3 1.42-1.42L7.41 18l2.3-2.29-1.42-1.42z\"},\"child\":[]}]})(props);\n};\nexport function BiMedal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c3.859 0 7-3.141 7-7s-3.141-7-7-7c-3.86 0-7 3.141-7 7s3.14 7 7 7zm0-12c2.757 0 5 2.243 5 5s-2.243 5-5 5-5-2.243-5-5 2.243-5 5-5zm-1-8H7v5.518a8.957 8.957 0 0 1 4-1.459V2zm6 0h-4v4.059a8.957 8.957 0 0 1 4 1.459V2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.019 15.811-.468 2.726L12 17.25l2.449 1.287-.468-2.726 1.982-1.932-2.738-.398L12 11l-1.225 2.481-2.738.398z\"},\"child\":[]}]})(props);\n};\nexport function BiMehAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h4v2h-4zm-6.026 5H16v2H7.974zM6 10h4v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiMehBlank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"10.493\",\"r\":\"1.493\"},\"child\":[]}]})(props);\n};\nexport function BiMeh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"10.493\",\"r\":\"1.493\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.974 15H16v2H7.974z\"},\"child\":[]}]})(props);\n};\nexport function BiMemoryCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V8a.997.997 0 0 0-.293-.707l-5-5A.996.996 0 0 0 14 2H6c-1.103 0-2 .897-2 2zm14 4.414L18.001 20H6V4h7.586L18 8.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h2v4H8zm4 0h2v4h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiMenuAltLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h12v2H4zm0-5h16v2H4zm0 12h7.235v-2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiMenuAltRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h16v2H4zm4 5h12v2H8zm5 5h7v2h-7z\"},\"child\":[]}]})(props);\n};\nexport function BiMenu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h16v2H4zm0 5h16v2H4zm0 5h16v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiMerge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3H5a2 2 0 0 0-2 2v9a2 2 0 0 0 2 2h9a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19v-9a2 2 0 0 0-2-2h-1v8a2 2 0 0 1-2 2H8v1a2 2 0 0 0 2 2h9a2 2 0 0 0 2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h2v-3h3V9h-3V6h-2v3H8v2h3z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16c0 1.103.897 2 2 2h3.586L12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v12zM5 4h14v12h-4.414L12 18.586 9.414 16H5V4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h2v-3h3V9h-3V6h-2v3H8v2h3z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4v12c0 1.103.897 2 2 2h3.586L12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2zm2 0h14v12h-4.414L12 18.586 9.414 16H5V4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.207 7.207-1.414-1.414L11 10.586 8.707 8.293 7.293 9.707 11 13.414z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.586L12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5zm14 14h-4.414L12 18.586 9.414 16H5V4h14v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10v2H7zm0 4h7v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.586L12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-4.414L12 18.586 9.414 16H5V4h14v12z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.586 18 12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.586zM5 4h14v12h-4.414L12 18.586 9.414 16H5V4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12.479 7.219-4.977 4.969v1.799h1.8l4.975-4.969zm2.219-2.22 1.8 1.8-1.37 1.37-1.8-1.799z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.586L12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5zm14 14h-4.414L12 18.586 9.414 16H5V4h14v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v6h-2zm0 7h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.586 18 12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.586zM5 4h14v12h-4.414L12 18.586 9.414 16H5V4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAltX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.586 18 12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.586zM5 4h14v12h-4.414L12 18.586 9.414 16H5V4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.707 13.707 12 11.414l2.293 2.293 1.414-1.414L13.414 10l2.293-2.293-1.414-1.414L12 8.586 9.707 6.293 8.293 7.707 10.586 10l-2.293 2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.586L12 21.414 15.414 18H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-4.414L12 18.586 9.414 16H5V4h14v12z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.207 7.207-1.414-1.414L11 10.586 8.707 8.293 7.293 9.707 11 13.414z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10v2H7zm0 4h7v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.766L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.234V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiMessageEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13.803 9.189-1.399-1.398-3.869 3.864v1.399h1.399zm.327-3.123 1.398 1.399-1.066 1.066-1.399-1.398z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v5h-2zm0 6h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h-2v3H8v2h3v3h2v-3h3V9h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.339-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.586 8.707 9.293l-1.414 1.414L11 14.414l6.207-6.207-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10v2H7zm0 4h7v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.5\",\"cy\":\"9.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"9.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.897 5.515 5 6.934V22l5.34-4.004C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.671 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.503 11.589v1.398h1.398l3.87-3.864-1.399-1.398zm5.927-3.125-1.398-1.398 1.067-1.067 1.398 1.398z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v5h-2zm0 6h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRoundedX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.293 6.293 12 8.586 9.707 6.293 8.293 7.707 10.586 10l-2.293 2.293 1.414 1.414L12 11.414l2.293 2.293 1.414-1.414L13.414 10l2.293-2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.516 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm0 14h-.333L9 18v-2.417l-.641-.247C5.67 14.301 4 12.256 4 10c0-3.309 3.589-6 8-6s8 2.691 8 6-3.589 6-8 6z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 14c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h-2v4H7v2h4v4h2v-4h4v-2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 14c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 13.586-2.293-2.293-1.414 1.414L11 16.414l6.207-6.207-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 14c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h10v2H7zm0 4h7v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v12a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 13c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v7z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.5\",\"cy\":\"11.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"11.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 14c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14.987v1.999h1.999l5.529-5.522-1.998-1.998zm8.47-4.465-1.998-2L14.995 7l2 1.999z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 14c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v8h-2zm0 10h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 14c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h8v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquareX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 14c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.292 7.295 12 10.587 8.708 7.295 7.294 8.709l3.292 3.292-3.292 3.292 1.414 1.414L12 13.415l3.292 3.292 1.414-1.414-3.292-3.292 3.292-3.292z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v12a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm4 13c0 2.206-1.794 4-4 4H4V8c0-2.206 1.794-4 4-4h8c2.206 0 4 1.794 4 4v7z\"},\"child\":[]}]})(props);\n};\nexport function BiMessageX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.707 13.707 12 11.414l2.293 2.293 1.414-1.414L13.414 10l2.293-2.293-1.414-1.414L12 8.586 9.707 6.293 8.293 7.707 10.586 10l-2.293 2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiMessage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3v3.767L13.277 18H20c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm0 14h-7.277L9 18.233V16H4V4h16v12z\"},\"child\":[]}]})(props);\n};\nexport function BiMeteor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 22c2.003 0 3.887-.78 5.313-2.207l6.904-7.096A1 1 0 0 0 21 11h-3.301l4.175-7.514a1.001 1.001 0 0 0-1.359-1.36l-7.11 3.95.576-2.879a1 1 0 0 0-1.629-.957L4.196 9.197c-2.924 2.924-2.924 7.682 0 10.606A7.452 7.452 0 0 0 9.5 22zM5.552 10.665l5.902-5.031-.248 1.24-.186.93v.001l-.424 2.119 7.83-4.35-3.3 5.94-.001.001L14.301 13h4.331l-5.243 5.389C12.35 19.428 10.969 20 9.5 20s-2.851-.572-3.89-1.611c-2.143-2.144-2.143-5.634-.058-7.724z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 18a3.492 3.492 0 0 0 1.484-6.659c.005.053.016.105.016.159a1.5 1.5 0 1 1-3 0c0-.054.011-.106.016-.159A3.492 3.492 0 0 0 9.5 18z\"},\"child\":[]}]})(props);\n};\nexport function BiMicrochip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h8c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM8 20V4h8l.001 16H8zM3 7h2V5H3v.5H2v1h1zm18-2h-2v2h2v-.5h1v-1h-1zM3 11h2V9H3v.5H2v1h1zm18-2h-2v2h2v-.5h1v-1h-1zM3 15h2v-2H3v.5H2v1h1zm18-2h-2v2h2v-.5h1v-1h-1zM3 19h2v-2H3v.5H2v1h1zm18-2h-2v2h2v-.5h1v-1h-1z\"},\"child\":[]}]})(props);\n};\nexport function BiMicrophoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 20.293-3.388-3.388A7.942 7.942 0 0 0 20 12.021h-2a5.95 5.95 0 0 1-1.109 3.456l-1.452-1.452c.348-.591.561-1.27.561-2.004v-6C16 3.804 14.215 2 12.021 2c-.07 0-.14.009-.209.025A4.005 4.005 0 0 0 8 6.021v.565L3.707 2.293 2.293 3.707l18 18 1.414-1.414zM10 6.021c0-1.103.897-2 2-2a.918.918 0 0 0 .164-.015C13.188 4.08 14 4.956 14 6.021v6c0 .172-.029.335-.071.494L10 8.586V6.021zm-4 6H4c0 4.072 3.06 7.436 7 7.931v2.069h2v-2.07a7.993 7.993 0 0 0 2.218-.611l-1.558-1.558a5.979 5.979 0 0 1-1.66.239c-3.309 0-6-2.692-6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.011 12.132a3.993 3.993 0 0 0 3.877 3.877l-3.877-3.877z\"},\"child\":[]}]})(props);\n};\nexport function BiMicrophone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12V6c0-2.217-1.785-4.021-3.979-4.021a.933.933 0 0 0-.209.025A4.006 4.006 0 0 0 8 6v6c0 2.206 1.794 4 4 4s4-1.794 4-4zm-6 0V6c0-1.103.897-2 2-2a.89.89 0 0 0 .163-.015C13.188 4.06 14 4.935 14 6v6c0 1.103-.897 2-2 2s-2-.897-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12H4c0 4.072 3.061 7.436 7 7.931V22h2v-2.069c3.939-.495 7-3.858 7-7.931h-2c0 3.309-2.691 6-6 6s-6-2.691-6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiMinusBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h3v3c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2h-3V5c0-1.103-.897-2-2-2zM5 5h9l-.003 9H5V5z\"},\"child\":[]}]})(props);\n};\nexport function BiMinusCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11h10v2H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiMinusFront (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h3v3c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2h-3V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2zm13.997 3H10v-9h9l-.003 9z\"},\"child\":[]}]})(props);\n};\nexport function BiMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11h14v2H5z\"},\"child\":[]}]})(props);\n};\nexport function BiMobileAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.75 2h-10c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-10 18V4h10l.002 16H6.75z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.75\",\"cy\":\"18\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function BiMobileLandscape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zM7.001 7H19v10H7.001V7z\"},\"child\":[]}]})(props);\n};\nexport function BiMobileVibration (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.535 2.808c-.756-.756-2.072-.756-2.828 0l-9.899 9.899a2.001 2.001 0 0 0 0 2.828l5.657 5.657c.378.378.88.586 1.414.586s1.036-.208 1.414-.586l9.899-9.899c.378-.378.586-.88.586-1.414s-.208-1.036-.586-1.414l-5.657-5.657zm-5.656 16.97v1-1l-5.657-5.657 9.899-9.899 5.657 5.657-9.899 9.899z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"15\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.707 21.707-1.414-1.414 6-6 1.414 1.415zM8.293 2.293l1.414 1.414-6 6-1.414-1.415z\"},\"child\":[]}]})(props);\n};\nexport function BiMobile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2H7c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM7 16.999V5h10l.002 11.999H7z\"},\"child\":[]}]})(props);\n};\nexport function BiMoneyWithdraw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15c-1.84 0-2-.86-2-1H8c0 .92.66 2.55 3 2.92V18h2v-1.08c2-.34 3-1.63 3-2.92 0-1.12-.52-3-4-3-2 0-2-.63-2-1s.7-1 2-1 1.39.64 1.4 1h2A3 3 0 0 0 13 7.12V6h-2v1.09C9 7.42 8 8.71 8 10c0 1.12.52 3 4 3 2 0 2 .68 2 1s-.62 1-2 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2H2v2h2v17a1 1 0 0 0 1 1h14a1 1 0 0 0 1-1V4h2V2H5zm13 18H6V4h12z\"},\"child\":[]}]})(props);\n};\nexport function BiMoney (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4H3a1 1 0 0 0-1 1v14a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V5a1 1 0 0 0-1-1zm-1 11a3 3 0 0 0-3 3H7a3 3 0 0 0-3-3V9a3 3 0 0 0 3-3h10a3 3 0 0 0 3 3v6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4zm0 6c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.742 13.045a8.088 8.088 0 0 1-2.077.271c-2.135 0-4.14-.83-5.646-2.336a8.025 8.025 0 0 1-2.064-7.723A1 1 0 0 0 9.73 2.034a10.014 10.014 0 0 0-4.489 2.582c-3.898 3.898-3.898 10.243 0 14.143a9.937 9.937 0 0 0 7.072 2.93 9.93 9.93 0 0 0 7.07-2.929 10.007 10.007 0 0 0 2.583-4.491 1.001 1.001 0 0 0-1.224-1.224zm-2.772 4.301a7.947 7.947 0 0 1-5.656 2.343 7.953 7.953 0 0 1-5.658-2.344c-3.118-3.119-3.118-8.195 0-11.314a7.923 7.923 0 0 1 2.06-1.483 10.027 10.027 0 0 0 2.89 7.848 9.972 9.972 0 0 0 7.848 2.891 8.036 8.036 0 0 1-1.484 2.059z\"},\"child\":[]}]})(props);\n};\nexport function BiMouseAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 2h-2C7.691 2 5 4.691 5 8v8c0 3.309 2.691 6 6 6h2c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm-2 2v6H7V8c0-2.206 1.794-4 4-4zm6 12c0 2.206-1.794 4-4 4h-2c-2.206 0-4-1.794-4-4v-4h10v4zm-4-6V4c2.206 0 4 1.794 4 4v2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiMouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.975 22H12c3.859 0 7-3.14 7-7V9c0-3.841-3.127-6.974-6.981-7h-.06C8.119 2.022 5 5.157 5 9v6c0 3.86 3.129 7 6.975 7zM7 9a5.007 5.007 0 0 1 4.985-5C14.75 4.006 17 6.249 17 9v6c0 2.757-2.243 5-5 5h-.025C9.186 20 7 17.804 7 15V9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2v6h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiMoveHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11H7V7l-5 5 5 5v-4h10v4l5-5-5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiMoveVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 17 5 5 5-5h-4V7h4l-5-5-5 5h4v10z\"},\"child\":[]}]})(props);\n};\nexport function BiMove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11h-5V6h3l-4-4-4 4h3v5H6V8l-4 4 4 4v-3h5v5H8l4 4 4-4h-3v-5h5v3l4-4-4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiMoviePlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm.001 6c-.001 0-.001 0 0 0h-.465l-2.667-4H20l.001 4zM9.536 9 6.869 5h2.596l2.667 4H9.536zm5 0-2.667-4h2.596l2.667 4h-2.596zM4 5h.465l2.667 4H4V5zm0 14v-8h16l.002 8H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 18 5.5-3-5.5-3z\"},\"child\":[]}]})(props);\n};\nexport function BiMovie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm.001 6c-.001 0-.001 0 0 0h-.466l-2.667-4H20l.001 4zM9.535 9 6.868 5h2.597l2.667 4H9.535zm5 0-2.667-4h2.597l2.667 4h-2.597zM4 5h.465l2.667 4H4V5zm0 14v-8h16l.002 8H4z\"},\"child\":[]}]})(props);\n};\nexport function BiMusic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19.684 5.821-9-3.272A1.998 1.998 0 0 0 8 4.428v6.129A3.953 3.953 0 0 0 6 10c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4V4.428L19 7.7v6.856A3.962 3.962 0 0 0 17 14c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4V7.7c0-.838-.529-1.594-1.316-1.879zM6 16c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2zm11 4c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiNavigation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.002 9.63c-.023.411.207.794.581.966l7.504 3.442 3.442 7.503c.164.356.52.583.909.583l.057-.002a1 1 0 0 0 .894-.686l5.595-17.032c.117-.358.023-.753-.243-1.02s-.66-.358-1.02-.243L2.688 8.736a1 1 0 0 0-.686.894zm16.464-3.971-4.182 12.73-2.534-5.522a.998.998 0 0 0-.492-.492L5.734 9.841l12.732-4.182z\"},\"child\":[]}]})(props);\n};\nexport function BiNetworkChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3c-1.654 0-3 1.346-3 3 0 .502.136.968.354 1.385l-1.116 1.302A3.976 3.976 0 0 0 13 8c-.739 0-1.425.216-2.02.566L9.566 7.152A3.449 3.449 0 0 0 10 5.5C10 3.57 8.43 2 6.5 2S3 3.57 3 5.5 4.57 9 6.5 9c.601 0 1.158-.166 1.652-.434L9.566 9.98A3.972 3.972 0 0 0 9 12c0 .997.38 1.899.985 2.601l-1.692 1.692.025.025A2.962 2.962 0 0 0 7 16c-1.654 0-3 1.346-3 3s1.346 3 3 3 3-1.346 3-3c0-.476-.121-.919-.318-1.318l.025.025 1.954-1.954c.421.15.867.247 1.339.247 2.206 0 4-1.794 4-4a3.96 3.96 0 0 0-.439-1.785l1.253-1.462c.364.158.764.247 1.186.247 1.654 0 3-1.346 3-3s-1.346-3-3-3zM7 20a1 1 0 1 1 0-2 1 1 0 0 1 0 2zM5 5.5C5 4.673 5.673 4 6.5 4S8 4.673 8 5.5 7.327 7 6.5 7 5 6.327 5 5.5zm8 8.5c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2zm6-7a1 1 0 1 1 0-2 1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiNews (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 3H4.125C2.953 3 2 3.897 2 5v14c0 1.103.953 2 2.125 2h15.75C21.047 21 22 20.103 22 19V5c0-1.103-.953-2-2.125-2zm0 16H4.125c-.057 0-.096-.016-.113-.016-.007 0-.011.002-.012.008L3.988 5.046c.007-.01.052-.046.137-.046h15.75c.079.001.122.028.125.008l.012 13.946c-.007.01-.052.046-.137.046z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h6v6H6zm7 8H6v2h12v-2h-4zm1-4h4v2h-4zm0-4h4v2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiNoEntry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h10v4H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiNoSignal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h2v5H3zm4-3h2v8H7zM21 3h-2v14.59l-2-2V7h-2v6.59l-2-2V10h-1.59l-7.7-7.71-1.42 1.42 18 18 1.42-1.42-.71-.7V3zm-6 18h1.88L15 19.12V21zm-4 0h2v-3.88l-2-2V21z\"},\"child\":[]}]})(props);\n};\nexport function BiNote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h8a.996.996 0 0 0 .707-.293l7-7a.997.997 0 0 0 .196-.293c.014-.03.022-.061.033-.093a.991.991 0 0 0 .051-.259c.002-.021.013-.041.013-.062V5c0-1.103-.897-2-2-2zM5 5h14v7h-6a1 1 0 0 0-1 1v6H5V5zm9 12.586V14h3.586L14 17.586z\"},\"child\":[]}]})(props);\n};\nexport function BiNotepad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-3V2h-2v2h-4V2H8v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM5 20V7h14V6l.002 14H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h10v2H7zm0 4h5v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiNotificationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.71 20.296-1.786-1.786c.045-.163.076-.332.076-.51v-7h-2v5.586L7.414 6H13V4H6c-.178 0-.347.031-.51.076l-1.78-1.78L2.296 3.71l18 18 1.414-1.414zM4 8.121V18c0 1.103.897 2 2 2h9.879l-2-2H6v-7.879l-2-2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function BiNotification (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19H5V6h8c0-.712.153-1.387.422-2H5c-1.103 0-2 .897-2 2v13c0 1.103.897 2 2 2h13c1.103 0 2-.897 2-2v-8.422A4.962 4.962 0 0 1 18 11v8z\"},\"child\":[]}]})(props);\n};\nexport function BiObjectsHorizontalCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20h6v2h2v-2h6a1 1 0 0 0 1-1v-5a1 1 0 0 0-1-1h-6v-2h4a1 1 0 0 0 1-1V5a1 1 0 0 0-1-1h-4V2h-2v2H7a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1h4v2H5a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1zM8 6h8v3H8zm-2 9h12v3H6z\"},\"child\":[]}]})(props);\n};\nexport function BiObjectsHorizontalLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2h2v20H2zM21 13H7a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1h14a1 1 0 0 0 1-1v-5a1 1 0 0 0-1-1zm-1 5H8v-3h12zM7 11h10a1 1 0 0 0 1-1V5a1 1 0 0 0-1-1H7a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1zm1-5h8v3H8z\"},\"child\":[]}]})(props);\n};\nexport function BiObjectsHorizontalRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2h2v20h-2zM18 14a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1h14a1 1 0 0 0 1-1zm-2 4H4v-3h12zM7 11h10a1 1 0 0 0 1-1V5a1 1 0 0 0-1-1H7a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1zm1-5h8v3H8z\"},\"child\":[]}]})(props);\n};\nexport function BiObjectsVerticalBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h20v2H2zM4 3v14a1 1 0 0 0 1 1h5a1 1 0 0 0 1-1V3a1 1 0 0 0-1-1H5a1 1 0 0 0-1 1zm2 1h3v12H6zM13 17a1 1 0 0 0 1 1h5a1 1 0 0 0 1-1V7a1 1 0 0 0-1-1h-5a1 1 0 0 0-1 1zm2-9h3v8h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiObjectsVerticalCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7a1 1 0 0 0-1-1h-5a1 1 0 0 0-1 1v4h-2V5a1 1 0 0 0-1-1H5a1 1 0 0 0-1 1v6H2v2h2v6a1 1 0 0 0 1 1h5a1 1 0 0 0 1-1v-6h2v4a1 1 0 0 0 1 1h5a1 1 0 0 0 1-1v-4h2v-2h-2zM9 18H6V6h3zm9-2h-3V8h3z\"},\"child\":[]}]})(props);\n};\nexport function BiObjectsVerticalTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2h20v2H2zM11 21V7a1 1 0 0 0-1-1H5a1 1 0 0 0-1 1v14a1 1 0 0 0 1 1h5a1 1 0 0 0 1-1zm-2-1H6V8h3zM19 6h-5a1 1 0 0 0-1 1v10a1 1 0 0 0 1 1h5a1 1 0 0 0 1-1V7a1 1 0 0 0-1-1zm-1 10h-3V8h3z\"},\"child\":[]}]})(props);\n};\nexport function BiOutline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19v-9c0-1.103-.897-2-2-2h-3V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h3v3c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2zM5 14V5h9v3h-4c-1.103 0-2 .897-2 2v4H5zm9.001 0H10v-4h4.001v4zM10 16h4c1.103 0 2-.897 2-2v-4h3l.001 9H10v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiPackage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8a.76.76 0 0 0 0-.21v-.08a.77.77 0 0 0-.07-.16.35.35 0 0 0-.05-.08l-.1-.13-.08-.06-.12-.09-9-5a1 1 0 0 0-1 0l-9 5-.09.07-.11.08a.41.41 0 0 0-.07.11.39.39 0 0 0-.08.1.59.59 0 0 0-.06.14.3.3 0 0 0 0 .1A.76.76 0 0 0 2 8v8a1 1 0 0 0 .52.87l9 5a.75.75 0 0 0 .13.06h.1a1.06 1.06 0 0 0 .5 0h.1l.14-.06 9-5A1 1 0 0 0 22 16V8zm-10 3.87L5.06 8l2.76-1.52 6.83 3.9zm0-7.72L18.94 8 16.7 9.25 9.87 5.34zM4 9.7l7 3.92v5.68l-7-3.89zm9 9.6v-5.68l3-1.68V15l2-1v-3.18l2-1.11v5.7z\"},\"child\":[]}]})(props);\n};\nexport function BiPaintRoll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H7c-1.103 0-2 .897-2 2v3c0 1.103.897 2 2 2h11c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM7 7V4h11l.002 3H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v-2c0-1.103-.897-2-2-2H4V5c-1.103 0-2 .897-2 2v4c0 1.103.897 2 2 2h7v2a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1h2a1 1 0 0 0 1-1v-5a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiPaint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.061 22c1.523 0 2.84-.543 3.91-1.613 1.123-1.123 1.707-2.854 1.551-4.494l8.564-8.564a3.123 3.123 0 0 0-.002-4.414c-1.178-1.18-3.234-1.18-4.412 0l-8.884 8.884c-1.913.169-3.807 1.521-3.807 3.919 0 .303.021.588.042.86.08 1.031.109 1.418-1.471 2.208a1.001 1.001 0 0 0-.122 1.717C2.52 20.563 4.623 22 7.061 22c-.001 0-.001 0 0 0zM18.086 4.328a1.144 1.144 0 0 1 1.586.002 1.12 1.12 0 0 1 0 1.584L12 13.586 10.414 12l7.672-7.672zM6.018 16.423c-.018-.224-.037-.458-.037-.706 0-1.545 1.445-1.953 2.21-1.953.356 0 .699.073.964.206.945.475 1.26 1.293 1.357 1.896.177 1.09-.217 2.368-.956 3.107C8.865 19.664 8.049 20 7.061 20H7.06c-.75 0-1.479-.196-2.074-.427 1.082-.973 1.121-1.989 1.032-3.15z\"},\"child\":[]}]})(props);\n};\nexport function BiPalette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.4 2.096a10.08 10.08 0 0 0-8.937 3.331A10.054 10.054 0 0 0 2.096 13.4c.53 3.894 3.458 7.207 7.285 8.246a9.982 9.982 0 0 0 2.618.354l.142-.001a3.001 3.001 0 0 0 2.516-1.426 2.989 2.989 0 0 0 .153-2.879l-.199-.416a1.919 1.919 0 0 1 .094-1.912 2.004 2.004 0 0 1 2.576-.755l.412.197c.412.198.85.299 1.301.299A3.022 3.022 0 0 0 22 12.14a9.935 9.935 0 0 0-.353-2.76c-1.04-3.826-4.353-6.754-8.247-7.284zm5.158 10.909-.412-.197c-1.828-.878-4.07-.198-5.135 1.494-.738 1.176-.813 2.576-.204 3.842l.199.416a.983.983 0 0 1-.051.961.992.992 0 0 1-.844.479h-.112a8.061 8.061 0 0 1-2.095-.283c-3.063-.831-5.403-3.479-5.826-6.586-.321-2.355.352-4.623 1.893-6.389a8.002 8.002 0 0 1 7.16-2.664c3.107.423 5.755 2.764 6.586 5.826.198.73.293 1.474.282 2.207-.012.807-.845 1.183-1.441.894z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"14.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"7.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"7.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiPaperPlane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.56,3.34a1,1,0,0,0-1-.08l-17,8a1,1,0,0,0-.57.92,1,1,0,0,0,.6.9L8,15.45v6.72L13.84,18l4.76,2.08a.93.93,0,0,0,.4.09,1,1,0,0,0,.52-.15,1,1,0,0,0,.48-.79l1-15A1,1,0,0,0,20.56,3.34ZM18.1,17.68l-5.27-2.31L16,9.17,8.35,13.42,5.42,12.13,18.89,5.79Z\"},\"child\":[]}]})(props);\n};\nexport function BiPaperclip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.004 5H9c-1.838 0-3.586.737-4.924 2.076C2.737 8.415 2 10.163 2 12c0 1.838.737 3.586 2.076 4.924C5.414 18.263 7.162 19 9 19h8v-2H9c-1.303 0-2.55-.529-3.51-1.49C4.529 14.55 4 13.303 4 12c0-1.302.529-2.549 1.49-3.51C6.45 7.529 7.697 7 9 7h8V6l.001 1h.003c.79 0 1.539.314 2.109.886.571.571.886 1.322.887 2.116a2.966 2.966 0 0 1-.884 2.11A2.988 2.988 0 0 1 17 13H9a.99.99 0 0 1-.698-.3A.991.991 0 0 1 8 12c0-.252.11-.507.301-.698A.987.987 0 0 1 9 11h8V9H9c-.79 0-1.541.315-2.114.889C6.314 10.461 6 11.211 6 12s.314 1.54.888 2.114A2.974 2.974 0 0 0 9 15h8.001a4.97 4.97 0 0 0 3.528-1.473 4.967 4.967 0 0 0-.001-7.055A4.95 4.95 0 0 0 17.004 5z\"},\"child\":[]}]})(props);\n};\nexport function BiParagraph (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16h2v4h2V6h2v14h2V6h3V4H9c-3.309 0-6 2.691-6 6s2.691 6 6 6zM9 6h2v8H9c-2.206 0-4-1.794-4-4s1.794-4 4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiParty (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8.31c-.36-.41-.73-.82-1.12-1.21l-.29-.27.14-.12a3.15 3.15 0 0 0 .9-3.49A3.91 3.91 0 0 0 14 1v2a2 2 0 0 1 1.76 1c.17.4 0 .84-.47 1.31-.07.08-.15.13-.22.2-3-2.41-6.29-3.77-7.9-2.16a2.16 2.16 0 0 0-.41.59v.1l-.18.53-4.41 13.1A3.28 3.28 0 0 0 5.28 22a3.21 3.21 0 0 0 1-.17L20 17.28a1 1 0 0 0 .43-.31l.21-.18c1.43-1.44.51-4.21-1.41-6.9A6.63 6.63 0 0 1 23 9V7a8.44 8.44 0 0 0-5 1.31zM5.7 19.93a1.29 1.29 0 0 1-1.63-1.63l1.36-4.1a10.7 10.7 0 0 0 4.29 4.39zm7-2.33a8.87 8.87 0 0 1-6.3-6.29l1-3 .06.09c.11.22.25.45.39.68s.16.29.26.44.33.48.51.73.19.28.3.42.43.55.66.82l.29.35c.34.39.7.77 1.08 1.16s.68.64 1 1l.33.28.78.63.37.28c.28.2.55.4.83.58l.31.2c.36.22.72.43 1.07.61h.05zm6.51-2.23h-.06c-.69.38-3.56-.57-6.79-3.81-.34-.34-.66-.67-.95-1l-.29-.35-.53-.64-.29-.4c-.13-.19-.27-.37-.39-.55l-.26-.42-.29-.47c-.08-.14-.14-.27-.21-.4s-.15-.26-.21-.4a3.31 3.31 0 0 1-.14-.36c-.05-.13-.11-.26-.15-.38S8.6 6 8.57 5.88s-.05-.22-.07-.32a2.26 2.26 0 0 1 0-.26 1 1 0 0 1 0-.24l.11-.31c.36-.36 2.23 0 4.73 1.9A4.13 4.13 0 0 1 12 7v2a6.45 6.45 0 0 0 3-.94l.48.46c.42.42.81.85 1.18 1.28a5.32 5.32 0 0 0-.6 3.4l2-.39a3.57 3.57 0 0 1 0-1.12 11.3 11.3 0 0 1 .81 1.45c.56 1.32.52 2.06.34 2.23z\"},\"child\":[]}]})(props);\n};\nexport function BiPaste (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11V5c0-1.103-.897-2-2-2h-3a1 1 0 0 0-1-1H8a1 1 0 0 0-1 1H4c-1.103 0-2 .897-2 2v13c0 1.103.897 2 2 2h7c0 1.103.897 2 2 2h7c1.103 0 2-.897 2-2v-7c0-1.103-.897-2-2-2zm-9 2v5H4V5h3v2h8V5h3v6h-5c-1.103 0-2 .897-2 2zm2 7v-7h7l.001 7H13z\"},\"child\":[]}]})(props);\n};\nexport function BiPauseCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h2v6h-2zM9 9h2v6H9z\"},\"child\":[]}]})(props);\n};\nexport function BiPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7h3v10H8zm5 0h3v10h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiPen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.404 2.998c-.757-.754-2.077-.751-2.828.005l-1.784 1.791L11.586 7H7a.998.998 0 0 0-.939.658l-4 11c-.133.365-.042.774.232 1.049l2 2a.997.997 0 0 0 1.049.232l11-4A.998.998 0 0 0 17 17v-4.586l2.207-2.207v-.001h.001L21 8.409c.378-.378.586-.881.585-1.415 0-.535-.209-1.038-.588-1.415l-2.593-2.581zm-3.111 8.295A.996.996 0 0 0 15 12v4.3l-9.249 3.363 4.671-4.671c.026.001.052.008.078.008A1.5 1.5 0 1 0 9 13.5c0 .026.007.052.008.078l-4.671 4.671L7.7 9H12c.266 0 .52-.105.707-.293L14.5 6.914 17.086 9.5l-1.793 1.793zm3.206-3.208-2.586-2.586 1.079-1.084 2.593 2.581-1.086 1.089z\"},\"child\":[]}]})(props);\n};\nexport function BiPencil (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21a1 1 0 0 0 .24 0l4-1a1 1 0 0 0 .47-.26L21 7.41a2 2 0 0 0 0-2.82L19.42 3a2 2 0 0 0-2.83 0L4.3 15.29a1.06 1.06 0 0 0-.27.47l-1 4A1 1 0 0 0 3.76 21 1 1 0 0 0 4 21zM18 4.41 19.59 6 18 7.59 16.42 6zM5.91 16.51 15 7.41 16.59 9l-9.1 9.1-2.11.52z\"},\"child\":[]}]})(props);\n};\nexport function BiPhoneCall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.57 22a2 2 0 0 0 1.43-.59l2.71-2.71a1 1 0 0 0 0-1.41l-4-4a1 1 0 0 0-1.41 0l-1.6 1.59a7.55 7.55 0 0 1-3-1.59 7.62 7.62 0 0 1-1.59-3l1.59-1.6a1 1 0 0 0 0-1.41l-4-4a1 1 0 0 0-1.41 0L2.59 6A2 2 0 0 0 2 7.43 15.28 15.28 0 0 0 6.3 17.7 15.28 15.28 0 0 0 16.57 22zM6 5.41 8.59 8 7.3 9.29a1 1 0 0 0-.3.91 10.12 10.12 0 0 0 2.3 4.5 10.08 10.08 0 0 0 4.5 2.3 1 1 0 0 0 .91-.27L16 15.41 18.59 18l-2 2a13.28 13.28 0 0 1-8.87-3.71A13.28 13.28 0 0 1 4 7.41zM20 11h2a8.81 8.81 0 0 0-9-9v2a6.77 6.77 0 0 1 7 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8c2.1 0 3 .9 3 3h2c0-3.22-1.78-5-5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiPhoneIncoming (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.712 13.288a.999.999 0 0 0-1.414 0l-1.597 1.596c-.824-.245-2.166-.771-2.99-1.596-.874-.874-1.374-2.253-1.594-2.992l1.594-1.594a.999.999 0 0 0 0-1.414l-4-4a1.03 1.03 0 0 0-1.414 0l-2.709 2.71c-.382.38-.597.904-.588 1.437.022 1.423.396 6.367 4.297 10.268C10.195 21.6 15.142 21.977 16.566 22h.028c.528 0 1.027-.208 1.405-.586l2.712-2.712a.999.999 0 0 0 0-1.414l-3.999-4zM16.585 20c-1.248-.021-5.518-.356-8.874-3.712C4.343 12.92 4.019 8.636 4 7.414l2.004-2.005L8.59 7.995 7.297 9.288c-.238.238-.34.582-.271.912.024.115.611 2.842 2.271 4.502s4.387 2.247 4.502 2.271a.994.994 0 0 0 .912-.271l1.293-1.293 2.586 2.586L16.585 20z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.795 6.791 13.005 4v6.995H20l-2.791-2.79 4.503-4.503-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiPhoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.09 12.5a8.92 8.92 0 0 1-1-2.2l1.59-1.59a1 1 0 0 0 0-1.42l-4-4a1 1 0 0 0-1.41 0L2.59 6A2 2 0 0 0 2 7.44 15.44 15.44 0 0 0 5.62 17L2.3 20.29l1.41 1.42 18-18-1.41-1.42zM7 15.55a13.36 13.36 0 0 1-3-8.13l2-2L8.59 8 7.3 9.29a1 1 0 0 0-.27.92 11 11 0 0 0 1.62 3.73zm9.71-2.26a1 1 0 0 0-1.41 0l-1.6 1.6-.34-.12-1.56 1.55a12.06 12.06 0 0 0 2 .66 1 1 0 0 0 .91-.27l1.3-1.3L18.59 18l-2 2A13.61 13.61 0 0 1 10 18.1l-1.43 1.45a15.63 15.63 0 0 0 8 2.45 2 2 0 0 0 1.43-.58l2.71-2.71a1 1 0 0 0 0-1.42z\"},\"child\":[]}]})(props);\n};\nexport function BiPhoneOutgoing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.712 13.288a.999.999 0 0 0-1.414 0l-1.594 1.594c-.739-.22-2.118-.72-2.992-1.594s-1.374-2.253-1.594-2.992l1.594-1.594a.999.999 0 0 0 0-1.414l-4-4a.999.999 0 0 0-1.414 0L2.586 6c-.38.38-.594.902-.586 1.435.023 1.424.4 6.37 4.298 10.268S15.142 21.977 16.566 22h.028c.528 0 1.027-.208 1.405-.586l2.712-2.712a.999.999 0 0 0 0-1.414l-3.999-4zM16.585 20c-1.248-.021-5.518-.356-8.873-3.712C4.346 12.922 4.02 8.637 4 7.414l2.005-2.005 2.586 2.586-1.293 1.293a1 1 0 0 0-.272.912c.024.115.611 2.842 2.271 4.502s4.387 2.247 4.502 2.271a.993.993 0 0 0 .912-.271l1.293-1.293 2.586 2.586L16.585 20z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.795 5.791-4.497 4.497 1.414 1.414 4.497-4.497L21.005 10V2.995H14z\"},\"child\":[]}]})(props);\n};\nexport function BiPhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.707 12.293a.999.999 0 0 0-1.414 0l-1.594 1.594c-.739-.22-2.118-.72-2.992-1.594s-1.374-2.253-1.594-2.992l1.594-1.594a.999.999 0 0 0 0-1.414l-4-4a.999.999 0 0 0-1.414 0L3.581 5.005c-.38.38-.594.902-.586 1.435.023 1.424.4 6.37 4.298 10.268s8.844 4.274 10.269 4.298h.028c.528 0 1.027-.208 1.405-.586l2.712-2.712a.999.999 0 0 0 0-1.414l-4-4.001zm-.127 6.712c-1.248-.021-5.518-.356-8.873-3.712-3.366-3.366-3.692-7.651-3.712-8.874L7 4.414 9.586 7 8.293 8.293a1 1 0 0 0-.272.912c.024.115.611 2.842 2.271 4.502s4.387 2.247 4.502 2.271a.991.991 0 0 0 .912-.271L17 14.414 19.586 17l-2.006 2.005z\"},\"child\":[]}]})(props);\n};\nexport function BiPhotoAlbum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.024 11.536 10 10l-2 3h9l-3.5-5z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.503\",\"cy\":\"7.497\",\"r\":\"1.503\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19s.55-.988 1.012-1H21V4c0-1.103-.897-2-2-2zm0 14H5V5c0-.806.55-.988 1-1h13v12z\"},\"child\":[]}]})(props);\n};\nexport function BiPieChartAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm7.931 9H13V4.069A8.008 8.008 0 0 1 19.931 11zM4 12c0-4.072 3.061-7.436 7-7.931V12a.996.996 0 0 0 .111.438c.015.03.022.063.041.093l4.202 6.723A7.949 7.949 0 0 1 12 20c-4.411 0-8-3.589-8-8zm13.052 6.196L13.805 13h6.126a7.992 7.992 0 0 1-2.879 5.196z\"},\"child\":[]}]})(props);\n};\nexport function BiPieChartAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a9.936 9.936 0 0 0-7.071 2.929C3.04 6.818 2 9.33 2 12s1.04 5.182 2.929 7.071C6.818 20.96 9.33 22 12 22s5.182-1.04 7.071-2.929C20.96 17.182 22 14.67 22 12s-1.04-5.182-2.929-7.071A9.936 9.936 0 0 0 12 2zm5.657 15.657C16.146 19.168 14.137 20 12 20s-4.146-.832-5.657-2.343C4.832 16.146 4 14.137 4 12s.832-4.146 2.343-5.657A7.927 7.927 0 0 1 11 4.069V12a1 1 0 0 0 1 1h7.931a7.927 7.927 0 0 1-2.274 4.657zM13 11V4.062a7.945 7.945 0 0 1 4.657 2.281A7.934 7.934 0 0 1 19.938 11H13z\"},\"child\":[]}]})(props);\n};\nexport function BiPieChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.166V12h6.834A6.817 6.817 0 0 0 12 5.166z\"},\"child\":[]}]})(props);\n};\nexport function BiPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 22 1-2v-3h5a1 1 0 0 0 1-1v-1.586c0-.526-.214-1.042-.586-1.414L17 11.586V8a1 1 0 0 0 1-1V4c0-1.103-.897-2-2-2H8c-1.103 0-2 .897-2 2v3a1 1 0 0 0 1 1v3.586L5.586 13A2.01 2.01 0 0 0 5 14.414V16a1 1 0 0 0 1 1h5v3l1 2zM8 4h8v2H8V4zM7 14.414l1.707-1.707A.996.996 0 0 0 9 12V8h6v4c0 .266.105.52.293.707L17 14.414V15H7v-.586z\"},\"child\":[]}]})(props);\n};\nexport function BiPlanet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.76 20.2a2.73 2.73 0 0 0 2.15.85 8.86 8.86 0 0 0 3.37-.86 9 9 0 0 0 12.27-10.9c1.31-2.23 1.75-4.26.67-5.48a2.94 2.94 0 0 0-2.57-1A5 5 0 0 0 16.1 4 9 9 0 0 0 3.58 15.14c-1.06 1.21-2.05 3.68-.82 5.06zm1.5-1.32c-.22-.25 0-1.07.37-1.76a9.26 9.26 0 0 0 1.57 1.74c-1.03.3-1.71.28-1.94.02zm14.51-5.17A7 7 0 0 1 15.58 18 7.12 7.12 0 0 1 12 19a6.44 6.44 0 0 1-1.24-.13 30.73 30.73 0 0 0 4.42-3.29 31.5 31.5 0 0 0 3.8-4 6.88 6.88 0 0 1-.21 2.13zm.09-8.89a.94.94 0 0 1 .87.32c.23.26.16.94-.26 1.93a9.2 9.2 0 0 0-1.61-1.86 2.48 2.48 0 0 1 1-.39zM5.22 10.31A6.94 6.94 0 0 1 8.41 6 7 7 0 0 1 12 5a6.9 6.9 0 0 1 6 3.41 5.19 5.19 0 0 1 .35.66 27.43 27.43 0 0 1-4.49 5A27.35 27.35 0 0 1 8.35 18a7 7 0 0 1-3.13-7.65z\"},\"child\":[]}]})(props);\n};\nexport function BiPlayCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 17 8-5-8-5z\"},\"child\":[]}]})(props);\n};\nexport function BiPlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12l10-6z\"},\"child\":[]}]})(props);\n};\nexport function BiPlug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h2v5c0 2.206 1.794 4 4 4h2v5h2v-5h2c2.206 0 4-1.794 4-4V8h2V6H3v2zm4 0h10v5c0 1.103-.897 2-2 2H9c-1.103 0-2-.897-2-2V8zm0-6h2v3H7zm8 0h2v3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiPlusCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h-2v4H7v2h4v4h2v-4h4v-2h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiPlusMedical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2.013H9V9H2v6h7v6.987h6V15h7V9h-7z\"},\"child\":[]}]})(props);\n};\nexport function BiPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11h-6V5h-2v6H5v2h6v6h2v-6h6z\"},\"child\":[]}]})(props);\n};\nexport function BiPodcast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12.01\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.01 22h2l.5-7h-3l.5 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 0 0-2.45 19.68l-.15-2.12a8 8 0 1 1 5.21 0l-.15 2.12A10 10 0 0 0 12 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.32 9.61a3.44 3.44 0 0 1 .37.68 3.83 3.83 0 0 1 .23.75 3.57 3.57 0 0 1 .09.8 3.66 3.66 0 0 1-.09.81 3.83 3.83 0 0 1-.23.75 3.44 3.44 0 0 1-.37.68 4.7 4.7 0 0 1-.35.43l-.19 2.62a5.33 5.33 0 0 0 .58-.31A5.86 5.86 0 0 0 17 15.2a5.57 5.57 0 0 0 .55-1 5.89 5.89 0 0 0 .35-1.13 6.06 6.06 0 0 0 .1-1.23 6.22 6.22 0 0 0-.13-1.21A6.09 6.09 0 0 0 17 8.49a6.29 6.29 0 0 0-.73-.89 5.67 5.67 0 0 0-.89-.73 6.3 6.3 0 0 0-1-.56A6.17 6.17 0 0 0 13.21 6a6.11 6.11 0 0 0-2.41 0 5.51 5.51 0 0 0-1.13.36 5.57 5.57 0 0 0-1 .55 5.67 5.67 0 0 0-.89.73 6.29 6.29 0 0 0-.78.85 6.09 6.09 0 0 0-.9 2.14 6.21 6.21 0 0 0-.1 1.21 6.06 6.06 0 0 0 .12 1.21 5.89 5.89 0 0 0 .35 1.13 5.57 5.57 0 0 0 .55 1 6.24 6.24 0 0 0 1.62 1.62 5.33 5.33 0 0 0 .58.31L9 14.51a4.7 4.7 0 0 1-.35-.43 3.44 3.44 0 0 1-.37-.68 3.83 3.83 0 0 1-.23-.75 3.65 3.65 0 0 1-.05-.81 3.56 3.56 0 0 1 .08-.8 3.83 3.83 0 0 1 .23-.75 3.44 3.44 0 0 1 .37-.68 4 4 0 0 1 .5-.61 3.87 3.87 0 0 1 .59-.48 3.44 3.44 0 0 1 .68-.37 3.86 3.86 0 0 1 .75-.24 4.36 4.36 0 0 1 1.61 0 3.86 3.86 0 0 1 .75.24 3.58 3.58 0 0 1 1.27.85 3.49 3.49 0 0 1 .49.61z\"},\"child\":[]}]})(props);\n};\nexport function BiPointer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.978 13.21a1 1 0 0 0-.396-1.024l-14-10a.999.999 0 0 0-1.575.931l2 17a1 1 0 0 0 1.767.516l3.612-4.416 3.377 5.46 1.701-1.052-3.357-5.428 6.089-1.218a.995.995 0 0 0 .782-.769zm-8.674.31a1 1 0 0 0-.578.347l-3.008 3.677L7.257 5.127l10.283 7.345-5.236 1.048z\"},\"child\":[]}]})(props);\n};\nexport function BiPoll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11h7v2H7zm0-4h10.97v2H7zm0 8h13v2H7zM4 4h2v16H4z\"},\"child\":[]}]})(props);\n};\nexport function BiPolygon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.707 2.293A.996.996 0 0 0 16 2H8a.996.996 0 0 0-.707.293l-5 5A.996.996 0 0 0 2 8v8c0 .266.105.52.293.707l5 5A.996.996 0 0 0 8 22h8c.266 0 .52-.105.707-.293l5-5A.996.996 0 0 0 22 16V8a.996.996 0 0 0-.293-.707l-5-5zM20 15.586 15.586 20H8.414L4 15.586V8.414L8.414 4h7.172L20 8.414v7.172z\"},\"child\":[]}]})(props);\n};\nexport function BiPopsicle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2a7 7 0 0 0-4.94 2l-7.78 7.82a1 1 0 0 0 0 1.41l3.54 3.54-3.54 3.53 1.42 1.42 3.53-3.54 3.54 3.54a1 1 0 0 0 1.41 0L20 13.94A7 7 0 0 0 15 2zm3.54 10.54-7.07 7.06-2.82-2.83-1.42-1.42-2.83-2.83 7.07-7.07a5 5 0 0 1 7.08 7.08z\"},\"child\":[]}]})(props);\n};\nexport function BiPound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5.553 19.105.764 1.843C7.498 20.555 9.422 20 10 20c.838 0 1.462.208 2.184.448.775.259 1.654.552 2.816.552 1.177 0 3.078-.921 3.447-1.105l-.895-1.789c-.721.36-2.031.894-2.552.894-.838 0-1.462-.208-2.184-.448C12.041 18.293 11.162 18 10 18c-.229 0-.526.037-.857.099C9.702 16.95 10 15.561 10 14h3v-2H9.626c-.042-.107-.084-.216-.125-.317C9.243 11.052 9 10.455 9 9c0-1.369.521-3 3-3 2.224 0 3.021 2.227 3.052 2.316l1.896-.633C16.898 7.533 15.679 4 12 4 8.313 4 7 6.583 7 9c0 1.491.234 2.35.478 3H5v2h3c0 2.467-.892 4.328-2.447 5.105z\"},\"child\":[]}]})(props);\n};\nexport function BiPowerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21c4.411 0 8-3.589 8-8 0-3.35-2.072-6.221-5-7.411v2.223A6 6 0 0 1 18 13c0 3.309-2.691 6-6 6s-6-2.691-6-6a5.999 5.999 0 0 1 3-5.188V5.589C6.072 6.779 4 9.65 4 13c0 4.411 3.589 8 8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 2h2v10h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiPrinter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7h-1V2H6v5H5c-1.654 0-3 1.346-3 3v7c0 1.103.897 2 2 2h2v3h12v-3h2c1.103 0 2-.897 2-2v-7c0-1.654-1.346-3-3-3zM8 4h8v3H8V4zm8 16H8v-4h8v4zm4-3h-2v-3H6v3H4v-7c0-.551.449-1 1-1h14c.552 0 1 .449 1 1v7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h4v2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiPulse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.97 4.757a.999.999 0 0 0-1.918-.073l-3.186 9.554-2.952-6.644a1.002 1.002 0 0 0-1.843.034L5.323 12H2v2h3.323c.823 0 1.552-.494 1.856-1.257l.869-2.172 3.037 6.835c.162.363.521.594.915.594l.048-.001a.998.998 0 0 0 .9-.683l2.914-8.742.979 3.911A1.995 1.995 0 0 0 18.781 14H22v-2h-3.22l-1.81-7.243z\"},\"child\":[]}]})(props);\n};\nexport function BiPurchaseTagAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.707 2.293A.997.997 0 0 0 11 2H6a.997.997 0 0 0-.707.293l-3 3A.996.996 0 0 0 2 6v5c0 .266.105.52.293.707l10 10a.997.997 0 0 0 1.414 0l8-8a.999.999 0 0 0 0-1.414l-10-10zM13 19.586l-9-9V6.414L6.414 4h4.172l9 9L13 19.586z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.353\",\"cy\":\"8.353\",\"r\":\"1.647\"},\"child\":[]}]})(props);\n};\nexport function BiPurchaseTag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.707 3.293A.996.996 0 0 0 13 3H4a1 1 0 0 0-1 1v9c0 .266.105.52.293.707l8 8a.997.997 0 0 0 1.414 0l9-9a.999.999 0 0 0 0-1.414l-8-8zM12 19.586l-7-7V5h7.586l7 7L12 19.586z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.496\",\"cy\":\"8.495\",\"r\":\"1.505\"},\"child\":[]}]})(props);\n};\nexport function BiPyramid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.445 21.832a1 1 0 0 0 1.11 0l9-6A.998.998 0 0 0 21.8 14.4l-9-12c-.377-.504-1.223-.504-1.6 0l-9 12a1 1 0 0 0 .245 1.432l9 6zM13 19.131V6l6.565 8.754L13 19.131zM11 6v13.131l-6.565-4.377L11 6z\"},\"child\":[]}]})(props);\n};\nexport function BiQrScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h4.01V2H2v6h2V4zm0 12H2v6h6.01v-2H4v-4zm16 4h-4v2h6v-6h-2v4zM16 4h4v4h2V2h-6v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11h6V5H5zm2-4h2v2H7zM5 19h6v-6H5zm2-4h2v2H7zM19 5h-6v6h6zm-2 4h-2V7h2zm-3.99 4h2v2h-2zm2 2h2v2h-2zm2 2h2v2h-2zm0-4h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiQr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h8V3H3zm2-6h4v4H5zM3 21h8v-8H3zm2-6h4v4H5zm8-12v8h8V3zm6 6h-4V5h4zm-5.99 4h2v2h-2zm2 2h2v2h-2zm-2 2h2v2h-2zm4 0h2v2h-2zm2 2h2v2h-2zm-4 0h2v2h-2zm2-6h2v2h-2zm2 2h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiQuestionMark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4C9.243 4 7 6.243 7 9h2c0-1.654 1.346-3 3-3s3 1.346 3 3c0 1.069-.454 1.465-1.481 2.255-.382.294-.813.626-1.226 1.038C10.981 13.604 10.995 14.897 11 15v2h2v-2.009c0-.024.023-.601.707-1.284.32-.32.682-.598 1.031-.867C15.798 12.024 17 11.1 17 9c0-2.757-2.243-5-5-5zm-1 14h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiRadar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.579 2 2 6.58 2 12s4.579 10 10 10 10-4.58 10-10S17.421 2 12 2zm0 18c-4.337 0-8-3.664-8-8 0-3.998 3.115-7.417 7-7.927V6.09C8.167 6.569 6 9.033 6 12c0 3.309 2.691 6 6 6 1.595 0 3.1-.626 4.237-1.763l-1.414-1.415A3.97 3.97 0 0 1 12 16c-2.206 0-4-1.794-4-4 0-1.858 1.279-3.411 3-3.858v2.146c-.59.353-1 .993-1 1.712 0 1.081.919 2 2 2s2-.919 2-2c0-.719-.41-1.359-1-1.712V4.073c3.885.51 7 3.929 7 7.927 0 4.336-3.663 8-8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiRadioCircleMarked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c-3.859 0-7 3.141-7 7s3.141 7 7 7 7-3.141 7-7-3.141-7-7-7zm0 12c-2.757 0-5-2.243-5-5s2.243-5 5-5 5 2.243 5 5-2.243 5-5 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9c-1.627 0-3 1.373-3 3s1.373 3 3 3 3-1.373 3-3-1.373-3-3-3z\"},\"child\":[]}]})(props);\n};\nexport function BiRadioCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12c0 3.859 3.14 7 7 7 3.859 0 7-3.141 7-7s-3.141-7-7-7c-3.86 0-7 3.141-7 7zm12 0c0 2.757-2.243 5-5 5s-5-2.243-5-5 2.243-5 5-5 5 2.243 5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiRadio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.25 5.025-7.898-2.962-.703 1.873L14.484 5H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.018-.767-1.85-1.75-1.975zM4 19v-7h16v-2H4V7h16l.001 12H4z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"15.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h4.999v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiReceipt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11h-3V4a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v14c0 1.654 1.346 3 3 3h14c1.654 0 3-1.346 3-3v-6a1 1 0 0 0-1-1zM5 19a1 1 0 0 1-1-1V5h12v13c0 .351.061.688.171 1H5zm15-1a1 1 0 0 1-2 0v-5h2v5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h8v2H6zm0 4h8v2H6zm5 4h3v2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiRectangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 19V5h16l.001 14H4z\"},\"child\":[]}]})(props);\n};\nexport function BiRecycle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.224 15.543-.813-1.464-1.748.972.812 1.461c.048.085.082.173.104.264a1.024 1.024 0 0 1-.014.5.988.988 0 0 1-.104.235 1 1 0 0 1-.347.352.978.978 0 0 1-.513.137H14v-2l-4 3 4 3v-2h4.601c.278 0 .552-.037.811-.109a2.948 2.948 0 0 0 1.319-.776c.178-.179.332-.38.456-.593a2.992 2.992 0 0 0 .336-2.215 3.163 3.163 0 0 0-.299-.764zM5.862 11.039l-2.31 4.62a3.06 3.06 0 0 0-.261.755 2.997 2.997 0 0 0 .851 2.735c.178.174.376.326.595.453A3.022 3.022 0 0 0 6.236 20H8v-2H6.236a1.016 1.016 0 0 1-.5-.13.974.974 0 0 1-.353-.349 1 1 0 0 1-.149-.468.933.933 0 0 1 .018-.245c.018-.087.048-.173.089-.256l2.256-4.512 1.599.923L8.598 8 4 9.964l1.862 1.075zm12.736 1.925L19.196 8l-1.638.945-2.843-5.117a2.95 2.95 0 0 0-1.913-1.459 3.227 3.227 0 0 0-.772-.083 3.003 3.003 0 0 0-1.498.433A2.967 2.967 0 0 0 9.41 3.944l-.732 1.464 1.789.895.732-1.465c.045-.09.101-.171.166-.242a.933.933 0 0 1 .443-.27 1.053 1.053 0 0 1 .53-.011.963.963 0 0 1 .63.485l2.858 5.146L14 11l4.598 1.964z\"},\"child\":[]}]})(props);\n};\nexport function BiRedo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3v-2H9c-1.654 0-3-1.346-3-3s1.346-3 3-3h6v3l5-4-5-4v3H9c-2.757 0-5 2.243-5 5s2.243 5 5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiReflectHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21h12l-6-6-6 6zM18 3H6l6 6 6-6zM3 11h3v2H3zm5 0h3v2H8zm5 0h3v2h-3zm5 0h3v2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiReflectVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 18 6-6-6-6v12zm12-6 6 6V6l-6 6zm-4-9h2v3h-2zm0 5h2v3h-2zm0 5h2v3h-2zm0 5h2v3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiRefresh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11H7.101l.001-.009a4.956 4.956 0 0 1 .752-1.787 5.054 5.054 0 0 1 2.2-1.811c.302-.128.617-.226.938-.291a5.078 5.078 0 0 1 2.018 0 4.978 4.978 0 0 1 2.525 1.361l1.416-1.412a7.036 7.036 0 0 0-2.224-1.501 6.921 6.921 0 0 0-1.315-.408 7.079 7.079 0 0 0-2.819 0 6.94 6.94 0 0 0-1.316.409 7.04 7.04 0 0 0-3.08 2.534 6.978 6.978 0 0 0-1.054 2.505c-.028.135-.043.273-.063.41H2l4 4 4-4zm4 2h2.899l-.001.008a4.976 4.976 0 0 1-2.103 3.138 4.943 4.943 0 0 1-1.787.752 5.073 5.073 0 0 1-2.017 0 4.956 4.956 0 0 1-1.787-.752 5.072 5.072 0 0 1-.74-.61L7.05 16.95a7.032 7.032 0 0 0 2.225 1.5c.424.18.867.317 1.315.408a7.07 7.07 0 0 0 2.818 0 7.031 7.031 0 0 0 4.395-2.945 6.974 6.974 0 0 0 1.053-2.503c.027-.135.043-.273.063-.41H22l-4-4-4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiRegistered (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.14 2a10 10 0 1 0 10 10 10 10 0 0 0-10-10zm0 18a8 8 0 1 1 8-8 8 8 0 0 1-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.14 10a3 3 0 0 0-3-3h-5v10h2v-4h1.46l2.67 4h2.4l-2.75-4.12A3 3 0 0 0 16.14 10zm-3 1h-3V9h3a1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiRename (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.005 5.995h-1v2h1v8h-1v2h1c1.103 0 2-.897 2-2v-8c0-1.102-.898-2-2-2zm-14 4H15v4H6.005z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.005 17.995V4H20V2h-8v2h3.005v1.995h-11c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h11V20H12v2h8v-2h-2.995v-2.005zm-13-2v-8h11v8h-11z\"},\"child\":[]}]})(props);\n};\nexport function BiRepeat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-5v2h4v9H4V8h5v3l5-4-5-4v3H3a1 1 0 0 0-1 1v11a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V7a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiReplyAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v-8a1 1 0 0 0-1-1h-6V6l-5 4 5 4v-3h5v7h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12.4 6 10l3-2.4V6l-5 4 5 4z\"},\"child\":[]}]})(props);\n};\nexport function BiReply (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h6v7h2v-8a1 1 0 0 0-1-1h-7V6l-5 4 5 4v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiRepost (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7a1 1 0 0 0-1-1h-8v2h7v5h-3l3.969 5L22 13h-3V7zM5 17a1 1 0 0 0 1 1h8v-2H7v-5h3L6 6l-4 5h3v6z\"},\"child\":[]}]})(props);\n};\nexport function BiReset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16c1.671 0 3-1.331 3-3s-1.329-3-3-3-3 1.331-3 3 1.329 3 3 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.817 11.186a8.94 8.94 0 0 0-1.355-3.219 9.053 9.053 0 0 0-2.43-2.43 8.95 8.95 0 0 0-3.219-1.355 9.028 9.028 0 0 0-1.838-.18V2L8 5l3.975 3V6.002c.484-.002.968.044 1.435.14a6.961 6.961 0 0 1 2.502 1.053 7.005 7.005 0 0 1 1.892 1.892A6.967 6.967 0 0 1 19 13a7.032 7.032 0 0 1-.55 2.725 7.11 7.11 0 0 1-.644 1.188 7.2 7.2 0 0 1-.858 1.039 7.028 7.028 0 0 1-3.536 1.907 7.13 7.13 0 0 1-2.822 0 6.961 6.961 0 0 1-2.503-1.054 7.002 7.002 0 0 1-1.89-1.89A6.996 6.996 0 0 1 5 13H3a9.02 9.02 0 0 0 1.539 5.034 9.096 9.096 0 0 0 2.428 2.428A8.95 8.95 0 0 0 12 22a9.09 9.09 0 0 0 1.814-.183 9.014 9.014 0 0 0 3.218-1.355 8.886 8.886 0 0 0 1.331-1.099 9.228 9.228 0 0 0 1.1-1.332A8.952 8.952 0 0 0 21 13a9.09 9.09 0 0 0-.183-1.814z\"},\"child\":[]}]})(props);\n};\nexport function BiRestaurant (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10h-2V3H8v7H6V3H4v8c0 1.654 1.346 3 3 3h1v7h2v-7h1c1.654 0 3-1.346 3-3V3h-2v7zm7-7h-1c-1.159 0-2 1.262-2 3v8h2v7h2V4a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiRevision (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.89 10.105a8.696 8.696 0 0 0-.789-1.456l-1.658 1.119a6.606 6.606 0 0 1 .987 2.345 6.659 6.659 0 0 1 0 2.648 6.495 6.495 0 0 1-.384 1.231 6.404 6.404 0 0 1-.603 1.112 6.654 6.654 0 0 1-1.776 1.775 6.606 6.606 0 0 1-2.343.987 6.734 6.734 0 0 1-2.646 0 6.55 6.55 0 0 1-3.317-1.788 6.605 6.605 0 0 1-1.408-2.088 6.613 6.613 0 0 1-.382-1.23 6.627 6.627 0 0 1 .382-3.877A6.551 6.551 0 0 1 7.36 8.797 6.628 6.628 0 0 1 9.446 7.39c.395-.167.81-.296 1.23-.382.107-.022.216-.032.324-.049V10l5-4-5-4v2.938a8.805 8.805 0 0 0-.725.111 8.512 8.512 0 0 0-3.063 1.29A8.566 8.566 0 0 0 4.11 16.77a8.535 8.535 0 0 0 1.835 2.724 8.614 8.614 0 0 0 2.721 1.833 8.55 8.55 0 0 0 5.061.499 8.576 8.576 0 0 0 6.162-5.056c.22-.52.389-1.061.5-1.608a8.643 8.643 0 0 0 0-3.45 8.684 8.684 0 0 0-.499-1.607z\"},\"child\":[]}]})(props);\n};\nexport function BiRewindCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16V8l-5 4zm6 0V8l-5 4z\"},\"child\":[]}]})(props);\n};\nexport function BiRewind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12V7l-7 5 7 5zm7-5-7 5 7 5z\"},\"child\":[]}]})(props);\n};\nexport function BiRfid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h16a1 1 0 0 0 1-1v-8h-2v7H5V4h5V2H4a1 1 0 0 0-1 1v18a1 1 0 0 0 1 1z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"11\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h2a5 5 0 0 0-5-5v2a3 3 0 0 1 3 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11h2a9 9 0 0 0-9-9v2a7 7 0 0 1 7 7zM9.65 15.67a1 1 0 0 0-.14-.51.76.76 0 0 0-.38-.31 2.3 2.3 0 0 0-.75-.09H7V18h.65v-1.35h.14a1.31 1.31 0 0 1 .33 0 .58.58 0 0 1 .19.14 4.72 4.72 0 0 1 .35.48l.47.7h.78l-.39-.63a3.56 3.56 0 0 0-.38-.53 1.25 1.25 0 0 0-.35-.27 1 1 0 0 0 .64-.3.84.84 0 0 0 .22-.57zm-.74.33a.4.4 0 0 1-.18.14 2.36 2.36 0 0 1-.59 0h-.49v-.82h1a.4.4 0 0 1 .25.13.36.36 0 0 1 .09.26.43.43 0 0 1-.08.29zM10.92 16.62h1.36v-.54h-1.36v-.77h1.57v-.55h-2.22V18h.65v-1.38zM13.01 14.76h.65V18h-.65zM16.1 14.82a2.48 2.48 0 0 0-.62-.06h-1.2V18h1.24a1.76 1.76 0 0 0 .57-.07 1.07 1.07 0 0 0 .46-.26 1.35 1.35 0 0 0 .35-.57 2 2 0 0 0 .1-.69 2.35 2.35 0 0 0-.11-.76 1.33 1.33 0 0 0-.31-.53 1.09 1.09 0 0 0-.48-.3zm.16 2.18a.74.74 0 0 1-.17.31.57.57 0 0 1-.27.13 1.79 1.79 0 0 1-.39 0h-.49v-2.13h.29a3.06 3.06 0 0 1 .54 0 .71.71 0 0 1 .3.15.82.82 0 0 1 .19.32 2.05 2.05 0 0 1 .06.57 2.41 2.41 0 0 1-.06.65z\"},\"child\":[]}]})(props);\n};\nexport function BiRightArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.293 17.293 1.414 1.414L19.414 12l-6.707-6.707-1.414 1.414L15.586 11H6v2h9.586z\"},\"child\":[]}]})(props);\n};\nexport function BiRightArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.999 1.993c-5.514.001-10 4.487-10 10.001s4.486 10 10.001 10c5.513 0 9.999-4.486 10-10 0-5.514-4.486-10-10.001-10.001zM12 19.994c-4.412 0-8.001-3.589-8.001-8s3.589-8 8-8.001C16.411 3.994 20 7.583 20 11.994c-.001 4.411-3.59 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10.994H8v2h4V16l4.005-4.005L12 7.991z\"},\"child\":[]}]})(props);\n};\nexport function BiRightArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.536 21.886a1.004 1.004 0 0 0 1.033-.064l13-9a1 1 0 0 0 0-1.644l-13-9A.998.998 0 0 0 5 3v18a1 1 0 0 0 .536.886zM7 4.909 17.243 12 7 19.091V4.909z\"},\"child\":[]}]})(props);\n};\nexport function BiRightDownArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2.007a9.928 9.928 0 0 0-7.071 2.922c-3.899 3.899-3.899 10.243 0 14.143A9.93 9.93 0 0 0 12 21.995a9.93 9.93 0 0 0 7.071-2.923c3.899-3.899 3.899-10.243 0-14.143A9.928 9.928 0 0 0 12 2.007zm5.657 15.65A7.946 7.946 0 0 1 12 19.994c-2.141 0-4.15-.83-5.657-2.337-3.119-3.119-3.119-8.195 0-11.314A7.944 7.944 0 0 1 12 4.007c2.141 0 4.15.829 5.657 2.336 3.119 3.119 3.119 8.195 0 11.314z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.661 8.247 8.247 9.661l3.214 3.214L9.336 15H15V9.337l-2.125 2.124z\"},\"child\":[]}]})(props);\n};\nexport function BiRightIndent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h10v2H10zm-6 4h16v2H4zm6-8h10v2H10zm0-4h10v2H10zM4 3h16v2H4zm0 5v8l4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiRightTopArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.006 2.007a9.927 9.927 0 0 0-7.071 2.922c-3.898 3.899-3.898 10.243 0 14.142 1.885 1.885 4.396 2.923 7.071 2.923s5.187-1.038 7.071-2.923c3.898-3.898 3.898-10.242 0-14.142a9.928 9.928 0 0 0-7.071-2.922zm5.657 15.649c-1.507 1.507-3.517 2.337-5.657 2.337s-4.15-.83-5.657-2.337c-3.118-3.119-3.118-8.194 0-11.313 1.507-1.507 3.516-2.336 5.657-2.336s4.15.829 5.657 2.336c3.118 3.119 3.118 8.194 0 11.313z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11.467 11.125-3.214 3.214 1.414 1.414 3.214-3.214 2.125 2.124V9H9.343z\"},\"child\":[]}]})(props);\n};\nexport function BiRocket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.92 2.38A15.72 15.72 0 0 0 17.5 2a8.26 8.26 0 0 0-6 2.06Q9.89 5.67 8.31 7.27c-1.21-.13-4.08-.2-6 1.74a1 1 0 0 0 0 1.41l11.3 11.32a1 1 0 0 0 1.41 0c1.95-2 1.89-4.82 1.77-6l3.21-3.2c3.19-3.19 1.74-9.18 1.68-9.43a1 1 0 0 0-.76-.73zm-2.36 8.75L15 14.67a1 1 0 0 0-.27.9 6.81 6.81 0 0 1-.54 3.94L4.52 9.82a6.67 6.67 0 0 1 4-.5A1 1 0 0 0 9.39 9s1.4-1.45 3.51-3.56A6.61 6.61 0 0 1 17.5 4a14.51 14.51 0 0 1 2.33.2c.24 1.43.62 5.04-1.27 6.93z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.73\",\"cy\":\"8.3\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16c-2 1-2 5-2 5a7.81 7.81 0 0 0 5-2z\"},\"child\":[]}]})(props);\n};\nexport function BiRotateLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.758 8.758 5.344 7.344a8.048 8.048 0 0 0-1.841 2.859l1.873.701a6.048 6.048 0 0 1 1.382-2.146zM19 12.999a7.935 7.935 0 0 0-2.344-5.655A7.917 7.917 0 0 0 12 5.069V2L7 6l5 4V7.089a5.944 5.944 0 0 1 3.242 1.669A5.956 5.956 0 0 1 17 13v.002c0 .33-.033.655-.086.977-.007.043-.011.088-.019.131a6.053 6.053 0 0 1-1.138 2.536c-.16.209-.331.412-.516.597a5.954 5.954 0 0 1-.728.613 5.906 5.906 0 0 1-2.277 1.015c-.142.03-.285.05-.43.069-.062.009-.122.021-.184.027a6.104 6.104 0 0 1-1.898-.103L9.3 20.819a8.087 8.087 0 0 0 2.534.136c.069-.007.138-.021.207-.03.205-.026.409-.056.61-.098l.053-.009-.001-.005a7.877 7.877 0 0 0 2.136-.795l.001.001.028-.019a7.906 7.906 0 0 0 1.01-.67c.27-.209.532-.43.777-.675.248-.247.47-.513.681-.785.021-.028.049-.053.07-.081l-.006-.004a7.899 7.899 0 0 0 1.093-1.997l.008.003c.029-.078.05-.158.076-.237.037-.11.075-.221.107-.333.04-.14.073-.281.105-.423.022-.099.048-.195.066-.295.032-.171.056-.344.076-.516.01-.076.023-.15.03-.227.023-.249.037-.5.037-.753.002-.002.002-.004.002-.008zM6.197 16.597l-1.6 1.201a8.045 8.045 0 0 0 2.569 2.225l.961-1.754a6.018 6.018 0 0 1-1.93-1.672zM5 13c0-.145.005-.287.015-.429l-1.994-.143a7.977 7.977 0 0 0 .483 3.372l1.873-.701A5.975 5.975 0 0 1 5 13z\"},\"child\":[]}]})(props);\n};\nexport function BiRotateRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.242 17.242a6.04 6.04 0 0 1-1.37 1.027l.961 1.754a8.068 8.068 0 0 0 2.569-2.225l-1.6-1.201a5.938 5.938 0 0 1-.56.645zm1.743-4.671a5.975 5.975 0 0 1-.362 2.528l1.873.701a7.977 7.977 0 0 0 .483-3.371l-1.994.142zm1.512-2.368a8.048 8.048 0 0 0-1.841-2.859l-1.414 1.414a6.071 6.071 0 0 1 1.382 2.146l1.873-.701zm-8.128 8.763c-.047-.005-.094-.015-.141-.021a6.701 6.701 0 0 1-.468-.075 5.923 5.923 0 0 1-2.421-1.122 5.954 5.954 0 0 1-.583-.506 6.138 6.138 0 0 1-.516-.597 5.91 5.91 0 0 1-.891-1.634 6.086 6.086 0 0 1-.247-.902c-.008-.043-.012-.088-.019-.131A6.332 6.332 0 0 1 6 13.002V13c0-1.603.624-3.109 1.758-4.242A5.944 5.944 0 0 1 11 7.089V10l5-4-5-4v3.069a7.917 7.917 0 0 0-4.656 2.275A7.936 7.936 0 0 0 4 12.999v.009c0 .253.014.504.037.753.007.076.021.15.03.227.021.172.044.345.076.516.019.1.044.196.066.295.032.142.065.283.105.423.032.112.07.223.107.333.026.079.047.159.076.237l.008-.003A7.948 7.948 0 0 0 5.6 17.785l-.007.005c.021.028.049.053.07.081.211.272.433.538.681.785a8.236 8.236 0 0 0 .966.816c.265.192.537.372.821.529l.028.019.001-.001a7.877 7.877 0 0 0 2.136.795l-.001.005.053.009c.201.042.405.071.61.098.069.009.138.023.207.03a8.038 8.038 0 0 0 2.532-.137l-.424-1.955a6.11 6.11 0 0 1-1.904.102z\"},\"child\":[]}]})(props);\n};\nexport function BiRss (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20.001C19 11.729 12.271 5 4 5v2c7.168 0 13 5.832 13 13.001h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20.001h2C14 14.486 9.514 10 4 10v2c4.411 0 8 3.589 8 8.001z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"18\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiRuble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h2v-3h6v-2h-6v-2h4.5c2.757 0 5-2.243 5-5s-2.243-5-5-5H9a1 1 0 0 0-1 1v7H5v2h3v2H5v2h3v3zm2-15h4.5c1.654 0 3 1.346 3 3s-1.346 3-3 3H10V6z\"},\"child\":[]}]})(props);\n};\nexport function BiRuler (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.875 7H3.125C1.953 7 1 7.897 1 9v6c0 1.103.953 2 2.125 2h17.75C22.047 17 23 16.103 23 15V9c0-1.103-.953-2-2.125-2zm0 8H3.125c-.057 0-.096-.016-.113-.016-.007 0-.011.002-.012.008l-.012-5.946c.007-.01.052-.046.137-.046H5v3h2V9h2v4h2V9h2v3h2V9h2v4h2V9h1.875c.079.001.122.028.125.008l.012 5.946c-.007.01-.052.046-.137.046z\"},\"child\":[]}]})(props);\n};\nexport function BiRun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.777 10.969a2.007 2.007 0 0 0 2.148.83l3.316-.829-.483-1.94-3.316.829-1.379-2.067a2.01 2.01 0 0 0-1.272-.854l-3.846-.77a1.998 1.998 0 0 0-2.181 1.067l-1.658 3.316 1.789.895 1.658-3.317 1.967.394L7.434 17H3v2h4.434c.698 0 1.355-.372 1.715-.971l1.918-3.196 5.169 1.034 1.816 5.449 1.896-.633-1.815-5.448a2.007 2.007 0 0 0-1.506-1.33l-3.039-.607 1.772-2.954.417.625z\"},\"child\":[]}]})(props);\n};\nexport function BiRupee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6V4H6v2h3.5c1.302 0 2.401.838 2.815 2H6v2h6.315A2.994 2.994 0 0 1 9.5 12H6v2.414L11.586 20h2.828l-6-6H9.5a5.007 5.007 0 0 0 4.898-4H17V8h-2.602a4.933 4.933 0 0 0-.924-2H17z\"},\"child\":[]}]})(props);\n};\nexport function BiSad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"10.493\",\"r\":\"1.493\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14c-3 0-4 3-4 3h8s-1-3-4-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSave (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14a2 2 0 0 0 2-2V8a1 1 0 0 0-.29-.71l-4-4A1 1 0 0 0 16 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2zm10-2H9v-5h6zM13 7h-2V5h2zM5 5h2v4h8V5h.59L19 8.41V19h-2v-5a2 2 0 0 0-2-2H9a2 2 0 0 0-2 2v5H5z\"},\"child\":[]}]})(props);\n};\nexport function BiScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4v5h2V5h4V3H4a1 1 0 0 0-1 1zm18 5V4a1 1 0 0 0-1-1h-5v2h4v4h2zm-2 10h-4v2h5a1 1 0 0 0 1-1v-5h-2v4zM9 21v-2H5v-4H3v5a1 1 0 0 0 1 1h5zM2 11h20v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiScatterChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h17v-2H5V3H3v17a1 1 0 0 0 1 1z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10\",\"cy\":\"8\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"13.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"6.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiScreenshot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14h-2v3h-3v2h3v3h2v-3h3v-2h-3zM4 19h3v-2H5v-2H3v3a1 1 0 0 0 1 1zM19 4a1 1 0 0 0-1-1h-3v2h2v2h2V4zM5 5h2V3H4a1 1 0 0 0-1 1v3h2V5zM3 9h2v4H3zm14 0h2v3h-2zM9 3h4v2H9zm0 14h3v2H9z\"},\"child\":[]}]})(props);\n};\nexport function BiSearchAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.023 16.977a35.13 35.13 0 0 1-1.367-1.384c-.372-.378-.596-.653-.596-.653l-2.8-1.337A6.962 6.962 0 0 0 16 9c0-3.859-3.14-7-7-7S2 5.141 2 9s3.14 7 7 7c1.763 0 3.37-.66 4.603-1.739l1.337 2.8s.275.224.653.596c.387.363.896.854 1.384 1.367l1.358 1.392.604.646 2.121-2.121-.646-.604c-.379-.372-.885-.866-1.391-1.36zM9 14c-2.757 0-5-2.243-5-5s2.243-5 5-5 5 2.243 5 5-2.243 5-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSearchAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18a7.952 7.952 0 0 0 4.897-1.688l4.396 4.396 1.414-1.414-4.396-4.396A7.952 7.952 0 0 0 18 10c0-4.411-3.589-8-8-8s-8 3.589-8 8 3.589 8 8 8zm0-14c3.309 0 6 2.691 6 6s-2.691 6-6 6-6-2.691-6-6 2.691-6 6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.412 8.586c.379.38.588.882.588 1.414h2a3.977 3.977 0 0 0-1.174-2.828c-1.514-1.512-4.139-1.512-5.652 0l1.412 1.416c.76-.758 2.07-.756 2.826-.002z\"},\"child\":[]}]})(props);\n};\nexport function BiSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18a7.952 7.952 0 0 0 4.897-1.688l4.396 4.396 1.414-1.414-4.396-4.396A7.952 7.952 0 0 0 18 10c0-4.411-3.589-8-8-8s-8 3.589-8 8 3.589 8 8 8zm0-14c3.309 0 6 2.691 6 6s-2.691 6-6 6-6-2.691-6-6 2.691-6 6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSelectMultiple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM8 16V4h12l.002 12H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8H2v12c0 1.103.897 2 2 2h12v-2H4V8zm8.933 3.519-1.726-1.726-1.414 1.414 3.274 3.274 5.702-6.84-1.538-1.282z\"},\"child\":[]}]})(props);\n};\nexport function BiSelection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1h-4a1 1 0 0 0-1 1v1H9V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h1v6H4a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-1h6v1a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-4a1 1 0 0 0-1-1h-1V9h1zm-3-4h2v2h-2V5zM5 5h2v2H5V5zm2 14H5v-2h2v2zm12 0h-2v-2h2v2zm-2-4h-1a1 1 0 0 0-1 1v1H9v-1a1 1 0 0 0-1-1H7V9h1a1 1 0 0 0 1-1V7h6v1a1 1 0 0 0 1 1h1v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSend (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.426 11.095-17-8A.999.999 0 0 0 3.03 4.242L4.969 12 3.03 19.758a.998.998 0 0 0 1.396 1.147l17-8a1 1 0 0 0 0-1.81zM5.481 18.197l.839-3.357L12 12 6.32 9.16l-.839-3.357L18.651 12l-13.17 6.197z\"},\"child\":[]}]})(props);\n};\nexport function BiServer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zM4 9V5h16v4zm16 4H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-4a2 2 0 0 0-2-2zM4 19v-4h16v4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6h2v2h-2zm-3 0h2v2h-2zm3 10h2v2h-2zm-3 0h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiShapeCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.494 9.05a8.14 8.14 0 0 0-4.544-4.544C14.713 3.088 13.485 2 12 2S9.287 3.088 9.05 4.506A8.136 8.136 0 0 0 4.506 9.05C3.088 9.287 2 10.515 2 12s1.088 2.713 2.506 2.95a8.14 8.14 0 0 0 4.544 4.544C9.287 20.912 10.515 22 12 22s2.713-1.088 2.95-2.506a8.14 8.14 0 0 0 4.544-4.544C20.912 14.713 22 13.485 22 12s-1.088-2.713-2.506-2.95zM12 4a1 1 0 0 1 1 1c0 .06-.023.11-.034.167a1.015 1.015 0 0 1-.083.279c-.014.027-.034.049-.051.075a1.062 1.062 0 0 1-.16.209c-.04.037-.09.062-.136.092-.054.036-.104.078-.165.103-.115.047-.239.075-.371.075s-.256-.028-.371-.075c-.061-.024-.111-.066-.165-.103-.046-.03-.096-.055-.136-.092a1.062 1.062 0 0 1-.16-.209c-.017-.026-.037-.048-.051-.075a1.026 1.026 0 0 1-.083-.279C11.023 5.11 11 5.06 11 5a1 1 0 0 1 1-1zm-7 7c.06 0 .11.023.167.034.099.017.194.041.279.083.027.014.049.034.075.051.075.047.149.097.209.16.037.04.062.09.092.136.036.054.078.104.103.165.047.115.075.239.075.371s-.028.256-.075.371c-.024.061-.066.111-.103.165-.03.046-.055.096-.092.136-.06.063-.134.113-.209.16-.026.017-.048.037-.075.051a1.026 1.026 0 0 1-.279.083C5.11 12.977 5.06 13 5 13a1 1 0 0 1 0-2zm7 9a1 1 0 0 1-1-1c0-.06.023-.11.034-.167.017-.099.041-.194.083-.279.014-.027.034-.049.051-.075.047-.075.097-.149.16-.209.04-.037.09-.062.136-.092.054-.036.104-.078.165-.103.115-.047.239-.075.371-.075s.256.028.371.075c.061.024.111.066.165.103.046.03.096.055.136.092.063.06.113.134.16.209.017.026.037.048.051.075.042.085.066.181.083.279.011.057.034.107.034.167a1 1 0 0 1-1 1zm2.583-2.512c-.006-.011-.017-.019-.022-.029a3.007 3.007 0 0 0-.996-1.007c-.054-.033-.109-.06-.166-.09a2.902 2.902 0 0 0-.486-.205c-.064-.021-.128-.044-.194-.061-.233-.057-.471-.096-.719-.096s-.486.039-.718.097c-.066.017-.13.039-.195.061a2.928 2.928 0 0 0-.485.205c-.056.029-.112.057-.166.09a3.007 3.007 0 0 0-.996 1.007c-.006.011-.017.019-.022.029a6.15 6.15 0 0 1-2.905-2.905c.011-.006.019-.017.029-.022a3.007 3.007 0 0 0 1.007-.996c.033-.054.061-.11.09-.166.083-.154.15-.316.205-.485.021-.065.044-.129.061-.195.056-.234.095-.472.095-.72s-.039-.486-.097-.718a2.568 2.568 0 0 0-.061-.194 2.902 2.902 0 0 0-.205-.486c-.03-.057-.057-.112-.09-.166A3.007 3.007 0 0 0 6.54 9.44c-.01-.006-.018-.017-.028-.023a6.15 6.15 0 0 1 2.905-2.905c.006.01.017.018.022.029.248.411.588.755.996 1.007.054.033.11.061.166.09.155.083.316.15.486.205.064.021.128.044.194.061.233.057.47.096.719.096a2.94 2.94 0 0 0 .912-.158c.17-.055.331-.122.486-.205.056-.029.112-.057.166-.09.408-.252.748-.596.996-1.007.006-.011.017-.019.022-.029a6.15 6.15 0 0 1 2.905 2.905c-.011.006-.019.017-.029.022a3.007 3.007 0 0 0-1.007.996c-.033.054-.061.11-.09.166-.083.155-.15.316-.205.486-.021.064-.044.128-.061.194A3.07 3.07 0 0 0 16 12a2.94 2.94 0 0 0 .158.912c.055.17.122.331.205.486.029.056.057.112.09.166.252.408.596.748 1.007.996.011.006.019.017.029.022a6.145 6.145 0 0 1-2.906 2.906zM19 13c-.06 0-.11-.023-.167-.034a1.015 1.015 0 0 1-.279-.083c-.027-.014-.049-.034-.075-.051a1.062 1.062 0 0 1-.209-.16c-.037-.04-.062-.09-.092-.136-.036-.054-.078-.104-.103-.165-.047-.115-.075-.239-.075-.371s.028-.256.075-.371c.024-.061.066-.111.103-.165.03-.046.055-.096.092-.136.06-.063.134-.113.209-.16.026-.017.048-.037.075-.051.085-.042.181-.066.279-.083.057-.011.107-.034.167-.034a1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiShapePolygon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14.185v-2.369A2.997 2.997 0 0 0 22 9c0-1.654-1.346-3-3-3a2.99 2.99 0 0 0-2.116.876L12.969 5.31c.01-.103.031-.204.031-.31 0-1.654-1.346-3-3-3S7 3.346 7 5c0 .762.295 1.451.765 1.981L6.091 9.212A2.977 2.977 0 0 0 5 9c-1.654 0-3 1.346-3 3s1.346 3 3 3c.159 0 .313-.023.465-.047L7.4 17.532c-.248.436-.4.932-.4 1.468 0 1.654 1.346 3 3 3a2.994 2.994 0 0 0 2.863-2.153l3.962-.792A2.987 2.987 0 0 0 19 20c1.654 0 3-1.346 3-3a2.995 2.995 0 0 0-2-2.815zM19 8a1.001 1.001 0 1 1-1 1c0-.551.448-1 1-1zm-9-4a1.001 1.001 0 1 1-1 1c0-.551.448-1 1-1zm-6 8a1.001 1.001 0 1 1 1 1c-.552 0-1-.449-1-1zm6 8a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm2.761-2.172A3.005 3.005 0 0 0 10 16c-.386 0-.752.079-1.091.213l-1.674-2.231C7.705 13.451 8 12.762 8 12c0-.536-.152-1.032-.399-1.467l1.935-2.58c.152.024.305.047.464.047a2.99 2.99 0 0 0 2.116-.876l3.915 1.566c-.01.103-.031.204-.031.31 0 1.302.839 2.401 2 2.815v2.369a2.996 2.996 0 0 0-2 2.815c0 .061.015.117.018.177l-3.257.652zM19 18a1 1 0 1 1 0-2 1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiShapeSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6c0-1.654-1.346-3-3-3a2.993 2.993 0 0 0-2.815 2h-6.37A2.993 2.993 0 0 0 6 3C4.346 3 3 4.346 3 6c0 1.302.839 2.401 2 2.815v6.369A2.997 2.997 0 0 0 3 18c0 1.654 1.346 3 3 3a2.993 2.993 0 0 0 2.815-2h6.369a2.994 2.994 0 0 0 2.815 2c1.654 0 3-1.346 3-3a2.997 2.997 0 0 0-2-2.816V8.816A2.996 2.996 0 0 0 21 6zm-3-1a1.001 1.001 0 1 1-1 1c0-.551.448-1 1-1zm-2.815 12h-6.37A2.99 2.99 0 0 0 7 15.184V8.816A2.99 2.99 0 0 0 8.815 7h6.369A2.99 2.99 0 0 0 17 8.815v6.369A2.99 2.99 0 0 0 15.185 17zM6 5a1.001 1.001 0 1 1-1 1c0-.551.448-1 1-1zm0 14a1.001 1.001 0 0 1 0-2 1.001 1.001 0 0 1 0 2zm12 0a1.001 1.001 0 0 1 0-2 1.001 1.001 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiShapeTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15c-.183 0-.358.022-.532.054L8.946 6.532C8.978 6.359 9 6.182 9 6c0-1.654-1.346-3-3-3S3 4.346 3 6c0 1.302.839 2.401 2 2.815v6.369A2.997 2.997 0 0 0 3 18c0 1.654 1.346 3 3 3a2.993 2.993 0 0 0 2.815-2h6.369a2.994 2.994 0 0 0 2.815 2c1.654 0 3-1.346 3-3S19.654 15 18 15zm-11 .184V8.816c.329-.118.629-.291.894-.508l7.799 7.799a2.961 2.961 0 0 0-.508.894h-6.37A2.99 2.99 0 0 0 7 15.184zM6 5a1.001 1.001 0 1 1-1 1c0-.551.448-1 1-1zm0 14a1.001 1.001 0 0 1 0-2 1.001 1.001 0 0 1 0 2zm12 0a1.001 1.001 0 0 1 0-2 1.001 1.001 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiShareAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 15a3.51 3.51 0 0 0 2.36-.93l6.26 3.58a3.06 3.06 0 0 0-.12.85 3.53 3.53 0 1 0 1.14-2.57l-6.26-3.58a2.74 2.74 0 0 0 .12-.76l6.15-3.52A3.49 3.49 0 1 0 14 5.5a3.35 3.35 0 0 0 .12.85L8.43 9.6A3.5 3.5 0 1 0 5.5 15zm12 2a1.5 1.5 0 1 1-1.5 1.5 1.5 1.5 0 0 1 1.5-1.5zm0-13A1.5 1.5 0 1 1 16 5.5 1.5 1.5 0 0 1 17.5 4zm-12 6A1.5 1.5 0 1 1 4 11.5 1.5 1.5 0 0 1 5.5 10z\"},\"child\":[]}]})(props);\n};\nexport function BiShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7.05V4a1 1 0 0 0-1-1 1 1 0 0 0-.7.29l-7 7a1 1 0 0 0 0 1.42l7 7A1 1 0 0 0 11 18v-3.1h.85a10.89 10.89 0 0 1 8.36 3.72 1 1 0 0 0 1.11.35A1 1 0 0 0 22 18c0-9.12-8.08-10.68-11-10.95zm.85 5.83a14.74 14.74 0 0 0-2 .13A1 1 0 0 0 9 14v1.59L4.42 11 9 6.41V8a1 1 0 0 0 1 1c.91 0 8.11.2 9.67 6.43a13.07 13.07 0 0 0-7.82-2.55z\"},\"child\":[]}]})(props);\n};\nexport function BiShekel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8v8h2V8c0-2.206-1.794-4-4-4H5v16h2V6h4c1.103 0 2 .897 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16c0 1.103-.897 2-2 2h-4V8H9v12h6c2.206 0 4-1.794 4-4V4h-2v12z\"},\"child\":[]}]})(props);\n};\nexport function BiShieldAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.438 5.089a1.002 1.002 0 0 0-.959.015c-.684.389-1.355.577-2.053.577-2.035 0-3.952-1.629-5.722-3.39-.375-.373-1.063-.373-1.438 0C9.592 3.959 7.598 5.663 5.51 5.663c-.69 0-1.351-.184-2.018-.561-.298-.166-.658-.171-.96-.012s-.501.461-.528.801c-.011.129-.944 12.872 9.683 16.041a.99.99 0 0 0 .286.042H12c.097 0 .192-.014.285-.041 10.657-3.17 9.695-15.916 9.684-16.044a1 1 0 0 0-.531-.8zm-9.452 14.842c-6.979-2.255-7.934-9.412-8.014-12.477.505.14 1.019.209 1.537.209 2.492 0 4.65-1.567 6.476-3.283 1.893 1.788 3.983 3.301 6.442 3.301.53 0 1.057-.074 1.575-.22-.074 3.065-1.021 10.217-8.016 12.47z\"},\"child\":[]}]})(props);\n};\nexport function BiShieldAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.342 8.447 1.105-.553A.998.998 0 0 0 21.8 6.4l-3-4A1 1 0 0 0 18 2H6a1 1 0 0 0-.8.4l-3 4a1 1 0 0 0 .352 1.494l1.105.553-1.131 2.262A5.052 5.052 0 0 0 2 12.944v.591a6.028 6.028 0 0 0 3.894 5.618l3.431 1.286a5.488 5.488 0 0 1 1.969 1.268.997.997 0 0 0 1.413 0 5.486 5.486 0 0 1 1.969-1.267l3.432-1.287A6.03 6.03 0 0 0 22 13.535v-.591c0-.771-.183-1.545-.527-2.236l-1.131-2.261zM20 13.535a4.019 4.019 0 0 1-2.596 3.745l-3.431 1.287a7.5 7.5 0 0 0-1.974 1.101 7.515 7.515 0 0 0-1.974-1.102L6.596 17.28A4.019 4.019 0 0 1 4 13.535v-.591c0-.463.109-.928.316-1.342l1.131-2.261a2.003 2.003 0 0 0-.895-2.684l-.033-.015L6.5 4h11l1.981 2.642-.034.017a2.004 2.004 0 0 0-.895 2.684l1.131 2.26c.208.414.317.878.317 1.341v.591z\"},\"child\":[]}]})(props);\n};\nexport function BiShieldMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.41 6.11-8-4a.94.94 0 0 0-.89 0l-8 4A1 1 0 0 0 3 6.9c0 .11-1 10.77 8.59 15a1 1 0 0 0 .41.1.93.93 0 0 0 .4-.09C21.92 17.67 21 7 21 6.9a1 1 0 0 0-.59-.79zM12 19.9C5.2 16.63 4.88 9.64 4.93 7.63l7-3.51 7 3.51c.13 2.01-.19 9-6.93 12.27z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h8v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiShieldPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.63 21.91A.9.9 0 0 0 12 22a1 1 0 0 0 .41-.09C22 17.67 21 7 21 6.9a1 1 0 0 0-.55-.79l-8-4a1 1 0 0 0-.9 0l-8 4A1 1 0 0 0 3 6.9c0 .1-.92 10.77 8.63 15.01zM5 7.63l7-3.51 7 3.51c.05 2-.27 9-7 12.27C5.26 16.63 4.94 9.64 5 7.63z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.06 16h2v-3h3.01v-2h-3.01V8h-2v3h-3v2h3v3z\"},\"child\":[]}]})(props);\n};\nexport function BiShieldQuarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.995 6.9a.998.998 0 0 0-.548-.795l-8-4a1 1 0 0 0-.895 0l-8 4a1.002 1.002 0 0 0-.547.795c-.011.107-.961 10.767 8.589 15.014a.987.987 0 0 0 .812 0c9.55-4.247 8.6-14.906 8.589-15.014zM12 19.897V12H5.51a15.473 15.473 0 0 1-.544-4.365L12 4.118V12h6.46c-.759 2.74-2.498 5.979-6.46 7.897z\"},\"child\":[]}]})(props);\n};\nexport function BiShieldX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.48 6.105-8-4a1 1 0 0 0-.895 0l-8 4a1.002 1.002 0 0 0-.547.795c-.011.107-.961 10.767 8.589 15.014a.99.99 0 0 0 .812 0c9.55-4.247 8.6-14.906 8.589-15.014a1.001 1.001 0 0 0-.548-.795zm-8.447 13.792C5.265 16.625 4.944 9.642 4.999 7.635l7.034-3.517 7.029 3.515c.038 1.989-.328 9.018-7.029 12.264z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.293 8.293 12 10.586 9.707 8.293 8.293 9.707 10.586 12l-2.293 2.293 1.414 1.414L12 13.414l2.293 2.293 1.414-1.414L13.414 12l2.293-2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.995 6.903a.997.997 0 0 0-.547-.797l-7.973-4a.997.997 0 0 0-.895-.002l-8.027 4c-.297.15-.502.437-.544.767-.013.097-1.145 9.741 8.541 15.008a.995.995 0 0 0 .969-.009c9.307-5.259 8.514-14.573 8.476-14.967zm-8.977 12.944c-6.86-4.01-7.14-10.352-7.063-12.205l7.071-3.523 6.998 3.511c.005 1.87-.481 8.243-7.006 12.217z\"},\"child\":[]}]})(props);\n};\nexport function BiShocked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"10.493\",\"r\":\"1.493\"},\"child\":[]},{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"12\",\"cy\":\"15.5\",\"rx\":\"3\",\"ry\":\"2.5\"},\"child\":[]}]})(props);\n};\nexport function BiShoppingBag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V9a1 1 0 0 0-1-1h-3V7c0-2.757-2.243-5-5-5S7 4.243 7 7v1H4a1 1 0 0 0-1 1v11c0 1.103.897 2 2 2zM9 7c0-1.654 1.346-3 3-3s3 1.346 3 3v1H9V7zm-4 3h2v2h2v-2h6v2h2v-2h2l.002 10H5V10z\"},\"child\":[]}]})(props);\n};\nexport function BiShowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12c-1.095 0-2-.905-2-2 0-.354.103-.683.268-.973C12.178 9.02 12.092 9 12 9a3.02 3.02 0 0 0-3 3c0 1.642 1.358 3 3 3 1.641 0 3-1.358 3-3 0-.092-.02-.178-.027-.268-.29.165-.619.268-.973.268z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c-7.633 0-9.927 6.617-9.948 6.684L1.946 12l.105.316C2.073 12.383 4.367 19 12 19s9.927-6.617 9.948-6.684l.106-.316-.105-.316C21.927 11.617 19.633 5 12 5zm0 12c-5.351 0-7.424-3.846-7.926-5C4.578 10.842 6.652 7 12 7c5.351 0 7.424 3.846 7.926 5-.504 1.158-2.578 5-7.926 5z\"},\"child\":[]}]})(props);\n};\nexport function BiShow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a3.02 3.02 0 0 0-3 3c0 1.642 1.358 3 3 3 1.641 0 3-1.358 3-3 0-1.641-1.359-3-3-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c-7.633 0-9.927 6.617-9.948 6.684L1.946 12l.105.316C2.073 12.383 4.367 19 12 19s9.927-6.617 9.948-6.684l.106-.316-.105-.316C21.927 11.617 19.633 5 12 5zm0 12c-5.351 0-7.424-3.846-7.926-5C4.578 10.842 6.652 7 12 7c5.351 0 7.424 3.846 7.926 5-.504 1.158-2.578 5-7.926 5z\"},\"child\":[]}]})(props);\n};\nexport function BiShower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18.33A6.78 6.78 0 0 0 19.5 15a6.73 6.73 0 0 0-1.5 3.33 1.51 1.51 0 1 0 3 0zM11 20.33A6.78 6.78 0 0 0 9.5 17 6.73 6.73 0 0 0 8 20.33 1.59 1.59 0 0 0 9.5 22a1.59 1.59 0 0 0 1.5-1.67zM14.5 22a1.59 1.59 0 0 0 1.5-1.67A6.78 6.78 0 0 0 14.5 17a6.73 6.73 0 0 0-1.5 3.33A1.59 1.59 0 0 0 14.5 22zM3 18.33A1.59 1.59 0 0 0 4.5 20 1.59 1.59 0 0 0 6 18.33 6.78 6.78 0 0 0 4.5 15 6.73 6.73 0 0 0 3 18.33zM13 4.07V2h-2v2.07A8 8 0 0 0 4 12H2v2h20v-2h-2a8 8 0 0 0-7-7.93zM6 12a6 6 0 0 1 12 0z\"},\"child\":[]}]})(props);\n};\nexport function BiShuffle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h-1.559l-9.7-10.673A1 1 0 0 0 5.001 6H2v2h2.559l4.09 4.5-4.09 4.501H2v2h3.001a1 1 0 0 0 .74-.327L10 13.987l4.259 4.686a1 1 0 0 0 .74.327H17v3l5-4-5-4v3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.441 8H17v3l5-3.938L17 3v3h-2.001a1 1 0 0 0-.74.327l-3.368 3.707 1.48 1.346L15.441 8z\"},\"child\":[]}]})(props);\n};\nexport function BiSidebar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 19V7h6v12H4zm8 0V7h8V5l.002 14H12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h2v2H6zm0 4h2v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiSignal1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h2v5H3z\"},\"child\":[]}]})(props);\n};\nexport function BiSignal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h2v5H3zm4-3h2v8H7z\"},\"child\":[]}]})(props);\n};\nexport function BiSignal3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h2v5H3zm4-3h2v8H7zm4-3h2v11h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSignal4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h2v5H3zm4-3h2v8H7zm4-3h2v11h-2zm4-3h2v14h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSignal5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h2v5H3zm4-3h2v8H7zm4-3h2v11h-2zm4-3h2v14h-2zm4-3h2v17h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSitemap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13.01h-7V10h1c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2h-4c-1.103 0-2 .897-2 2v4c0 1.103.897 2 2 2h1v3.01H4V18H3v4h4v-4H6v-2.99h5V18h-1v4h4v-4h-1v-2.99h5V18h-1v4h4v-4h-1v-4.99zM10 8V4h4l.002 4H10z\"},\"child\":[]}]})(props);\n};\nexport function BiSkipNextCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 16 5-4-5-4zm5-4v4h2V8h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSkipNext (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v10l7-5zm9 10V7h-2v10z\"},\"child\":[]}]})(props);\n};\nexport function BiSkipPreviousCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16V8l-5 4zM9 8v8h2V8z\"},\"child\":[]}]})(props);\n};\nexport function BiSkipPrevious (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 7-7 5 7 5zm-7 5V7H7v10h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSleepy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"12\",\"cy\":\"15.5\",\"rx\":\"3\",\"ry\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7c-2.905 0-3.983 2.386-4 3.99l2 .021C8.002 10.804 8.076 9 10 9V7zm4 0v2c1.826 0 1.992 1.537 2 2.007L17 11h1c0-1.608-1.065-4-4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSliderAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 14.5c-1.58 0-2.903 1.06-3.337 2.5H2v2h2.163c.434 1.44 1.757 2.5 3.337 2.5s2.903-1.06 3.337-2.5H22v-2H10.837c-.434-1.44-1.757-2.5-3.337-2.5zm0 5c-.827 0-1.5-.673-1.5-1.5s.673-1.5 1.5-1.5S9 17.173 9 18s-.673 1.5-1.5 1.5zm9-11c-1.58 0-2.903 1.06-3.337 2.5H2v2h11.163c.434 1.44 1.757 2.5 3.337 2.5s2.903-1.06 3.337-2.5H22v-2h-2.163c-.434-1.44-1.757-2.5-3.337-2.5zm0 5c-.827 0-1.5-.673-1.5-1.5s.673-1.5 1.5-1.5 1.5.673 1.5 1.5-.673 1.5-1.5 1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.837 5C12.403 3.56 11.08 2.5 9.5 2.5S6.597 3.56 6.163 5H2v2h4.163C6.597 8.44 7.92 9.5 9.5 9.5s2.903-1.06 3.337-2.5h9.288V5h-9.288zM9.5 7.5C8.673 7.5 8 6.827 8 6s.673-1.5 1.5-1.5S11 5.173 11 6s-.673 1.5-1.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSlider (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5h9v2h-9zM2 7h7v2h2V3H9v2H2zm7 10h13v2H9zm10-6h3v2h-3zm-2 4V9.012h-2V11H2v2h13v2zM7 21v-6H5v2H2v2h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSlideshow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h7v3H8v2h8v-2h-3v-3h7c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 15V5h16l.001 10H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 13 5-3-5-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.829 14.828a4.055 4.055 0 0 1-1.272.858 4.002 4.002 0 0 1-4.875-1.45l-1.658 1.119a6.063 6.063 0 0 0 1.621 1.62 5.963 5.963 0 0 0 2.148.903 6.042 6.042 0 0 0 2.415 0 5.972 5.972 0 0 0 2.148-.903c.313-.212.612-.458.886-.731.272-.271.52-.571.734-.889l-1.658-1.119a4.017 4.017 0 0 1-.489.592z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.493\",\"cy\":\"10.493\",\"r\":\"1.493\"},\"child\":[]}]})(props);\n};\nexport function BiSortAZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.707 14.707A1 1 0 0 0 19 13h-7v2h4.586l-4.293 4.293A1 1 0 0 0 13 21h7v-2h-4.586l4.293-4.293zM7 3.99H5v12H2l4 4 4-4H7zM17 3h-2c-.417 0-.79.259-.937.649l-2.75 7.333h2.137L14.193 9h3.613l.743 1.981h2.137l-2.75-7.333A1 1 0 0 0 17 3zm-2.057 4 .75-2h.613l.75 2h-2.113z\"},\"child\":[]}]})(props);\n};\nexport function BiSortAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h2V8h3L8 4 4 8h3zm13-4h-3V4h-2v12h-3l4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSortDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 20 4-4H7V4H5v12H2zm5-12h9v2h-9zm0 4h7v2h-7zm0-8h11v2H11zm0 12h5v2h-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSortUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h9v2h-9zm0 4h7v2h-7zm0-8h11v2H11zm0 12h5v2h-5zm-6 3h2V8h3L6 4 2 8h3z\"},\"child\":[]}]})(props);\n};\nexport function BiSortZA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.707 14.707A1 1 0 0 0 19 13h-7v2h4.586l-4.293 4.293A1 1 0 0 0 13 21h7v-2h-4.586l4.293-4.293zM6 3.99l-4 4h3v12h2v-12h3zM17 3h-2c-.417 0-.79.259-.937.649l-2.75 7.333h2.137L14.193 9h3.613l.743 1.981h2.137l-2.75-7.333A1 1 0 0 0 17 3zm-2.057 4 .75-2h.613l.75 2h-2.113z\"},\"child\":[]}]})(props);\n};\nexport function BiSort (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16H4l6 6V2H8zm6-11v17h2V8h4l-6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSpa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.787 9.023c-.125.027-1.803.418-3.953 1.774-.323-1.567-1.279-4.501-4.108-7.485L12 2.546l-.726.767C8.435 6.308 7.483 9.25 7.163 10.827 5.005 9.448 3.34 9.052 3.218 9.024L2 8.752V10c0 7.29 3.925 12 10 12 5.981 0 10-4.822 10-12V8.758l-1.213.265zM8.999 12.038c.002-.033.152-3.1 3.001-6.532C14.814 8.906 14.999 12 15 12v.125a18.933 18.933 0 0 0-3.01 3.154 19.877 19.877 0 0 0-2.991-3.113v-.128zM12 20c-5.316 0-7.549-4.196-7.937-8.564 1.655.718 4.616 2.426 7.107 6.123l.841 1.249.825-1.26c2.426-3.708 5.425-5.411 7.096-6.122C19.534 15.654 17.304 20 12 20z\"},\"child\":[]}]})(props);\n};\nexport function BiSpaceBar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13H7V9H5v6h14V9h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSpeaker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2zm0 18H6V4h12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19a4 4 0 1 0-4-4 4 4 0 0 0 4 4zm0-6a2 2 0 1 1-2 2 2 2 0 0 1 2-2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12.01\",\"cy\":\"7\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiSprayCan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.002 2h-4a1 1 0 0 0-1 1v3.812a5.998 5.998 0 0 0-3 5.188v.988L3 13l.002.072V21a1 1 0 0 0 1 1h10a1 1 0 0 0 1-1v-9a5.999 5.999 0 0 0-3-5.188V3a1 1 0 0 0-1-1zm-3 4V4h2v2h-2zm5.001 14h-8v-6h8v6zm-8.001-8c0-2.206 1.794-4 4-4s4 1.794 4 4h-8zm8.001-9h2v2h-2zM16 3h2v2h-2zm0 3h2v2h-2zm3-3h2v2h-2zm0 3h2v2h-2zm0 3h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSpreadsheet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5zM5 19V5h14l.002 14H5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h1.998v2H7zm4 0h6v2h-6zm-4 4h1.998v2H7zm4 0h6v2h-6zm-4 4h1.998v2H7zm4 0h6v2h-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2H7C4.243 2 2 4.243 2 7v10c0 2.757 2.243 5 5 5h10c2.757 0 5-2.243 5-5V7c0-2.757-2.243-5-5-5zm3 15c0 1.654-1.346 3-3 3H7c-1.654 0-3-1.346-3-3V7c0-1.654 1.346-3 3-3h10c1.654 0 3 1.346 3 3v10z\"},\"child\":[]}]})(props);\n};\nexport function BiSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-1 16H5V5h14v14z\"},\"child\":[]}]})(props);\n};\nexport function BiStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6.516 14.323-1.49 6.452a.998.998 0 0 0 1.529 1.057L12 18.202l5.445 3.63a1.001 1.001 0 0 0 1.517-1.106l-1.829-6.4 4.536-4.082a1 1 0 0 0-.59-1.74l-5.701-.454-2.467-5.461a.998.998 0 0 0-1.822 0L8.622 8.05l-5.701.453a1 1 0 0 0-.619 1.713l4.214 4.107zm2.853-4.326a.998.998 0 0 0 .832-.586L12 5.43l1.799 3.981a.998.998 0 0 0 .832.586l3.972.315-3.271 2.944c-.284.256-.397.65-.293 1.018l1.253 4.385-3.736-2.491a.995.995 0 0 0-1.109 0l-3.904 2.603 1.05-4.546a1 1 0 0 0-.276-.94l-3.038-2.962 4.09-.326z\"},\"child\":[]}]})(props);\n};\nexport function BiStation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7.758 16.243 1.414-1.415a3.97 3.97 0 0 1-1.173-2.831c0-1.068.417-2.071 1.173-2.825L7.758 7.756a5.957 5.957 0 0 0-1.76 4.24c0 1.604.625 3.112 1.76 4.247zm8.484 0A5.96 5.96 0 0 0 18 12a5.96 5.96 0 0 0-1.758-4.243l-1.414 1.414C15.584 9.927 16 10.932 16 12s-.416 2.073-1.172 2.829l1.414 1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.344 17.657a7.953 7.953 0 0 1-2.345-5.659c0-2.137.833-4.145 2.345-5.654L4.93 4.929c-1.89 1.886-2.931 4.397-2.931 7.069s1.041 5.183 2.931 7.073l1.414-1.414zM17.657 6.343A7.948 7.948 0 0 1 20 12a7.948 7.948 0 0 1-2.343 5.657l1.414 1.414A9.932 9.932 0 0 0 22 12a9.934 9.934 0 0 0-2.929-7.071l-1.414 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiStats (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12a2 2 0 0 0-.703.133l-2.398-1.963c.059-.214.101-.436.101-.67C17 8.114 15.886 7 14.5 7S12 8.114 12 9.5c0 .396.1.765.262 1.097l-2.909 3.438A2.06 2.06 0 0 0 9 14c-.179 0-.348.03-.512.074l-2.563-2.563C5.97 11.348 6 11.179 6 11c0-1.108-.892-2-2-2s-2 .892-2 2 .892 2 2 2c.179 0 .348-.03.512-.074l2.563 2.563A1.906 1.906 0 0 0 7 16c0 1.108.892 2 2 2s2-.892 2-2c0-.237-.048-.46-.123-.671l2.913-3.442c.227.066.462.113.71.113a2.48 2.48 0 0 0 1.133-.281l2.399 1.963A2.077 2.077 0 0 0 18 14c0 1.108.892 2 2 2s2-.892 2-2-.892-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSticker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.796 9.982C20.849 5.357 16.729 2 12 2 6.486 2 2 6.486 2 12c0 4.729 3.357 8.849 7.982 9.796a.988.988 0 0 0 .908-.272l10.633-10.633c.238-.238.34-.578.273-.909zM11 18a7.93 7.93 0 0 1 1.365-4.471 8.18 8.18 0 0 1 .978-1.186 8.211 8.211 0 0 1 1.184-.977 8.128 8.128 0 0 1 1.36-.738c.481-.203.986-.36 1.501-.466a8.112 8.112 0 0 1 2.17-.134l-8.529 8.529c-.013-.185-.029-.37-.029-.557zm-7-6c0-4.411 3.589-8 8-8 2.909 0 5.528 1.589 6.929 4.005a9.99 9.99 0 0 0-1.943.198c-.643.132-1.274.328-1.879.583a10.15 10.15 0 0 0-1.699.923c-.533.361-1.03.771-1.479 1.22s-.858.945-1.221 1.48c-.359.533-.67 1.104-.922 1.698A10.013 10.013 0 0 0 9 18c0 .491.048.979.119 1.461C6.089 18.288 4 15.336 4 12z\"},\"child\":[]}]})(props);\n};\nexport function BiStopCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6v6H9z\"},\"child\":[]}]})(props);\n};\nexport function BiStop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10v10H7z\"},\"child\":[]}]})(props);\n};\nexport function BiStopwatch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c-4.411 0-8 3.589-8 8s3.589 8 8 8 8-3.589 8-8-3.589-8-8-8zm0 14c-3.309 0-6-2.691-6-6s2.691-6 6-6 6 2.691 6 6-2.691 6-6 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h2v5h-2zM9 2h6v2H9zm10.293 5.707-2-2 1.414-1.414 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiStoreAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 5c0-1.654-1.346-3-3-3H5C3.346 2 2 3.346 2 5v2.831c0 1.053.382 2.01 1 2.746V19c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-8.424c.618-.735 1-1.692 1-2.746V5zm-2 0v2.831c0 1.14-.849 2.112-1.891 2.167L18 10c-1.103 0-2-.897-2-2V4h3c.552 0 1 .449 1 1zM10 4h4v4c0 1.103-.897 2-2 2s-2-.897-2-2V4zM4 5c0-.551.448-1 1-1h3v4c0 1.103-.897 2-2 2l-.109-.003C4.849 9.943 4 8.971 4 7.831V5zm6 14v-3h4v3h-4zm6 0v-3c0-1.103-.897-2-2-2h-4c-1.103 0-2 .897-2 2v3H5v-7.131c.254.067.517.111.787.125A3.988 3.988 0 0 0 9 10.643c.733.832 1.807 1.357 3 1.357s2.267-.525 3-1.357a3.988 3.988 0 0 0 3.213 1.351c.271-.014.533-.058.787-.125V19h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiStore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.148 2.971A2.008 2.008 0 0 0 17.434 2H6.566c-.698 0-1.355.372-1.714.971L2.143 7.485A.995.995 0 0 0 2 8a3.97 3.97 0 0 0 1 2.618V19c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-8.382A3.97 3.97 0 0 0 22 8a.995.995 0 0 0-.143-.515l-2.709-4.514zm.836 5.28A2.003 2.003 0 0 1 18 10c-1.103 0-2-.897-2-2 0-.068-.025-.128-.039-.192l.02-.004L15.22 4h2.214l2.55 4.251zM10.819 4h2.361l.813 4.065C13.958 9.137 13.08 10 12 10s-1.958-.863-1.993-1.935L10.819 4zM6.566 4H8.78l-.76 3.804.02.004C8.025 7.872 8 7.932 8 8c0 1.103-.897 2-2 2a2.003 2.003 0 0 1-1.984-1.749L6.566 4zM10 19v-3h4v3h-4zm6 0v-3c0-1.103-.897-2-2-2h-4c-1.103 0-2 .897-2 2v3H5v-7.142c.321.083.652.142 1 .142a3.99 3.99 0 0 0 3-1.357c.733.832 1.807 1.357 3 1.357s2.267-.525 3-1.357A3.99 3.99 0 0 0 18 12c.348 0 .679-.059 1-.142V19h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiStreetView (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h2v-5h2V9c0-1.103-.897-2-2-2h-4c-1.103 0-2 .897-2 2v4h2v5h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18.446 11.386-.893 1.789C19.108 13.95 20 14.98 20 16c0 1.892-3.285 4-8 4s-8-2.108-8-4c0-1.02.892-2.05 2.446-2.825l-.893-1.789C3.295 12.512 2 14.193 2 16c0 3.364 4.393 6 10 6s10-2.636 10-6c0-1.807-1.295-3.488-3.554-4.614z\"},\"child\":[]}]})(props);\n};\nexport function BiStrikethrough (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11h-8c-4 0-4-1.816-4-2.5C8 7.882 8 6 12 6c2.8 0 2.99 1.678 3 2.014L16 8h1c0-1.384-1.045-4-5-4-5.416 0-6 3.147-6 4.5 0 .728.148 1.667.736 2.5H4v2h16v-2zm-8 7c-3.793 0-3.99-1.815-4-2H6c0 .04.069 4 6 4 5.221 0 6-2.819 6-4.5 0-.146-.009-.317-.028-.5h-2.006c.032.2.034.376.034.5 0 .684 0 2.5-4 2.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSubdirectoryLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13h-6v-3l-5 4 5 4v-3h7a1 1 0 0 0 1-1V5h-2v8z\"},\"child\":[]}]})(props);\n};\nexport function BiSubdirectoryRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13H8V5H6v9a1 1 0 0 0 1 1h7v3l5-4-5-4v3z\"},\"child\":[]}]})(props);\n};\nexport function BiSun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.993 12c0 2.761 2.246 5.007 5.007 5.007s5.007-2.246 5.007-5.007S14.761 6.993 12 6.993 6.993 9.239 6.993 12zM12 8.993c1.658 0 3.007 1.349 3.007 3.007S13.658 15.007 12 15.007 8.993 13.658 8.993 12 10.342 8.993 12 8.993zM10.998 19h2v3h-2zm0-17h2v3h-2zm-9 9h3v2h-3zm17 0h3v2h-3zM4.219 18.363l2.12-2.122 1.415 1.414-2.12 2.122zM16.24 6.344l2.122-2.122 1.414 1.414-2.122 2.122zM6.342 7.759 4.22 5.637l1.415-1.414 2.12 2.122zm13.434 10.605-1.414 1.414-2.122-2.122 1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSupport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12v4.143C2 17.167 2.897 18 4 18h1a1 1 0 0 0 1-1v-5.143a1 1 0 0 0-1-1h-.908C4.648 6.987 7.978 4 12 4s7.352 2.987 7.908 6.857H19a1 1 0 0 0-1 1V18c0 1.103-.897 2-2 2h-2v-1h-4v3h6c2.206 0 4-1.794 4-4 1.103 0 2-.833 2-1.857V12c0-5.514-4.486-10-10-10z\"},\"child\":[]}]})(props);\n};\nexport function BiSushi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C7.51 2 4 4.2 4 7v10c0 2.8 3.51 5 8 5s8-2.2 8-5V7c0-2.8-3.51-5-8-5zm0 18c-3.54 0-6-1.58-6-3v-6.67A10.52 10.52 0 0 0 12 12a10.52 10.52 0 0 0 6-1.67V17c0 1.42-2.46 3-6 3zm0-10c-3.54 0-6-1.58-6-3s2.46-3 6-3 6 1.58 6 3-2.46 3-6 3z\"},\"child\":[]},{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"12\",\"cy\":\"7\",\"rx\":\"3\",\"ry\":\"1.71\"},\"child\":[]}]})(props);\n};\nexport function BiSwim (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"19.003\",\"cy\":\"6.002\",\"r\":\"2.002\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.875 13.219c-.567.453-.978.781-1.878.781-.899 0-1.288-.311-1.876-.781-.68-.543-1.525-1.219-3.127-1.219-1.601 0-2.445.676-3.124 1.219-.588.47-.975.781-1.875.781-.898 0-1.286-.311-1.873-.78C4.443 12.676 3.6 12 2 12v2c.897 0 1.285.311 1.872.78.679.544 1.523 1.22 3.123 1.22s2.446-.676 3.125-1.22c.587-.47.976-.78 1.874-.78.9 0 1.311.328 1.878.781.679.543 1.524 1.219 3.125 1.219 1.602 0 2.447-.676 3.127-1.219.588-.47.977-.781 1.876-.781v-2c-1.601 0-2.446.676-3.125 1.219zM16.997 19c-.899 0-1.288-.311-1.876-.781-.68-.543-1.525-1.219-3.127-1.219-1.601 0-2.445.676-3.124 1.219-.588.47-.975.781-1.875.781-.898 0-1.286-.311-1.873-.78C4.443 17.676 3.6 17 2 17v2c.897 0 1.285.311 1.872.78.679.544 1.523 1.22 3.123 1.22s2.446-.676 3.125-1.22c.587-.47.976-.78 1.874-.78.9 0 1.311.328 1.878.781.679.543 1.524 1.219 3.125 1.219 1.602 0 2.447-.676 3.127-1.219.588-.47.977-.781 1.876-.781v-2c-1.601 0-2.446.676-3.125 1.219-.567.453-.978.781-1.878.781zM11 5.419l2.104 2.104-2.057 2.57c.286-.056.596-.093.947-.093 1.602 0 2.447.676 3.127 1.219.588.47.977.781 1.876.781.9 0 1.311-.328 1.878-.781.132-.105.274-.217.423-.326l-2.096-2.09.005-.005-5.5-5.5a.999.999 0 0 0-1.414 0l-4 4 1.414 1.414L11 5.419z\"},\"child\":[]}]})(props);\n};\nexport function BiSync (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13 7.101.01.001a4.978 4.978 0 0 1 2.526 1.362 5.005 5.005 0 0 1 1.363 2.528 5.061 5.061 0 0 1-.001 2.016 4.976 4.976 0 0 1-1.363 2.527l1.414 1.414a7.014 7.014 0 0 0 1.908-3.54 6.98 6.98 0 0 0 0-2.819 6.957 6.957 0 0 0-1.907-3.539 6.97 6.97 0 0 0-2.223-1.5 6.921 6.921 0 0 0-1.315-.408c-.137-.028-.275-.043-.412-.063V2L9 6l4 4V7.101zm-7.45 7.623c.174.412.392.812.646 1.19.249.37.537.718.854 1.034a7.036 7.036 0 0 0 2.224 1.501c.425.18.868.317 1.315.408.167.034.338.056.508.078v2.944l4-4-4-4v3.03c-.035-.006-.072-.003-.107-.011a4.978 4.978 0 0 1-2.526-1.362 4.994 4.994 0 0 1 .001-7.071L7.051 7.05a7.01 7.01 0 0 0-1.5 2.224A6.974 6.974 0 0 0 5 12a6.997 6.997 0 0 0 .55 2.724z\"},\"child\":[]}]})(props);\n};\nexport function BiTab (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H6zm0 15V5h12l.002 12H6z\"},\"child\":[]}]})(props);\n};\nexport function BiTable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h15.893c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm0-2v-5h4v5H4zM14 7v5h-4V7h4zM8 7v5H4V7h4zm2 12v-5h4v5h-4zm6 0v-5h3.894v5H16zm3.893-7H16V7h3.893v5z\"},\"child\":[]}]})(props);\n};\nexport function BiTachometer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4C6.486 4 2 8.486 2 14a9.89 9.89 0 0 0 1.051 4.445c.17.34.516.555.895.555h16.107c.379 0 .726-.215.896-.555A9.89 9.89 0 0 0 22 14c0-5.514-4.486-10-10-10zm7.41 13H4.59A7.875 7.875 0 0 1 4 14c0-4.411 3.589-8 8-8s8 3.589 8 8a7.875 7.875 0 0 1-.59 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.939 12.939a1.53 1.53 0 0 0 0 2.561 1.53 1.53 0 0 0 2.121-.44l3.962-6.038a.034.034 0 0 0 0-.035.033.033 0 0 0-.045-.01l-6.038 3.962z\"},\"child\":[]}]})(props);\n};\nexport function BiTagAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.868 4.504A1 1 0 0 0 17 4H3a1 1 0 0 0-.868 1.496L5.849 12l-3.717 6.504A1 1 0 0 0 3 20h14a1 1 0 0 0 .868-.504l4-7a.998.998 0 0 0 0-.992l-4-7zM16.42 18H4.724l3.145-5.504a.998.998 0 0 0 0-.992L4.724 6H16.42l3.429 6-3.429 6z\"},\"child\":[]}]})(props);\n};\nexport function BiTag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H8.515a2 2 0 0 0-1.627.838l-4.701 6.581a.997.997 0 0 0 0 1.162l4.701 6.581A2 2 0 0 0 8.515 20H20c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm0 14H8.515l-4.286-6 4.286-6H20v12z\"},\"child\":[]}]})(props);\n};\nexport function BiTargetLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4.069V2h-2v2.069A8.008 8.008 0 0 0 4.069 11H2v2h2.069A8.007 8.007 0 0 0 11 19.931V22h2v-2.069A8.007 8.007 0 0 0 19.931 13H22v-2h-2.069A8.008 8.008 0 0 0 13 4.069zM12 18c-3.309 0-6-2.691-6-6s2.691-6 6-6 6 2.691 6 6-2.691 6-6 6z\"},\"child\":[]}]})(props);\n};\nexport function BiTaskX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2h-2a1 1 0 0 0-1-1H8a1 1 0 0 0-1 1H5c-1.103 0-2 .897-2 2v15zM5 5h2v2h10V5h2v15H5V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.292 10.295 12 12.587l-2.292-2.292-1.414 1.414 2.292 2.292-2.292 2.292 1.414 1.414L12 15.415l2.292 2.292 1.414-1.414-2.292-2.292 2.292-2.292z\"},\"child\":[]}]})(props);\n};\nexport function BiTask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2h-2a1 1 0 0 0-1-1H8a1 1 0 0 0-1 1H5c-1.103 0-2 .897-2 2v15c0 1.103.897 2 2 2zM5 5h2v2h10V5h2v15H5V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 13.586-1.793-1.793-1.414 1.414L11 16.414l5.207-5.207-1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiTaxi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.772 10.156-1.368-4.105A2.995 2.995 0 0 0 16.559 4H14V2h-4v2H7.441a2.995 2.995 0 0 0-2.845 2.051l-1.368 4.105A2.003 2.003 0 0 0 2 12v5c0 .753.423 1.402 1.039 1.743-.013.066-.039.126-.039.195V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2h12v2a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2.062c0-.069-.026-.13-.039-.195A1.993 1.993 0 0 0 22 17v-5c0-.829-.508-1.541-1.228-1.844zM4 17v-5h16l.002 5H4zM7.441 6h9.117c.431 0 .813.274.949.684L18.613 10H5.387l1.105-3.316A1 1 0 0 1 7.441 6z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"14.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"14.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiTennisBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 19.081c1.895 1.895 4.405 2.938 7.071 2.938s5.177-1.043 7.071-2.938c3.899-3.899 3.899-10.243 0-14.143C17.177 3.044 14.665 2 12 2S6.823 3.044 4.929 4.938c-3.899 3.899-3.899 10.244 0 14.143zm12.728-1.414a7.969 7.969 0 0 1-3.813 2.129c-.009-1.602.586-3.146 1.691-4.251 1.163-1.163 2.732-1.828 4.277-1.851a7.945 7.945 0 0 1-2.155 3.973zm2.325-5.965c-2.124-.021-4.284.853-5.861 2.429-1.532 1.532-2.327 3.68-2.263 5.881a7.946 7.946 0 0 1-5.516-2.345 7.97 7.97 0 0 1-2.332-5.512c.077.002.154.014.231.014 2.115 0 4.16-.804 5.637-2.28 1.58-1.58 2.457-3.739 2.43-5.873a7.948 7.948 0 0 1 5.349 2.337 7.96 7.96 0 0 1 2.325 5.349zM6.343 6.353a7.968 7.968 0 0 1 3.973-2.169c-.018 1.555-.685 3.124-1.851 4.291-1.104 1.103-2.642 1.696-4.238 1.691a7.929 7.929 0 0 1 2.116-3.813z\"},\"child\":[]}]})(props);\n};\nexport function BiTerminal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h6v2h-6zM6.293 9.707 8.586 12l-2.293 2.293 1.414 1.414L11.414 12 7.707 8.293z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM4 18V6h16l.002 12H4z\"},\"child\":[]}]})(props);\n};\nexport function BiTestTube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13.293 2.707.818.818L3.318 14.318C2.468 15.168 2 16.298 2 17.5s.468 2.332 1.318 3.183C4.169 21.532 5.299 22 6.5 22s2.331-.468 3.182-1.318L20.475 9.889l.818.818 1.414-1.414-8-8-1.414 1.414zm3.182 8.354-2.403-2.404-1.414 1.414 2.403 2.404-1.414 1.415-.99-.99-1.414 1.414.99.99-1.415 1.415-2.403-2.404L7 15.728l2.403 2.404-1.136 1.136c-.945.944-2.59.944-3.535 0C4.26 18.795 4 18.168 4 17.5s.26-1.295.732-1.768L15.525 4.939l3.535 3.535-2.585 2.587z\"},\"child\":[]}]})(props);\n};\nexport function BiText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h2V6h3.252L7.68 18H5v2h8v-2h-2.252L13.32 6H17v2h2V4H5z\"},\"child\":[]}]})(props);\n};\nexport function BiTimeFive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h-2v5.414l3.293 3.293 1.414-1.414L13 11.586z\"},\"child\":[]}]})(props);\n};\nexport function BiTime (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h-2v6h6v-2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiTimer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.145 8.27 1.563-1.563-1.414-1.414L18.586 7c-1.05-.63-2.274-1-3.586-1-3.859 0-7 3.14-7 7s3.141 7 7 7 7-3.14 7-7a6.966 6.966 0 0 0-1.855-4.73zM15 18c-2.757 0-5-2.243-5-5s2.243-5 5-5 5 2.243 5 5-2.243 5-5 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h2v4h-2zm-1-7h4v2h-4zM3 8h4v2H3zm0 8h4v2H3zm-1-4h3.99v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiTired (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14c-3 0-4 3-4 3h8s-1-3-4-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.555 8.832-1.109-1.664-3 2a1.001 1.001 0 0 0 .108 1.727l4 2 .895-1.789-2.459-1.229 1.565-1.045zm-6.557 1.23a1 1 0 0 0-.443-.894l-3-2-1.11 1.664 1.566 1.044-2.459 1.229.895 1.789 4-2a.998.998 0 0 0 .551-.832z\"},\"child\":[]}]})(props);\n};\nexport function BiToggleLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9c-1.628 0-3 1.372-3 3s1.372 3 3 3 3-1.372 3-3-1.372-3-3-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H8c-3.3 0-5.989 2.689-6 6v.016A6.01 6.01 0 0 0 8 18h8a6.01 6.01 0 0 0 6-5.994V12c-.009-3.309-2.699-6-6-6zm0 10H8a4.006 4.006 0 0 1-4-3.99C4.004 9.799 5.798 8 8 8h8c2.202 0 3.996 1.799 4 4.006A4.007 4.007 0 0 1 16 16zm4-3.984.443-.004.557.004h-1z\"},\"child\":[]}]})(props);\n};\nexport function BiToggleRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9c-1.628 0-3 1.372-3 3s1.372 3 3 3 3-1.372 3-3-1.372-3-3-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H8c-3.296 0-5.982 2.682-6 5.986v.042A6.01 6.01 0 0 0 8 18h8c3.309 0 6-2.691 6-6s-2.691-6-6-6zm0 10H8a4.006 4.006 0 0 1-4-3.99C4.004 9.799 5.798 8 8 8h8c2.206 0 4 1.794 4 4s-1.794 4-4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiTone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-1 9H4.069a7.965 7.965 0 0 1 .52-2H11v2zm0 4H4.589a7.965 7.965 0 0 1-.52-2H11v2zm0-10.931V7H5.765A7.996 7.996 0 0 1 11 4.069zM5.765 17H11v2.931A7.996 7.996 0 0 1 5.765 17zM13 19.931V4.069c3.939.495 7 3.858 7 7.931s-3.061 7.436-7 7.931z\"},\"child\":[]}]})(props);\n};\nexport function BiTrafficCone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.943 2.667A1 1 0 0 0 13 2h-2a1 1 0 0 0-.943.667L4.292 19H2v2h20v-2h-2.292L13.943 2.667zM15.47 13H8.53l1.06-3h4.82l1.06 3zm-3.763-9h.586l1.412 4h-3.41l1.412-4zM7.825 15h8.35l1.412 4H6.413l1.412-4z\"},\"child\":[]}]})(props);\n};\nexport function BiTrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"14.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"14.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.87 3.34A3.55 3.55 0 0 0 16.38 2H7.62a3.47 3.47 0 0 0-2.5 1.35A4.32 4.32 0 0 0 4 6v12a1 1 0 0 0 1 1h2l-2 3h2.32L8 21h8l.68 1H19l-2-3h2a1 1 0 0 0 1-1V6a4.15 4.15 0 0 0-1.13-2.66zM7.62 4h8.72a1.77 1.77 0 0 1 1 .66 3.25 3.25 0 0 1 .25.34H6.39a2.3 2.3 0 0 1 .25-.35A1.65 1.65 0 0 1 7.62 4zM6 8V7h12v3H6zm12 9H6v-5h12z\"},\"child\":[]}]})(props);\n};\nexport function BiTransferAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.924 10.383a1 1 0 0 0-.217-1.09l-5-5-1.414 1.414L16.586 9H4v2h15a1 1 0 0 0 .924-.617zM4.076 13.617a1 1 0 0 0 .217 1.09l5 5 1.414-1.414L7.414 15H20v-2H5a.999.999 0 0 0-.924.617z\"},\"child\":[]}]})(props);\n};\nexport function BiTransfer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15 12 5-4-5-4v2.999H2v2h13zm7 3H9v-3l-5 4 5 4v-3h13z\"},\"child\":[]}]})(props);\n};\nexport function BiTrashAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2H9c-1.103 0-2 .897-2 2v2H3v2h2v12c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2V8h2V6h-4V4c0-1.103-.897-2-2-2zM9 4h6v2H9V4zm8 16H7V8h10v12z\"},\"child\":[]}]})(props);\n};\nexport function BiTrash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2V8h2V6h-4V4a2 2 0 0 0-2-2H9a2 2 0 0 0-2 2v2H3v2h2zM9 4h6v2H9zM8 8h9v12H7V8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h2v8H9zm4 0h2v8h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiTrendingDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14 9.586-4 4-6.293-6.293-1.414 1.414L10 16.414l4-4 4.293 4.293L16 19h6v-6l-2.293 2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiTrendingUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10 10.414 4 4 5.707-5.707L22 11V5h-6l2.293 2.293L14 11.586l-4-4-7.707 7.707 1.414 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiTrim (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h3v3c0 1.103.897 2 2 2h9c1.103 0 2-.897 2-2v-9c0-1.103-.897-2-2-2h-3V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2zm14-6-.003 9H10v-3h4c1.103 0 2-.897 2-2v-4h3zM5 10h5V5h4l-.003 9H5v-4z\"},\"child\":[]}]})(props);\n};\nexport function BiTrip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.844 20H6.5C5.121 20 4 18.879 4 17.5S5.121 15 6.5 15h7c1.93 0 3.5-1.57 3.5-3.5S15.43 8 13.5 8H8.639a9.812 9.812 0 0 1-1.354 2H13.5c.827 0 1.5.673 1.5 1.5s-.673 1.5-1.5 1.5h-7C4.019 13 2 15.019 2 17.5S4.019 22 6.5 22h9.593a10.415 10.415 0 0 1-1.249-2zM5 2C3.346 2 2 3.346 2 5c0 3.188 3 5 3 5s3-1.813 3-5c0-1.654-1.346-3-3-3zm0 4.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 5 6.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14c-1.654 0-3 1.346-3 3 0 3.188 3 5 3 5s3-1.813 3-5c0-1.654-1.346-3-3-3zm0 4.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 19 18.5z\"},\"child\":[]}]})(props);\n};\nexport function BiTrophy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4h-3V3a1 1 0 0 0-1-1H7a1 1 0 0 0-1 1v1H3a1 1 0 0 0-1 1v3c0 4.31 1.799 6.91 4.819 7.012A6.001 6.001 0 0 0 11 17.91V20H9v2h6v-2h-2v-2.09a6.01 6.01 0 0 0 4.181-2.898C20.201 14.91 22 12.31 22 8V5a1 1 0 0 0-1-1zM4 8V6h2v6.83C4.216 12.078 4 9.299 4 8zm8 8c-2.206 0-4-1.794-4-4V4h8v8c0 2.206-1.794 4-4 4zm6-3.17V6h2v2c0 1.299-.216 4.078-2 4.83z\"},\"child\":[]}]})(props);\n};\nexport function BiTv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-5.586l2.293-2.293-1.414-1.414L12 5.586 8.707 2.293 7.293 3.707 9.586 6H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zM4 19V8h16l.002 11H4z\"},\"child\":[]}]})(props);\n};\nexport function BiUnderline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h14v2H5zM6 4v6c0 3.309 2.691 6 6 6s6-2.691 6-6V4h-2v6c0 2.206-1.794 4-4 4s-4-1.794-4-4V4H6z\"},\"child\":[]}]})(props);\n};\nexport function BiUndo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6c1.654 0 3 1.346 3 3s-1.346 3-3 3h-3v2h3c2.757 0 5-2.243 5-5s-2.243-5-5-5H9V5L4 9l5 4v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiUnite (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16a1 1 0 0 0 1-1V9a1 1 0 0 0-1-1H9a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h1V8a2 2 0 0 1 2-2h8V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v9a2 2 0 0 0 2 2zm3 3a2 2 0 0 0 2 2h9a2 2 0 0 0 2-2v-9a2 2 0 0 0-2-2h-1v8a2 2 0 0 1-2 2H8v1z\"},\"child\":[]}]})(props);\n};\nexport function BiUniversalAccess (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"7.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm0 18a8 8 0 1 1 8-8 8 8 0 0 1-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10.5 16 9l-3 1h-2L8 9l-.5 1.5 3 1V13L9 17.25l1.5.75 1.25-3.5h.5L13.5 18l1.5-.75L13.5 13v-1.5l3-1z\"},\"child\":[]}]})(props);\n};\nexport function BiUnlink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.949 14.121 19.071 12a5.008 5.008 0 0 0 0-7.071 5.006 5.006 0 0 0-7.071 0l-.707.707 1.414 1.414.707-.707a3.007 3.007 0 0 1 4.243 0 3.005 3.005 0 0 1 0 4.243l-2.122 2.121a2.723 2.723 0 0 1-.844.57L13.414 12l1.414-1.414-.707-.707a4.965 4.965 0 0 0-3.535-1.465c-.235 0-.464.032-.691.066L3.707 2.293 2.293 3.707l18 18 1.414-1.414-5.536-5.536c.277-.184.538-.396.778-.636zm-6.363 3.536a3.007 3.007 0 0 1-4.243 0 3.005 3.005 0 0 1 0-4.243l1.476-1.475-1.414-1.414L4.929 12a5.008 5.008 0 0 0 0 7.071 4.983 4.983 0 0 0 3.535 1.462A4.982 4.982 0 0 0 12 19.071l.707-.707-1.414-1.414-.707.707z\"},\"child\":[]}]})(props);\n};\nexport function BiUpArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8.414V18h2V8.414l4.293 4.293 1.414-1.414L12 4.586l-6.707 6.707 1.414 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiUpArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.001 1.993C6.486 1.994 2 6.48 2 11.994c.001 5.514 4.487 10 10 10 5.515 0 10.001-4.486 10.001-10s-4.486-10-10-10.001zM12 19.994c-4.41 0-7.999-3.589-8-8 0-4.411 3.589-8 8.001-8.001 4.411.001 8 3.59 8 8.001s-3.589 8-8.001 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12.001 8.001-4.005 4.005h3.005V16h2v-3.994h3.004z\"},\"child\":[]}]})(props);\n};\nexport function BiUpArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h18a1.002 1.002 0 0 0 .823-1.569l-9-13c-.373-.539-1.271-.539-1.645 0l-9 13A.999.999 0 0 0 3 19zm9-12.243L19.092 17H4.908L12 6.757z\"},\"child\":[]}]})(props);\n};\nexport function BiUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h2V9h3l-4-5-4 5h3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18H4v-7H2v7c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2v-7h-2v7z\"},\"child\":[]}]})(props);\n};\nexport function BiUpsideDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.829 9.172c.181.181.346.38.488.592l1.658-1.119a6.063 6.063 0 0 0-1.621-1.62 5.963 5.963 0 0 0-2.148-.903 5.985 5.985 0 0 0-5.448 1.634 5.993 5.993 0 0 0-.733.889l1.657 1.119a4.017 4.017 0 0 1 2.51-1.683 3.989 3.989 0 0 1 3.637 1.091z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"13.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.507\",\"cy\":\"13.507\",\"r\":\"1.493\"},\"child\":[]}]})(props);\n};\nexport function BiUpvote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.781 2.375c-.381-.475-1.181-.475-1.562 0l-8 10A1.001 1.001 0 0 0 4 14h4v7a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-7h4a1.001 1.001 0 0 0 .781-1.625l-8-10zM15 12h-1v8h-4v-8H6.081L12 4.601 17.919 12H15z\"},\"child\":[]}]})(props);\n};\nexport function BiUsb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10h1v2h-4V6h2l-3-4-3 4h2v8H7v-2.277c.596-.347 1-.985 1-1.723a2 2 0 0 0-4 0c0 .738.404 1.376 1 1.723V14c0 1.103.897 2 2 2h4v2.277A1.99 1.99 0 0 0 10 20a2 2 0 0 0 4 0c0-.738-.404-1.376-1-1.723V14h4c1.103 0 2-.897 2-2v-2h1V6h-4v4z\"},\"child\":[]}]})(props);\n};\nexport function BiUserCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.29 8.29 16 12.58l-1.3-1.29-1.41 1.42 2.7 2.7 5.72-5.7zM4 8a3.91 3.91 0 0 0 4 4 3.91 3.91 0 0 0 4-4 3.91 3.91 0 0 0-4-4 3.91 3.91 0 0 0-4 4zm6 0a1.91 1.91 0 0 1-2 2 1.91 1.91 0 0 1-2-2 1.91 1.91 0 0 1 2-2 1.91 1.91 0 0 1 2 2zM4 18a3 3 0 0 1 3-3h2a3 3 0 0 1 3 3v1h2v-1a5 5 0 0 0-5-5H7a5 5 0 0 0-5 5v1h2z\"},\"child\":[]}]})(props);\n};\nexport function BiUserCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2A10.13 10.13 0 0 0 2 12a10 10 0 0 0 4 7.92V20h.1a9.7 9.7 0 0 0 11.8 0h.1v-.08A10 10 0 0 0 22 12 10.13 10.13 0 0 0 12 2zM8.07 18.93A3 3 0 0 1 11 16.57h2a3 3 0 0 1 2.93 2.36 7.75 7.75 0 0 1-7.86 0zm9.54-1.29A5 5 0 0 0 13 14.57h-2a5 5 0 0 0-4.61 3.07A8 8 0 0 1 4 12a8.1 8.1 0 0 1 8-8 8.1 8.1 0 0 1 8 8 8 8 0 0 1-2.39 5.64z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a3.91 3.91 0 0 0-4 4 3.91 3.91 0 0 0 4 4 3.91 3.91 0 0 0 4-4 3.91 3.91 0 0 0-4-4zm0 6a1.91 1.91 0 0 1-2-2 1.91 1.91 0 0 1 2-2 1.91 1.91 0 0 1 2 2 1.91 1.91 0 0 1-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiUserMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h8v2h-8zM8 4a3.91 3.91 0 0 0-4 4 3.91 3.91 0 0 0 4 4 3.91 3.91 0 0 0 4-4 3.91 3.91 0 0 0-4-4zm0 6a1.91 1.91 0 0 1-2-2 1.91 1.91 0 0 1 2-2 1.91 1.91 0 0 1 2 2 1.91 1.91 0 0 1-2 2zm-4 8a3 3 0 0 1 3-3h2a3 3 0 0 1 3 3v1h2v-1a5 5 0 0 0-5-5H7a5 5 0 0 0-5 5v1h2z\"},\"child\":[]}]})(props);\n};\nexport function BiUserPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c1.151 0 2-.848 2-2s-.849-2-2-2c-1.15 0-2 .848-2 2s.85 2 2 2zm0 1c-2.209 0-4 1.612-4 3.6v.386h8V14.6c0-1.988-1.791-3.6-4-3.6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H5c-1.103 0-2 .897-2 2v13c0 1.103.897 2 2 2h4l3 3 3-3h4c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-5 15-2 2-2-2H5V4h14l.002 13H14z\"},\"child\":[]}]})(props);\n};\nexport function BiUserPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8h-2v3h-3v2h3v3h2v-3h3v-2h-3zM4 8a3.91 3.91 0 0 0 4 4 3.91 3.91 0 0 0 4-4 3.91 3.91 0 0 0-4-4 3.91 3.91 0 0 0-4 4zm6 0a1.91 1.91 0 0 1-2 2 1.91 1.91 0 0 1-2-2 1.91 1.91 0 0 1 2-2 1.91 1.91 0 0 1 2 2zM4 18a3 3 0 0 1 3-3h2a3 3 0 0 1 3 3v1h2v-1a5 5 0 0 0-5-5H7a5 5 0 0 0-5 5v1h2z\"},\"child\":[]}]})(props);\n};\nexport function BiUserVoice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12c2.28 0 4-1.72 4-4s-1.72-4-4-4-4 1.72-4 4 1.72 4 4 4zm0-6c1.178 0 2 .822 2 2s-.822 2-2 2-2-.822-2-2 .822-2 2-2zm1 7H7c-2.757 0-5 2.243-5 5v1h2v-1c0-1.654 1.346-3 3-3h2c1.654 0 3 1.346 3 3v1h2v-1c0-2.757-2.243-5-5-5zm9.364-10.364L16.95 4.05C18.271 5.373 19 7.131 19 9s-.729 3.627-2.05 4.95l1.414 1.414C20.064 13.663 21 11.403 21 9s-.936-4.663-2.636-6.364z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.535 5.464 14.121 6.88C14.688 7.445 15 8.198 15 9s-.312 1.555-.879 2.12l1.414 1.416C16.479 11.592 17 10.337 17 9s-.521-2.592-1.465-3.536z\"},\"child\":[]}]})(props);\n};\nexport function BiUserX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15.71 15.71 2.29-2.3 2.29 2.3 1.42-1.42-2.3-2.29 2.3-2.29-1.42-1.42-2.29 2.3-2.29-2.3-1.42 1.42L16.58 12l-2.29 2.29zM12 8a3.91 3.91 0 0 0-4-4 3.91 3.91 0 0 0-4 4 3.91 3.91 0 0 0 4 4 3.91 3.91 0 0 0 4-4zM6 8a1.91 1.91 0 0 1 2-2 1.91 1.91 0 0 1 2 2 1.91 1.91 0 0 1-2 2 1.91 1.91 0 0 1-2-2zM4 18a3 3 0 0 1 3-3h2a3 3 0 0 1 3 3v1h2v-1a5 5 0 0 0-5-5H7a5 5 0 0 0-5 5v1h2z\"},\"child\":[]}]})(props);\n};\nexport function BiUser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a5 5 0 1 0 5 5 5 5 0 0 0-5-5zm0 8a3 3 0 1 1 3-3 3 3 0 0 1-3 3zm9 11v-1a7 7 0 0 0-7-7h-4a7 7 0 0 0-7 7v1h2v-1a5 5 0 0 1 5-5h4a5 5 0 0 1 5 5v1z\"},\"child\":[]}]})(props);\n};\nexport function BiVector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.277 8c.347.596.985 1 1.723 1a2 2 0 0 0 0-4c-.738 0-1.376.404-1.723 1H16V4a1 1 0 0 0-1-1H9a1 1 0 0 0-1 1v2H5.723C5.376 5.404 4.738 5 4 5a2 2 0 0 0 0 4c.738 0 1.376-.404 1.723-1H8v.369C5.133 9.84 4.318 12.534 4.091 14H3a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-4a1 1 0 0 0-1-1h-.877c.197-.959.718-2.406 2.085-3.418A.984.984 0 0 0 9 11h6a.98.98 0 0 0 .792-.419c1.373 1.013 1.895 2.458 2.089 3.419H17a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-4a1 1 0 0 0-1-1h-1.092c-.227-1.466-1.042-4.161-3.908-5.632V8h2.277zM6 18H4v-2h2v2zm14 0h-2v-2h2v2zm-6-9h-4V5h4v4z\"},\"child\":[]}]})(props);\n};\nexport function BiVerticalBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19h3v2h-3zM13 19h3v2h-3zM8 19h3v2H8zM3 19h3v2H3zM13 5h-2v8H8l4 4 4-4h-3V5z\"},\"child\":[]}]})(props);\n};\nexport function BiVerticalCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5V2h-2v3H8l4 4 4-4zm0 17v-3h3l-4-4-4 4h3v3zM3 11h3v2H3zm5 0h3v2H8zm5 0h3v2h-3zm5 0h3v2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiVerticalTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 7-4 4h3v8h2v-8h3l-4-4zM3 3h3v2H3zM8 3h3v2H8zM13 3h3v2h-3zM18 3h3v2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiVial (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.95 3.564.708.707-9.193 9.193C2.521 14.408 2 15.664 2 17s.521 2.592 1.465 3.535C4.408 21.479 5.664 22 7 22s2.592-.521 3.535-1.465l9.193-9.193.707.708 1.414-1.414-8.485-8.486-1.414 1.414zM9.121 19.121c-1.133 1.133-3.109 1.133-4.242 0C4.313 18.555 4 17.802 4 17s.313-1.555.879-2.121L5.758 14h8.484l-5.121 5.121zM16.242 12H7.758l6.314-6.314 4.242 4.242L16.242 12z\"},\"child\":[]}]})(props);\n};\nexport function BiVideoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7c0-1.103-.897-2-2-2H6.414L3.707 2.293 2.293 3.707l18 18 1.414-1.414L18 16.586v-2.919L22 17V7l-4 3.333V7zm-2 7.586L8.414 7H16v7.586zM4 19h10.879l-2-2H4V8.121L2.145 6.265A1.977 1.977 0 0 0 2 7v10c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiVideoPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8H9v3H6v2h3v3h2v-3h3v-2h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-3.333L22 17V7l-4 3.333V7zm-1.999 10H4V7h12v5l.001 5z\"},\"child\":[]}]})(props);\n};\nexport function BiVideoRecording (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9c0-1.103-.897-2-2-2h-1.434l-2.418-4.029A2.008 2.008 0 0 0 10.434 2H5v2h5.434l1.8 3H4c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-3l4 2v-7l-4 2V9zm-1.998 9H4V9h12l.001 4H16v1l.001.001.001 3.999z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h6v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiVideo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-3.333L22 17V7l-4 3.333V7zm-1.998 10H4V7h12l.001 4.999L16 12l.001.001.001 4.999z\"},\"child\":[]}]})(props);\n};\nexport function BiVoicemail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 8a4.505 4.505 0 0 0-4.5 4.5c0 .925.281 1.784.762 2.5h-3.523c.48-.716.761-1.575.761-2.5C11 10.019 8.981 8 6.5 8S2 10.019 2 12.5 4.019 17 6.5 17c.171 0 .334-.032.5-.051V17h11v-.051c2.244-.252 4-2.139 4-4.449 0-2.481-2.019-4.5-4.5-4.5zM4 12.5C4 11.121 5.121 10 6.5 10S9 11.121 9 12.5 7.879 15 6.5 15 4 13.879 4 12.5zM17.5 15c-1.379 0-2.5-1.121-2.5-2.5s1.121-2.5 2.5-2.5 2.5 1.121 2.5 2.5-1.121 2.5-2.5 2.5z\"},\"child\":[]}]})(props);\n};\nexport function BiVolumeFull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21c3.527-1.547 5.999-4.909 5.999-9S19.527 4.547 16 3v2c2.387 1.386 3.999 4.047 3.999 7S18.387 17.614 16 19v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v10c1.225-1.1 2-3.229 2-5s-.775-3.9-2-5zM4 17h2.697l5.748 3.832a1.004 1.004 0 0 0 1.027.05A1 1 0 0 0 14 20V4a1 1 0 0 0-1.554-.832L6.697 7H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2zm0-8h3c.033 0 .061-.016.093-.019a1.027 1.027 0 0 0 .38-.116c.026-.015.057-.017.082-.033L12 5.868v12.264l-4.445-2.964c-.025-.017-.056-.02-.082-.033a.986.986 0 0 0-.382-.116C7.059 15.016 7.032 15 7 15H4V9z\"},\"child\":[]}]})(props);\n};\nexport function BiVolumeLow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h2.697l5.748 3.832a1.004 1.004 0 0 0 1.027.05A1 1 0 0 0 14 20V4a1 1 0 0 0-1.554-.832L6.697 7H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2zm0-8h3c.033 0 .061-.016.093-.019a1.027 1.027 0 0 0 .379-.116c.026-.014.057-.017.082-.033L12 5.868v12.264l-4.445-2.964c-.025-.018-.056-.02-.082-.033a.977.977 0 0 0-.382-.116C7.059 15.016 7.032 15 7 15H4V9zm12-2v10c1.225-1.1 2-3.229 2-5s-.775-3.9-2-5z\"},\"child\":[]}]})(props);\n};\nexport function BiVolumeMute (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 20.293-2.023-2.023A9.566 9.566 0 0 0 21.999 12c0-4.091-2.472-7.453-5.999-9v2c2.387 1.386 3.999 4.047 3.999 7a8.113 8.113 0 0 1-1.672 4.913l-1.285-1.285C17.644 14.536 18 13.19 18 12c0-1.771-.775-3.9-2-5v7.586l-2-2V4a1 1 0 0 0-1.554-.832L7.727 6.313l-4.02-4.02-1.414 1.414 18 18 1.414-1.414zM12 5.868v4.718L9.169 7.755 12 5.868zM4 17h2.697l5.748 3.832a1.004 1.004 0 0 0 1.027.05A1 1 0 0 0 14 20v-1.879l-2-2v2.011l-4.445-2.964c-.025-.017-.056-.02-.082-.033a.986.986 0 0 0-.382-.116C7.059 15.016 7.032 15 7 15H4V9h.879L3.102 7.223A1.995 1.995 0 0 0 2 9v6c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiVolume (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h2.697l5.748 3.832a1.004 1.004 0 0 0 1.027.05A1 1 0 0 0 14 20V4a1 1 0 0 0-1.554-.832L6.697 7H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2zm0-8h3c.033 0 .061-.016.093-.019a1.027 1.027 0 0 0 .379-.116c.026-.014.057-.017.082-.033L12 5.868v12.264l-4.445-2.964c-.025-.018-.056-.02-.082-.033a.977.977 0 0 0-.382-.116C7.059 15.016 7.032 15 7 15H4V9z\"},\"child\":[]}]})(props);\n};\nexport function BiWalk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"13\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.978 12.27c.245.368.611.647 1.031.787l2.675.892.633-1.896-2.675-.892-1.663-2.495a2.016 2.016 0 0 0-.769-.679l-1.434-.717a1.989 1.989 0 0 0-1.378-.149l-3.193.797a2.002 2.002 0 0 0-1.306 1.046l-1.794 3.589 1.789.895 1.794-3.589 2.223-.556-1.804 8.346-3.674 2.527 1.133 1.648 3.675-2.528c.421-.29.713-.725.82-1.225l.517-2.388 2.517 1.888.925 4.625 1.961-.393-.925-4.627a2 2 0 0 0-.762-1.206l-2.171-1.628.647-3.885 1.208 1.813z\"},\"child\":[]}]})(props);\n};\nexport function BiWalletAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H5C3.346 3 2 4.346 2 6v12c0 1.654 1.346 3 3 3h15c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM5 19c-.552 0-1-.449-1-1V6c0-.551.448-1 1-1h15v3h-6c-1.103 0-2 .897-2 2v4c0 1.103.897 2 2 2h6.001v3H5zm15-9v4h-6v-4h6z\"},\"child\":[]}]})(props);\n};\nexport function BiWallet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h2v4h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7V5c0-1.103-.897-2-2-2H5C3.346 3 2 4.346 2 6v12c0 2.201 1.794 3 3 3h15c1.103 0 2-.897 2-2V9c0-1.103-.897-2-2-2zM5 5h13v2H5a1.001 1.001 0 0 1 0-2zm15 14H5.012C4.55 18.988 4 18.805 4 18V8.815c.314.113.647.185 1 .185h15v10z\"},\"child\":[]}]})(props);\n};\nexport function BiWater (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.996 9c1.413 0 2.16-.747 2.705-1.293.49-.49.731-.707 1.292-.707s.802.217 1.292.707C11.83 8.253 12.577 9 13.991 9c1.415 0 2.163-.747 2.71-1.293.491-.49.732-.707 1.295-.707s.804.217 1.295.707C19.837 8.253 20.585 9 22 9V7c-.563 0-.804-.217-1.295-.707C20.159 5.747 19.411 5 17.996 5s-2.162.747-2.709 1.292c-.491.491-.731.708-1.296.708-.562 0-.802-.217-1.292-.707C12.154 5.747 11.407 5 9.993 5s-2.161.747-2.706 1.293c-.49.49-.73.707-1.291.707s-.801-.217-1.291-.707C4.16 5.747 3.413 5 2 5v2c.561 0 .801.217 1.291.707C3.836 8.253 4.583 9 5.996 9zm0 5c1.413 0 2.16-.747 2.705-1.293.49-.49.731-.707 1.292-.707s.802.217 1.292.707c.545.546 1.292 1.293 2.706 1.293 1.415 0 2.163-.747 2.71-1.293.491-.49.732-.707 1.295-.707s.804.217 1.295.707C19.837 13.253 20.585 14 22 14v-2c-.563 0-.804-.217-1.295-.707-.546-.546-1.294-1.293-2.709-1.293s-2.162.747-2.709 1.292c-.491.491-.731.708-1.296.708-.562 0-.802-.217-1.292-.707C12.154 10.747 11.407 10 9.993 10s-2.161.747-2.706 1.293c-.49.49-.73.707-1.291.707s-.801-.217-1.291-.707C4.16 10.747 3.413 10 2 10v2c.561 0 .801.217 1.291.707C3.836 13.253 4.583 14 5.996 14zm0 5c1.413 0 2.16-.747 2.705-1.293.49-.49.731-.707 1.292-.707s.802.217 1.292.707c.545.546 1.292 1.293 2.706 1.293 1.415 0 2.163-.747 2.71-1.293.491-.49.732-.707 1.295-.707s.804.217 1.295.707C19.837 18.253 20.585 19 22 19v-2c-.563 0-.804-.217-1.295-.707-.546-.546-1.294-1.293-2.709-1.293s-2.162.747-2.709 1.292c-.491.491-.731.708-1.296.708-.562 0-.802-.217-1.292-.707C12.154 15.747 11.407 15 9.993 15s-2.161.747-2.706 1.293c-.49.49-.73.707-1.291.707s-.801-.217-1.291-.707C4.16 15.747 3.413 15 2 15v2c.561 0 .801.217 1.291.707C3.836 18.253 4.583 19 5.996 19z\"},\"child\":[]}]})(props);\n};\nexport function BiWebcam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-4.963 0-9 4.038-9 9 0 3.328 1.82 6.232 4.513 7.79l-2.067 1.378A1 1 0 0 0 6 22h12a1 1 0 0 0 .555-1.832l-2.067-1.378C19.18 17.232 21 14.328 21 11c0-4.962-4.037-9-9-9zm0 16c-3.859 0-7-3.141-7-7 0-3.86 3.141-7 7-7s7 3.14 7 7c0 3.859-3.141 7-7 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c-2.757 0-5 2.243-5 5s2.243 5 5 5 5-2.243 5-5-2.243-5-5-5zm0 8c-1.654 0-3-1.346-3-3s1.346-3 3-3 3 1.346 3 3-1.346 3-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiWifi0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiWifi1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.671 14.307C16.184 12.819 14.17 12 12 12s-4.184.819-5.671 2.307l1.414 1.414c1.11-1.11 2.621-1.722 4.257-1.722 1.636.001 3.147.612 4.257 1.722l1.414-1.414z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiWifi2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.671 14.307C16.184 12.819 14.17 12 12 12s-4.184.819-5.671 2.307l1.414 1.414c1.11-1.11 2.621-1.722 4.257-1.722 1.636.001 3.147.612 4.257 1.722l1.414-1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.437 11.292c-4.572-4.573-12.301-4.573-16.873 0l1.414 1.414c3.807-3.807 10.238-3.807 14.045 0l1.414-1.414z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiWifiOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m1.293 8.395 1.414 1.414c.504-.504 1.052-.95 1.622-1.359L2.9 7.021c-.56.422-1.104.87-1.607 1.374zM6.474 5.06 3.707 2.293 2.293 3.707l18 18 1.414-1.414-5.012-5.012.976-.975a7.86 7.86 0 0 0-4.099-2.148L11.294 9.88c2.789-.191 5.649.748 7.729 2.827l1.414-1.414c-2.898-2.899-7.061-3.936-10.888-3.158L8.024 6.61A13.366 13.366 0 0 1 12 6c3.537 0 6.837 1.353 9.293 3.809l1.414-1.414C19.874 5.561 16.071 4 12 4a15.198 15.198 0 0 0-5.526 1.06zm-2.911 6.233 1.414 1.414a9.563 9.563 0 0 1 2.058-1.551L5.576 9.697c-.717.451-1.395.979-2.013 1.596zm2.766 3.014 1.414 1.414c.692-.692 1.535-1.151 2.429-1.428l-1.557-1.557a7.76 7.76 0 0 0-2.286 1.571zm7.66 3.803-2.1-2.1a1.996 1.996 0 1 0 2.1 2.1z\"},\"child\":[]}]})(props);\n};\nexport function BiWifi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c3.537 0 6.837 1.353 9.293 3.809l1.414-1.414C19.874 5.561 16.071 4 12 4c-4.071.001-7.874 1.561-10.707 4.395l1.414 1.414C5.163 7.353 8.463 6 12 6zm5.671 8.307c-3.074-3.074-8.268-3.074-11.342 0l1.414 1.414c2.307-2.307 6.207-2.307 8.514 0l1.414-1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.437 11.293c-4.572-4.574-12.301-4.574-16.873 0l1.414 1.414c3.807-3.807 10.238-3.807 14.045 0l1.414-1.414z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiWind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5.5C13 3.57 11.43 2 9.5 2 7.466 2 6.25 3.525 6.25 5h2c0-.415.388-1 1.25-1 .827 0 1.5.673 1.5 1.5S10.327 7 9.5 7H2v2h7.5C11.43 9 13 7.43 13 5.5zm2.5 9.5H8v2h7.5c.827 0 1.5.673 1.5 1.5s-.673 1.5-1.5 1.5c-.862 0-1.25-.585-1.25-1h-2c0 1.475 1.216 3 3.25 3 1.93 0 3.5-1.57 3.5-3.5S17.43 15 15.5 15z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5c-2.206 0-4 1.794-4 4h2c0-1.103.897-2 2-2s2 .897 2 2-.897 2-2 2H2v2h16c2.206 0 4-1.794 4-4s-1.794-4-4-4zM2 15h4v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiWindowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm0 2 .001 4H4V5h16zM4 19v-8h16.001l.001 8H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6h2v2h-2zm3 0h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiWindowClose (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM4 19V7h16l.001 12H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.707 10.707-1.414-1.414L12 11.586 9.707 9.293l-1.414 1.414L10.586 13l-2.293 2.293 1.414 1.414L12 14.414l2.293 2.293 1.414-1.414L13.414 13z\"},\"child\":[]}]})(props);\n};\nexport function BiWindowOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h5v-2H4V7h16v12h-5v2h5c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21v-5h3l-4-5-4 5h3v5z\"},\"child\":[]}]})(props);\n};\nexport function BiWindow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm0-2V7h16l.001 12H4z\"},\"child\":[]}]})(props);\n};\nexport function BiWindows (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V9c0-1.103-.897-2-2-2zM4 19v-8h12V9l.002 10H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 5c0-1.103-.897-2-2-2H7c-1.103 0-2 .897-2 2h13.001c1.101 0 1.996.895 1.999 1.994L20.002 15H20v2c1.103 0 2-.897 2-2V8.007L22.001 8V6L22 5.99V5z\"},\"child\":[]}]})(props);\n};\nexport function BiWine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.713 12.123c.264-.952.312-2.03.143-3.206l-.866-6.059A1 1 0 0 0 18 2H6a1 1 0 0 0-.99.858l-.865 6.058c-.169 1.177-.121 2.255.142 3.206.864 3.134 3.551 5.392 6.713 5.794V20H9v2h6v-2h-2v-2.084c3.162-.402 5.849-2.66 6.713-5.793zM17.133 4l.57 4H6.296l.571-4h10.266zM6.215 11.59c-.132-.474-.181-1.009-.159-1.59h11.889c.021.581-.028 1.116-.159 1.591A6.021 6.021 0 0 1 12 16a6.019 6.019 0 0 1-5.785-4.41z\"},\"child\":[]}]})(props);\n};\nexport function BiWinkSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8s3.589-8 8-8 8 3.589 8 8-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.828 14.828a3.988 3.988 0 0 1-2.02 1.09 4.108 4.108 0 0 1-1.616 0 4.103 4.103 0 0 1-.749-.232 4.161 4.161 0 0 1-.679-.368 4.115 4.115 0 0 1-1.082-1.082l-1.658 1.117c.215.319.462.619.733.889a5.991 5.991 0 0 0 8.485.002c.272-.271.52-.571.734-.891l-1.658-1.117c-.143.211-.307.41-.49.592z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"10.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 10c-2 0-2.5 2-2.5 2h5s-.501-2-2.5-2z\"},\"child\":[]}]})(props);\n};\nexport function BiWinkTongue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 9c-2 0-2.5 2-2.5 2h5s-.501-2-2.5-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-2 16v-3h4v3c0 1.103-.897 2-2 2s-2-.897-2-2zm5.856 1.005c.085-.323.144-.656.144-1.005v-1.499C17.589 15.028 18 13 18 13H6s.412 2.028 2 3.501V18c0 .349.059.682.144 1.005A8.005 8.005 0 0 1 4 12c0-4.411 3.589-8 8-8s8 3.589 8 8a8.005 8.005 0 0 1-4.144 7.005z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"9.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiWon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.758 5H19.68l-.844 3h-4.893l-.899-3h-2.088l-.899 3H5.164L4.32 5H2.242l.844 3H2v2h1.648l.563 2H2v2h2.773l1.688 6h2.083l1.8-6h3.313l1.8 6h2.083l1.688-6H22v-2h-2.211l.563-2H22V8h-1.086l.844-3zM5.727 10h3.729l-.6 2H6.289l-.562-2zm1.804 6.417L6.852 14h1.404l-.725 2.417zM10.944 12l.6-2h.912l.6 2h-2.112zm5.525 4.417L15.744 14h1.404l-.679 2.417zM17.711 12h-2.567l-.6-2h3.729l-.562 2z\"},\"child\":[]}]})(props);\n};\nexport function BiWorld (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM4 12c0-.899.156-1.762.431-2.569L6 11l2 2v2l2 2 1 1v1.931C7.061 19.436 4 16.072 4 12zm14.33 4.873C17.677 16.347 16.687 16 16 16v-1a2 2 0 0 0-2-2h-4v-3a2 2 0 0 0 2-2V7h1a2 2 0 0 0 2-2v-.411C17.928 5.778 20 8.65 20 12a7.947 7.947 0 0 1-1.67 4.873z\"},\"child\":[]}]})(props);\n};\nexport function BiWrench (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.122 21c.378.378.88.586 1.414.586S7.572 21.378 7.95 21l4.336-4.336a7.495 7.495 0 0 0 2.217.333 7.446 7.446 0 0 0 5.302-2.195 7.484 7.484 0 0 0 1.632-8.158l-.57-1.388-4.244 4.243-2.121-2.122 4.243-4.243-1.389-.571A7.478 7.478 0 0 0 14.499 2c-2.003 0-3.886.78-5.301 2.196a7.479 7.479 0 0 0-1.862 7.518L3 16.05a2.001 2.001 0 0 0 0 2.828L5.122 21zm4.548-8.791-.254-.616a5.486 5.486 0 0 1 1.196-5.983 5.46 5.46 0 0 1 4.413-1.585l-3.353 3.353 4.949 4.95 3.355-3.355a5.49 5.49 0 0 1-1.587 4.416c-1.55 1.55-3.964 2.027-5.984 1.196l-.615-.255-5.254 5.256h.001l-.001 1v-1l-2.122-2.122 5.256-5.255z\"},\"child\":[]}]})(props);\n};\nexport function BiXCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 16.242 12 13.414l2.828 2.828 1.414-1.414L13.414 12l2.828-2.828-1.414-1.414L12 10.586 9.172 7.758 7.758 9.172 10.586 12l-2.828 2.828z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.514 0 10-4.486 10-10S17.514 2 12 2 2 6.486 2 12s4.486 10 10 10zm0-18c4.411 0 8 3.589 8 8s-3.589 8-8 8-8-3.589-8-8 3.589-8 8-8z\"},\"child\":[]}]})(props);\n};\nexport function BiX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16.192 6.344-4.243 4.242-4.242-4.242-1.414 1.414L10.535 12l-4.242 4.242 1.414 1.414 4.242-4.242 4.243 4.242 1.414-1.414L13.364 12l4.242-4.242z\"},\"child\":[]}]})(props);\n};\nexport function BiYen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.2 3.4 12 10.333 6.8 3.4 5.2 4.6 10 11H7v2h4v2H7v2h4v4h2v-4h4v-2h-4v-2h4v-2h-3l4.8-6.4z\"},\"child\":[]}]})(props);\n};\nexport function BiZoomIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6H9v3H6v2h3v3h2v-3h3V9h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2c-4.411 0-8 3.589-8 8s3.589 8 8 8a7.952 7.952 0 0 0 4.897-1.688l4.396 4.396 1.414-1.414-4.396-4.396A7.952 7.952 0 0 0 18 10c0-4.411-3.589-8-8-8zm0 14c-3.309 0-6-2.691-6-6s2.691-6 6-6 6 2.691 6 6-2.691 6-6 6z\"},\"child\":[]}]})(props);\n};\nexport function BiZoomOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h8v2H6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18a7.952 7.952 0 0 0 4.897-1.688l4.396 4.396 1.414-1.414-4.396-4.396A7.952 7.952 0 0 0 18 10c0-4.411-3.589-8-8-8s-8 3.589-8 8 3.589 8 8 8zm0-14c3.309 0 6 2.691 6 6s-2.691 6-6 6-6-2.691-6-6 2.691-6 6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAddToQueue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h12v-2H4V8H2v12c0 1.103.897 2 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-2 9h-3v3h-2v-3h-3V9h3V6h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAdjustAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 19.071c3.833-3.833 3.833-10.31 0-14.143s-10.31-3.833-14.143 0-3.833 10.31 0 14.143 10.31 3.833 14.143 0zM7.051 7.051c2.706-2.707 7.191-2.708 9.898 0l-9.898 9.898c-2.708-2.707-2.71-7.19 0-9.898z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAdjust (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.579 2 2 6.58 2 12s4.579 10 10 10 10-4.58 10-10S17.421 2 12 2zm0 17V5c3.829 0 7 3.169 7 7 0 3.828-3.171 7-7 7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAlarmAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c-4.879 0-9 4.121-9 9s4.121 9 9 9 9-4.121 9-9-4.121-9-9-9zm4 10h-3v3h-2v-3H8v-2h3V9h2v3h3v2zm1.284-10.293 1.412-1.416 3.01 3-1.413 1.417zM5.282 2.294 6.7 3.706l-2.99 3-1.417-1.413z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAlarmExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17.284 3.707 1.412-1.416 3.01 3-1.413 1.417zm-10.586 0-2.99 2.999L2.29 5.294l2.99-3zM12 4c-4.879 0-9 4.121-9 9s4.121 9 9 9 9-4.121 9-9-4.121-9-9-9zm1 14h-2v-2h2v2zm0-4h-2V8h2v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAlarmOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.292 6.708-3.01-3 1.412-1.417 3.01 3zm1.415 13.585-2.287-2.288C20.409 16.563 21 14.837 21 13c0-4.878-4.121-9-9-9-1.838 0-3.563.59-5.006 1.581L5.91 4.496l.788-.79-1.416-1.412-.786.788-.789-.789-1.414 1.414 18 18 1.414-1.414zM17 14h-1.586l-2-2H17v2zm-6-6h2v3.586l-2-2V8zm1 14c1.658 0 3.224-.485 4.574-1.305L4.305 8.426A8.794 8.794 0 0 0 3 13c0 4.878 4.122 9 9 9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAlarmSnooze (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17.284 3.707 1.412-1.416 3.01 3-1.413 1.417zm-10.586 0-2.99 2.999L2.29 5.294l2.99-3zM12 4c-4.878 0-9 4.121-9 9s4.122 9 9 9c4.879 0 9-4.121 9-9s-4.121-9-9-9zm4 13H8.131l4-6H8V9h7.868l-1.035 1.554-.001.001L11.869 15H16v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAlarm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c-4.878 0-9 4.122-9 9s4.122 9 9 9c4.879 0 9-4.122 9-9s-4.121-9-9-9zm5 10h-6V8h2v4h4v2zm3.292-7.292-3.01-3 1.412-1.417 3.01 3zM5.282 2.294 6.7 3.706l-2.99 3-1.417-1.413z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAlbum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-4.607 8.055A4.956 4.956 0 0 0 7 12H5a6.978 6.978 0 0 1 2.051-4.95 6.978 6.978 0 0 1 2.225-1.5l.779 1.842c-.596.252-1.13.612-1.59 1.072s-.82.995-1.072 1.591zm4.6 3.945a2.007 2.007 0 1 1 0-4.014 2.007 2.007 0 0 1 0 4.014z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAmbulance (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.86 12.48 19.15 8a2 2 0 0 0-1.72-1H15V5a1 1 0 0 0-1-1H4a2 2 0 0 0-2 2v10a2 2 0 0 0 1 1.73 3.49 3.49 0 0 0 7 .27h3.1a3.48 3.48 0 0 0 6.9 0 2 2 0 0 0 2-2v-3a1.07 1.07 0 0 0-.14-.52zM6.5 19A1.5 1.5 0 1 1 8 17.5 1.5 1.5 0 0 1 6.5 19zm5.5-8h-2v2H8v-2H6V9h2V7h2v2h2zm4.5 8a1.5 1.5 0 1 1 1.5-1.5 1.5 1.5 0 0 1-1.5 1.5zM15 12V9h2.43l1.8 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAnalyse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.626 8.878a7.937 7.937 0 0 1 1.71-2.541 7.92 7.92 0 0 1 2.542-1.71 8.12 8.12 0 0 1 6.13-.041A2.49 2.49 0 0 0 17.5 7C18.886 7 20 5.886 20 4.5S18.886 2 17.5 2c-.689 0-1.312.276-1.763.725-2.431-.973-5.223-.958-7.635.059-1.19.5-2.26 1.22-3.18 2.139A9.98 9.98 0 0 0 2 12h2c0-1.086.211-2.136.626-3.122zm14.747 6.244c-.401.952-.977 1.808-1.71 2.541s-1.589 1.309-2.542 1.71a8.12 8.12 0 0 1-6.13.041A2.488 2.488 0 0 0 6.5 17C5.114 17 4 18.114 4 19.5S5.114 22 6.5 22c.689 0 1.312-.276 1.763-.725A9.973 9.973 0 0 0 12 22a9.983 9.983 0 0 0 9.217-6.102A9.992 9.992 0 0 0 22 12h-2a7.993 7.993 0 0 1-.627 3.122z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.462c-2.502 0-4.538 2.036-4.538 4.538S9.498 16.538 12 16.538c2.502 0 4.538-2.036 4.538-4.538S14.502 7.462 12 7.462z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAngry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-5 8.5.002-.022-1.373-.549.742-1.857 5 2-.742 1.857-1.031-.413c-.014.014-.023.031-.037.044A1.499 1.499 0 0 1 7 10.5zM8 17s1-3 4-3 4 3 4 3H8zm8.986-6.507c0 .412-.167.785-.438 1.056a1.488 1.488 0 0 1-2.112 0c-.011-.011-.019-.024-.029-.035l-1.037.415-.742-1.857 5-2 .742 1.857-1.386.554a.036.036 0 0 1 .002.01z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8V6H3v14H2v2h6v-7c0-.163.046-4 4-4 3.821 0 3.993 3.602 4 4v7h6v-2h-1V8zM2 2h20v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArchiveIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.706 5.292-2.999-2.999A.996.996 0 0 0 18 2H6a.997.997 0 0 0-.707.293L2.294 5.292A.996.996 0 0 0 2 6v13c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6a.994.994 0 0 0-.294-.708zM6.414 4h11.172l1 1H5.414l1-1zM12 18l-5-5h3v-3h4v3h3l-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArchiveOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.706 5.292-2.999-2.999A.996.996 0 0 0 18 2H6a.996.996 0 0 0-.707.293L2.294 5.292A.994.994 0 0 0 2 6v13c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6a.994.994 0 0 0-.294-.708zM6.414 4h11.172l1 1H5.414l1-1zM14 14v3h-4v-3H7l5-5 5 5h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArchive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.704 5.29-2.997-2.997A.996.996 0 0 0 18 2H6a.996.996 0 0 0-.707.293L2.296 5.29A.994.994 0 0 0 2 5.999V19a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V5.999a.994.994 0 0 0-.296-.709zM6.414 4h11.172l1 1H5.414l1-1zM17 13v1H7v-4h2v2h6v-2h2v3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArea (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14zm9-13h6v6h-2V8h-4V6zm-6 6h2v4h4v2H6v-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowFromBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h12v2H6zm6-14-6 6h5v6h2v-6h5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowFromLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h2v12H4zm10 5H8v2h6v5l6-6-6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowFromRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h2v12h-2zm-8 12v-5h6v-2h-6V6l-6 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowFromTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h12v2H6zm5 4v6H6l6 6 6-6h-5V8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowToBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h12v2H6zm5-14v6H6l6 6 6-6h-5V4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowToLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h2v12H4zm10 7h6v-2h-6V6l-6 6 6 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowToRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h2v12h-2zm-8 5H4v2h6v5l6-6-6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidArrowToTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h12v2H6zm5 10v6h2v-6h5l-6-6-6 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidAward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8.999a6.99 6.99 0 0 0 2.879 5.646l.001.001a6.972 6.972 0 0 0 1.881.979l.051.019a6.906 6.906 0 0 0 1.163.271 6.79 6.79 0 0 0 1.024.085H12c.35 0 .69-.034 1.027-.084l.182-.028c.336-.059.664-.139.981-.243l.042-.016C17 14.693 19 12.078 19 8.999 19 5.14 15.86 2 12 2S5 5.14 5 8.999zM12 4c2.756 0 5 2.242 5 4.999h-2A3.003 3.003 0 0 0 12 6V4zM7.521 16.795V22L12 20.5l4.479 1.5.001-5.205a8.932 8.932 0 0 1-8.959 0z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBabyCarriage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.666 12.277a7.72 7.72 0 0 0 .171-.665c.003-.017.004-.033.008-.05.02-.098.029-.199.045-.298.025-.157.055-.313.07-.471a7.979 7.979 0 0 0-2.303-6.45A7.979 7.979 0 0 0 14 2v8H6.517l-.858-2H2v2h2.341l1.828 4.266A3.504 3.504 0 0 0 4 17.5C4 19.43 5.57 21 7.5 21c1.759 0 3.204-1.309 3.449-3h2.102c.245 1.691 1.69 3 3.449 3 1.93 0 3.5-1.57 3.5-3.5 0-.63-.181-1.213-.473-1.725.042-.041.089-.077.131-.119.36-.361.688-.759.977-1.184.288-.43.536-.886.736-1.359.016-.037.026-.076.041-.113h.001l.015-.042c.088-.22.168-.441.235-.668l.003-.013zM7.5 19c-.827 0-1.5-.673-1.5-1.5S6.673 16 7.5 16s1.5.673 1.5 1.5S8.327 19 7.5 19zm9 0c-.827 0-1.5-.673-1.5-1.5s.673-1.5 1.5-1.5 1.5.673 1.5 1.5-.673 1.5-1.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBackpack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15a1 1 0 0 0-1-1H9c-.551 0-1 .448-1 1v2h8v-2zm-8 4h8v3H8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12c0-2.967-2.167-5.432-5-5.91V5c0-1.654-1.346-3-3-3h-2C9.346 2 8 3.346 8 5v1.09C5.167 6.568 3 9.033 3 12v8c0 1.103.897 2 2 2h1v-7c0-1.654 1.346-3 3-3h6c1.654 0 3 1.346 3 3v7h1c1.103 0 2-.897 2-2v-8zM10 5c0-.552.449-1 1-1h2a1 1 0 0 1 1 1v1h-4V5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBadgeCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.965 8.521C19.988 8.347 20 8.173 20 8c0-2.379-2.143-4.288-4.521-3.965C14.786 2.802 13.466 2 12 2s-2.786.802-3.479 2.035C6.138 3.712 4 5.621 4 8c0 .173.012.347.035.521C2.802 9.215 2 10.535 2 12s.802 2.785 2.035 3.479A3.976 3.976 0 0 0 4 16c0 2.379 2.138 4.283 4.521 3.965C9.214 21.198 10.534 22 12 22s2.786-.802 3.479-2.035C17.857 20.283 20 18.379 20 16c0-.173-.012-.347-.035-.521C21.198 14.785 22 13.465 22 12s-.802-2.785-2.035-3.479zm-9.01 7.895-3.667-3.714 1.424-1.404 2.257 2.286 4.327-4.294 1.408 1.42-5.749 5.706z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBadgeDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.047 14.668a.994.994 0 0 0 .465.607l1.91 1.104v2.199a1 1 0 0 0 1 1h2.199l1.104 1.91a1.01 1.01 0 0 0 .866.5c.174 0 .347-.046.501-.135L12 20.75l1.91 1.104a1.001 1.001 0 0 0 1.366-.365l1.103-1.91h2.199a1 1 0 0 0 1-1V16.38l1.91-1.104a1 1 0 0 0 .365-1.367L20.75 12l1.104-1.908a1 1 0 0 0-.365-1.366l-1.91-1.104v-2.2a1 1 0 0 0-1-1H16.38l-1.103-1.909a1.008 1.008 0 0 0-.607-.466.993.993 0 0 0-.759.1L12 3.25l-1.909-1.104a1 1 0 0 0-1.366.365l-1.104 1.91H5.422a1 1 0 0 0-1 1V7.62l-1.91 1.104a1.003 1.003 0 0 0-.365 1.368L3.251 12l-1.104 1.908a1.009 1.009 0 0 0-.1.76zM12 13c-3.48 0-4-1.879-4-3 0-1.287 1.029-2.583 3-2.915V6.012h2v1.109c1.734.41 2.4 1.853 2.4 2.879h-1l-1 .018C13.386 9.638 13.185 9 12 9c-1.299 0-2 .515-2 1 0 .374 0 1 2 1 3.48 0 4 1.879 4 3 0 1.287-1.029 2.583-3 2.915V18h-2v-1.08c-2.339-.367-3-2.003-3-2.92h2c.011.143.159 1 2 1 1.38 0 2-.585 2-1 0-.325 0-1-2-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBadge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.965 8.521C19.988 8.347 20 8.173 20 8c0-2.379-2.143-4.288-4.521-3.965C14.786 2.802 13.466 2 12 2s-2.786.802-3.479 2.035C6.138 3.712 4 5.621 4 8c0 .173.012.347.035.521C2.802 9.215 2 10.535 2 12s.802 2.785 2.035 3.479A3.976 3.976 0 0 0 4 16c0 2.379 2.138 4.283 4.521 3.965C9.214 21.198 10.534 22 12 22s2.786-.802 3.479-2.035C17.857 20.283 20 18.379 20 16c0-.173-.012-.347-.035-.521C21.198 14.785 22 13.465 22 12s-.802-2.785-2.035-3.479z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBaguette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.13 4.41 4.23 4.23L14.3 9.7l-4.24-4.24-1.77 1.77 4.24 4.24-1.06 1.06-4.24-4.24-1.77 1.77L9.7 14.3l-1.06 1.06-4.23-4.23C1.86 14 1.55 18 3.79 20.21a5.38 5.38 0 0 0 3.85 1.5 8 8 0 0 0 5.6-2.47l6-6c2.87-2.87 3.31-7.11 1-9.45s-6.24-1.93-9.11.62z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.247 3.034c-.069-.018-1.742-.433-4.052-.433-2.842 0-6.868.64-9.91 3.687-5.34 5.349-3.34 13.61-3.252 13.96a1 1 0 0 0 .728.726c.069.018 1.726.426 4.018.426 2.849 0 6.884-.641 9.932-3.688 5.335-5.335 3.351-13.6 3.264-13.949a1.005 1.005 0 0 0-.728-.729zm-3.537 9.262-1.414 1.414-1.793-1.792-1.586 1.586 1.792 1.793-1.414 1.414-1.792-1.793-1.793 1.793-1.414-1.414 1.793-1.793-1.793-1.794 1.414-1.414 1.793 1.794 1.586-1.586-1.794-1.793 1.414-1.414 1.794 1.793 1.793-1.793 1.414 1.414-1.793 1.793 1.793 1.792z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBalloon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19.91 10 22h4l-1-2.09c4-.65 7-5.28 7-9.91a8 8 0 0 0-16 0c0 4.63 3.08 9.26 7 9.91zm1-15.66v1.5A4.26 4.26 0 0 0 7.75 10h-1.5A5.76 5.76 0 0 1 12 4.25z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBandAid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.646 3.868-7.778 7.778a6.007 6.007 0 0 0 0 8.485 5.984 5.984 0 0 0 4.242 1.754 5.984 5.984 0 0 0 4.243-1.754l7.778-7.778a6.007 6.007 0 0 0 0-8.485 6.008 6.008 0 0 0-8.485 0zM9 13a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm3 3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm0-6a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm3 3a1 1 0 1 1 0-2 1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8v4.001h1V18H2v3h16l3 .001V21h1v-3h-1v-5.999h1V8L12 2 2 8zm4 10v-5.999h2V18H6zm5 0v-5.999h2V18h-2zm7 0h-2v-5.999h2V18zM14 8a2 2 0 1 1-4.001-.001A2 2 0 0 1 14 8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBarChartAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21H3a1 1 0 0 1-1-1v-8a1 1 0 0 1 1-1h3a1 1 0 0 1 1 1v8a1 1 0 0 1-1 1zm7 0h-3a1 1 0 0 1-1-1V3a1 1 0 0 1 1-1h3a1 1 0 0 1 1 1v17a1 1 0 0 1-1 1zm7 0h-3a1 1 0 0 1-1-1V9a1 1 0 0 1 1-1h3a1 1 0 0 1 1 1v11a1 1 0 0 1-1 1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBarChartSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2zM9 18H7v-6h2v6zm4 0h-2V7h2v11zm4 0h-2v-8h2v8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBarcode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2zM8 17H5V7h3zm2 0H9V7h1zm2 0h-1V7h1zm4 0h-3V7h3zm3 0h-2V7h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBaseball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.984 12.236a9.966 9.966 0 0 0-2.913-7.308 9.966 9.966 0 0 0-7.308-2.913 9.04 9.04 0 0 1-.673 4.313l-1.84-.78a7.044 7.044 0 0 0 .526-3.284 9.927 9.927 0 0 0-4.847 2.665 9.924 9.924 0 0 0-2.666 4.852 7.082 7.082 0 0 0 2.576-.276l.575 1.916c-1.1.33-2.257.443-3.398.344a9.964 9.964 0 0 0 2.913 7.307 9.965 9.965 0 0 0 7.307 2.913 9.079 9.079 0 0 1 .344-3.398l1.916.575a7.06 7.06 0 0 0-.276 2.576 9.927 9.927 0 0 0 4.853-2.666 9.926 9.926 0 0 0 2.665-4.848 7.056 7.056 0 0 0-3.284.526l-.78-1.841a9.025 9.025 0 0 1 4.31-.673zM9.17 9.173a9.017 9.017 0 0 1-2.192 1.612l-.927-1.772a7.01 7.01 0 0 0 2.576-2.314l1.663 1.113c-.328.49-.705.948-1.12 1.361zm7.074 7.068a6.991 6.991 0 0 0-1.257 1.708l-1.772-.927a9.025 9.025 0 0 1 2.972-3.312l1.113 1.663a6.987 6.987 0 0 0-1.056.868z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBasket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.132 2.504 4.42 9H3a1.001 1.001 0 0 0-.965 1.263l2.799 10.263A2.004 2.004 0 0 0 6.764 22h10.473c.898 0 1.692-.605 1.93-1.475l2.799-10.263A.998.998 0 0 0 21 9h-1.42l-3.712-6.496-1.736.992L17.277 9H6.723l3.145-5.504-1.736-.992zM14 13h2v5h-2v-5zm-6 0h2v5H8v-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBasketball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.328 4.258a9.953 9.953 0 0 0-5.949-2.235 8.99 8.99 0 0 1-1.835 7.107L12 10.586l6.328-6.328zM7.701 9.115 4.258 5.672a9.938 9.938 0 0 0-2.112 4.704 7.007 7.007 0 0 0 5.555-1.261zm12.041-3.443L13.414 12l1.456 1.456a8.993 8.993 0 0 1 7.107-1.835 9.953 9.953 0 0 0-2.235-5.949zm2.112 7.952a7.007 7.007 0 0 0-5.555 1.261l3.443 3.443a9.924 9.924 0 0 0 2.112-4.704zM9.115 7.701a7.007 7.007 0 0 0 1.261-5.555 9.928 9.928 0 0 0-4.704 2.112l3.443 3.443zm4.509 14.153a9.936 9.936 0 0 0 4.704-2.111L14.885 16.3a7.003 7.003 0 0 0-1.261 5.554zM12 13.414l-6.328 6.328a9.953 9.953 0 0 0 5.949 2.235 8.99 8.99 0 0 1 1.835-7.107L12 13.414zm-7.742 4.914L10.586 12 9.13 10.544a8.993 8.993 0 0 1-7.107 1.835 9.953 9.953 0 0 0 2.235 5.949z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBath (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10H7V7.113c0-.997.678-1.923 1.661-2.085A2.003 2.003 0 0 1 11 7h2a4.003 4.003 0 0 0-4.398-3.98C6.523 3.222 5 5.089 5 7.178V10H3a1 1 0 0 0-1 1v2c0 2.606 1.674 4.823 4 5.65V22h2v-3h8v3h2v-3.35c2.326-.827 4-3.044 4-5.65v-2a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBatteryCharging (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10V8a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-2h2v-4h-2zM9 17l2-3.89L7 12l6-5-1 3.89L16 12l-7 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBatteryFull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h14a2 2 0 0 0 2-2v-2h2v-4h-2V8a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2zm1-9h12v6H5V9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBatteryLow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-2h2v-4h-2V8zM5 15V9h3l4 6H5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBattery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-2h2v-4h-2V8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9.556V3h-2v2H6V3H4v6.557C2.81 10.25 2 11.526 2 13v4a1 1 0 0 0 1 1h1v4h2v-4h12v4h2v-4h1a1 1 0 0 0 1-1v-4c0-1.474-.811-2.75-2-3.444zM11 9H6V7h5v2zm7 0h-5V7h5v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBeenHere (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C7.589 2 4 5.589 4 9.995 3.971 16.44 11.696 21.784 12 22c0 0 8.029-5.56 8-12 0-4.411-3.589-8-8-8zm-1 13.414-3.707-3.707 1.414-1.414L11 12.586l5.293-5.293 1.414 1.414L11 15.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBeer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-2V4a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v15c0 1.654 1.346 3 3 3h10c1.654 0 3-1.346 3-3v-1h2c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zM8 17H6V7h2v10zm6 0h-2V7h2v10zm6-1h-2V8h2v8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBellMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22zm8.707-5.707L19 14.586V10c0-3.217-2.185-5.926-5.145-6.743C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258C7.185 4.074 5 6.783 5 10v4.586l-1.707 1.707A.997.997 0 0 0 3 17v1a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-1a.997.997 0 0 0-.293-.707zM16 12H8v-2h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBellOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.345 18.931A.993.993 0 0 0 21 18v-1a.996.996 0 0 0-.293-.707L19 14.586V10c0-3.217-2.185-5.927-5.145-6.742C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258c-1.323.364-2.463 1.128-3.346 2.127L3.707 2.293 2.293 3.707l18 18 1.414-1.414-1.362-1.362zM12 22a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22zM5 10v4.586l-1.707 1.707A.996.996 0 0 0 3 17v1a1 1 0 0 0 1 1h10.879L5.068 9.189C5.037 9.457 5 9.724 5 10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBellPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22zm8.707-5.707L19 14.586V10c0-3.217-2.185-5.926-5.145-6.743C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258C7.185 4.074 5 6.783 5 10v4.586l-1.707 1.707A.997.997 0 0 0 3 17v1a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-1a.997.997 0 0 0-.293-.707zM16 12h-3v3h-2v-3H8v-2h3V7h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBellRing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5.705 3.71-1.41-1.42C1 5.563 1 7.935 1 11h1l1-.063C3 8.009 3 6.396 5.705 3.71zm13.999-1.42-1.408 1.42C21 6.396 21 8.009 21 11l2-.063c0-3.002 0-5.374-3.296-8.647zM12 22a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22zm7-7.414V10c0-3.217-2.185-5.927-5.145-6.742C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258C7.184 4.073 5 6.783 5 10v4.586l-1.707 1.707A.996.996 0 0 0 3 17v1a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-1a.996.996 0 0 0-.293-.707L19 14.586z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a2.98 2.98 0 0 0 2.818-2H9.182A2.98 2.98 0 0 0 12 22zm7-7.414V10c0-3.217-2.185-5.927-5.145-6.742C13.562 2.52 12.846 2 12 2s-1.562.52-1.855 1.258C7.185 4.074 5 6.783 5 10v4.586l-1.707 1.707A.996.996 0 0 0 3 17v1a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-1a.996.996 0 0 0-.293-.707L19 14.586z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBible (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h15v-2H6.012C5.55 19.988 5 19.805 5 19s.55-.988 1.012-1H21V4a2 2 0 0 0-2-2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3zM8 7h3V5h2v2h3v2h-3v6h-2V9H8V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBinoculars (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.447 5.345A3.27 3.27 0 0 0 16.29 3a3.293 3.293 0 0 0-3.277 3h-2.025a3.297 3.297 0 0 0-3.284-3 3.268 3.268 0 0 0-3.151 2.345l-2.511 8.368A1.027 1.027 0 0 0 2 14v1a5.006 5.006 0 0 0 5.001 5 5.003 5.003 0 0 0 4.576-3h.846a5.003 5.003 0 0 0 4.576 3A5.006 5.006 0 0 0 22 14.999V14c0-.098-.015-.194-.042-.287l-2.511-8.368zM7.001 18A3.005 3.005 0 0 1 4 15c0-.076.017-.147.022-.222A2.995 2.995 0 0 1 7 12a3 3 0 0 1 3 3v.009A3.004 3.004 0 0 1 7.001 18zm9.998 0A3.004 3.004 0 0 1 14 15.009V15a3 3 0 0 1 6-.001A3.005 3.005 0 0 1 16.999 18z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBlanket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H7C4.243 2 2 4.243 2 7v10c0 2.757 2.243 5 5 5h12c1.654 0 3-1.346 3-3s-1.346-3-3-3H6v2h13a1 1 0 0 1 0 2H7c-1.654 0-3-1.346-3-3s1.346-3 3-3h13c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM4 13h.003L4 13.002V13z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBoltCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-1 16v-5H7l6-7v5h4l-6 7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.168 8H13l.806-4.835A1 1 0 0 0 12.819 2H7.667a1 1 0 0 0-.986.835l-1.667 10A1 1 0 0 0 6 14h4v8l8.01-12.459A1 1 0 0 0 17.168 8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBomb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18.293 4.293-1.086 1.086-1.086-1.086a.999.999 0 0 0-1.414 0l-1.249 1.249A8.427 8.427 0 0 0 10.499 5C5.813 5 2 8.813 2 13.5S5.813 22 10.499 22s8.5-3.813 8.5-8.5a8.42 8.42 0 0 0-.431-2.654L19.914 9.5a.999.999 0 0 0 0-1.414l-1.293-1.293 1.09-1.09C19.94 5.474 20.556 5 21 5h1V3h-1c-1.4 0-2.584 1.167-2.707 1.293zM10.499 10c-.935 0-1.813.364-2.475 1.025A3.48 3.48 0 0 0 7 13.5H5c0-1.468.571-2.849 1.609-3.888A5.464 5.464 0 0 1 10.499 8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.852 5.148a3.317 3.317 0 0 0-.96-2.183 3.333 3.333 0 1 0-4.713 4.714l-5.499 5.5a3.333 3.333 0 1 0-4.714 4.713c.606.606 1.39.918 2.183.96.042.793.354 1.576.96 2.183a3.333 3.333 0 1 0 4.713-4.714l5.499-5.499a3.333 3.333 0 1 0 4.714-4.713 3.313 3.313 0 0 0-2.183-.961z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBong (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19.293 8.293-2.069 2.069A7.017 7.017 0 0 0 15 8.681V4h1V2H8v2h1v4.681A7.01 7.01 0 0 0 5 15c0 3.859 3.141 7 7 7s7-3.141 7-7a6.958 6.958 0 0 0-.652-2.934l2.359-2.359-1.414-1.414zm-8.959 1.998.666-.235V4h2v6.056l.666.235A5.006 5.006 0 0 1 16.886 14H7.114a5.006 5.006 0 0 1 3.22-3.709z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.012 18H21V4c0-1.103-.897-2-2-2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19s.55-.988 1.012-1zM8 9h3V6h2v3h3v2h-3v3h-2v-3H8V9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19s.55-.988 1.012-1H21V4c0-1.103-.897-2-2-2H6c-1.206 0-3 .799-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookBookmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19c0-.101.009-.191.024-.273.112-.576.584-.717.988-.727H21V4a2 2 0 0 0-2-2zm0 9-2-1-2 1V4h4v7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookContent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm-1 4v2h-5V7h5zm-5 4h5v2h-5v-2zM4 19V5h7v14H4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.012 18H21V4c0-1.103-.897-2-2-2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19c0-.101.009-.191.024-.273.112-.576.584-.717.988-.727zM8.648 7.642a2.224 2.224 0 0 1 3.125 0l.224.219.223-.219a2.225 2.225 0 0 1 3.126 0 2.129 2.129 0 0 1 0 3.069L11.998 14l-3.349-3.289a2.128 2.128 0 0 1-.001-3.069z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3h-7a2.98 2.98 0 0 0-2 .78A2.98 2.98 0 0 0 10 3H3a1 1 0 0 0-1 1v15a1 1 0 0 0 1 1h5.758a2.01 2.01 0 0 1 1.414.586l1.121 1.121c.009.009.021.012.03.021.086.08.182.15.294.196h.002a.996.996 0 0 0 .762 0h.002c.112-.046.208-.117.294-.196.009-.009.021-.012.03-.021l1.121-1.121A2.01 2.01 0 0 1 15.242 20H21a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-1 15h-4.758a4.03 4.03 0 0 0-2.242.689V6c0-.551.448-1 1-1h6v13z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookReader (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8v11.529S6.621 19.357 12 22c5.379-2.643 10-2.471 10-2.471V8s-5.454 0-10 2.471C7.454 8 2 8 2 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.012 18H21V4a2 2 0 0 0-2-2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.805 5 19s.55-.988 1.012-1zM8 6h9v2H8V6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarkAltMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2h-12C4.57 2 3 3.57 3 5.5V22l7-3.5 7 3.5v-9h5V5.5C22 3.57 20.43 2 18.5 2zM13 11H7V9h6v2zm7 0h-3V5.5c0-.827.673-1.5 1.5-1.5s1.5.673 1.5 1.5V11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarkAltPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2h-12C4.57 2 3 3.57 3 5.5V22l7-3.5 7 3.5v-9h5V5.5C22 3.57 20.43 2 18.5 2zM13 11h-2v2H9v-2H7V9h2V7h2v2h2v2zm7 0h-3V5.5c0-.827.673-1.5 1.5-1.5s1.5.673 1.5 1.5V11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarkAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2h-12C4.57 2 3 3.57 3 5.5V22l7-3.5 7 3.5v-9h5V5.5C22 3.57 20.43 2 18.5 2zm1.5 9h-3V5.5c0-.827.673-1.5 1.5-1.5s1.5.673 1.5 1.5V11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarkHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22V4c0-1.103-.897-2-2-2H7c-1.103 0-2 .897-2 2v18l7-4.666L19 22zM8.006 8.056c0-.568.224-1.083.585-1.456.361-.372.86-.603 1.412-.603 0 0 .996-.003 1.997 1.029 1.001-1.032 1.997-1.029 1.997-1.029.552 0 1.051.23 1.412.603s.585.888.585 1.456-.224 1.084-.585 1.456L12 13.203 8.591 9.512a2.083 2.083 0 0 1-.585-1.456z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarkMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2H7a2 2 0 0 0-2 2v18l7-4.848L19 22V4a2 2 0 0 0-2-2zm-1 9H8V9h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarkPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2H7a2 2 0 0 0-2 2v18l7-4.848L19 22V4a2 2 0 0 0-2-2zm-1 9h-3v3h-2v-3H8V9h3V6h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarkStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.222 14.667 13l-.89-3.111L16 8l-2.667-.333L12 5l-1.333 2.667L8 8l2.223 1.889L9.333 13z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21.723V4a2 2 0 0 0-2-2H7a2 2 0 0 0-2 2v17.723l7-4.571 7 4.571zM8 8l2.667-.333L12 5l1.333 2.667L16 8l-2.223 1.889.89 3.111L12 11.222 9.333 13l.89-3.111L8 8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10.132v-6c0-1.103-.897-2-2-2H7c-1.103 0-2 .897-2 2V22l7-4.666L19 22V10.132z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBookmarks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.999 23V7c0-1.103-.897-2-2-2h-8c-1.103 0-2 .897-2 2v16l6-3.601 6 3.601z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.585 3h1.414c1.103 0 2 .897 2 2v10.443l2 2.489V3c0-1.103-.897-2-2-2h-8c-1.103 0-2 .897-2 2h6.586z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10.975V8a2 2 0 0 0-2-2h-6V4.688c.305-.274.5-.668.5-1.11a1.5 1.5 0 0 0-3 0c0 .442.195.836.5 1.11V6H5a2 2 0 0 0-2 2v2.998l-.072.005A.999.999 0 0 0 2 12v2a1 1 0 0 0 1 1v5a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-5a1 1 0 0 0 1-1v-1.938a1.004 1.004 0 0 0-.072-.455c-.202-.488-.635-.605-.928-.632zM7 12c0-1.104.672-2 1.5-2s1.5.896 1.5 2-.672 2-1.5 2S7 13.104 7 12zm8.998 6c-1.001-.003-7.997 0-7.998 0v-2s7.001-.002 8.002 0l-.004 2zm-.498-4c-.828 0-1.5-.896-1.5-2s.672-2 1.5-2 1.5.896 1.5 2-.672 2-1.5 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBowlHot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10H3a1 1 0 0 0-1 1 10 10 0 0 0 5 8.66V21a1 1 0 0 0 1 1h8a1 1 0 0 0 1-1v-1.34A10 10 0 0 0 22 11a1 1 0 0 0-1-1zM9 9V7.93a4.51 4.51 0 0 0-1.28-3.15A2.49 2.49 0 0 1 7 3V2H5v1a4.51 4.51 0 0 0 1.28 3.17A2.49 2.49 0 0 1 7 7.93V9zm4 0V7.93a4.51 4.51 0 0 0-1.28-3.15A2.49 2.49 0 0 1 11 3V2H9v1a4.51 4.51 0 0 0 1.28 3.15A2.49 2.49 0 0 1 11 7.93V9zm4 0V7.93a4.51 4.51 0 0 0-1.28-3.15A2.49 2.49 0 0 1 15 3V2h-2v1a4.51 4.51 0 0 0 1.28 3.15A2.49 2.49 0 0 1 15 7.93V9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBowlRice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10a3.58 3.58 0 0 0-1.8-3 3.66 3.66 0 0 0-3.63-3.13 3.86 3.86 0 0 0-1 .13 3.7 3.7 0 0 0-5.11 0 3.86 3.86 0 0 0-1-.13A3.66 3.66 0 0 0 4.81 7 3.58 3.58 0 0 0 3 10a1 1 0 0 0-1 1 10 10 0 0 0 5 8.66V21a1 1 0 0 0 1 1h8a1 1 0 0 0 1-1v-1.34A10 10 0 0 0 22 11a1 1 0 0 0-1-1zM5 10a1.59 1.59 0 0 1 1.11-1.39l.83-.26-.16-.85a1.64 1.64 0 0 1 1.66-1.62 1.78 1.78 0 0 1 .83.2l.81.45.5-.77a1.71 1.71 0 0 1 2.84 0l.5.77.81-.45a1.78 1.78 0 0 1 .83-.2 1.65 1.65 0 0 1 1.67 1.6l-.16.85.82.28A1.59 1.59 0 0 1 19 10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBowlingBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM6.5 12a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 6.5 12zM9 6.5a1.5 1.5 0 1 1 3.001.001A1.5 1.5 0 0 1 9 6.5zm2.5 6.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 11.5 13z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3h20v4H2zm17 5H3v11a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V8h-2zm-3 6H8v-2h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.299 17.596c.432 1.332 1.745 2.182 3.146 2.182H6.5A2.78 2.78 0 0 0 9.223 22c.457 0 .884-.115 1.262-.313a.992.992 0 0 0 .515-.882V3.027a.997.997 0 0 0-.785-.983 2.324 2.324 0 0 0-1.479.201c-.744.356-1.18 1.151-1.18 1.978v.055a2.778 2.778 0 0 0-2.744 4.433A3.327 3.327 0 0 0 2 12c0 1.178.611 2.211 1.533 2.812-.43.771-.571 1.746-.234 2.784zm15.889-8.885a2.778 2.778 0 0 0-2.744-4.433v-.055c0-.826-.437-1.622-1.181-1.978a2.32 2.32 0 0 0-1.478-.201.998.998 0 0 0-.785.983v17.777c0 .365.192.712.516.882.378.199.804.314 1.261.314a2.78 2.78 0 0 0 2.723-2.223h.056c1.4 0 2.714-.85 3.146-2.182.337-1.038.196-2.013-.234-2.784A3.35 3.35 0 0 0 22 12a3.327 3.327 0 0 0-2.812-3.289z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBriefcaseAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v4h5v-2h2v2h6v-2h2v2h5V8c0-1.103-.897-2-2-2zM9 4h6v2H9V4zm8 11h-2v-2H9v2H7v-2H2v6c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2v-6h-5v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBriefcaseAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zM6 8h2v11H6V8zm12 11h-2V8h2v11zM15 4v2H9V4h6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBriefcase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4c0-1.103-.897-2-2-2H9c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v3h20V8c0-1.103-.897-2-2-2zM9 4h6v2H9V4zm5 10h-4v-2H2v7c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2v-7h-8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBrightnessHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.546 11.646 19 9.101V5.5a.5.5 0 0 0-.5-.5h-3.601l-2.546-2.546a.5.5 0 0 0-.707 0L9.101 5H5.5a.5.5 0 0 0-.5.5v3.601l-2.546 2.546a.5.5 0 0 0 0 .707L5 14.899V18.5a.5.5 0 0 0 .5.5h3.601l2.546 2.546a.5.5 0 0 0 .707 0L14.899 19H18.5a.5.5 0 0 0 .5-.5v-3.601l2.546-2.546a.5.5 0 0 0 0-.707zM12 8a4 4 0 0 1 0 8\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBrightness (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.546 11.646 19 9.101V5.5a.5.5 0 0 0-.5-.5h-3.601l-2.546-2.546a.5.5 0 0 0-.707 0L9.101 5H5.5a.5.5 0 0 0-.5.5v3.601l-2.546 2.546a.5.5 0 0 0 0 .707L5 14.899V18.5a.5.5 0 0 0 .5.5h3.601l2.546 2.546a.5.5 0 0 0 .707 0L14.899 19H18.5a.5.5 0 0 0 .5-.5v-3.601l2.546-2.546a.5.5 0 0 0 0-.707zM12 16a4 4 0 1 1 0-8 4 4 0 0 1 0 8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBrushAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8.001h-2V8h-1V4.999a2.92 2.92 0 0 0-.874-2.108 2.943 2.943 0 0 0-2.39-.879C10.202 2.144 9 3.508 9 5.117V8H6c-1.103 0-2 .897-2 2v11a1 1 0 0 0 1 1h14a1 1 0 0 0 1-1V10.001c0-1.103-.897-2-2-2zM6 12v-2h5V5.117c0-.57.407-1.07 1.002-1.117.266 0 .512.103.712.307a.956.956 0 0 1 .286.692V10h.995l.005.001h4V12H6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBrush (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.207 11.278-2.035-2.035-1.415-1.415-5.035-5.035a.999.999 0 0 0-1.414 0L6.151 7.949 4.736 9.363a2.985 2.985 0 0 0-.878 2.122c0 .802.313 1.556.879 2.121l.707.707-2.122 2.122a2.925 2.925 0 0 0-.873 2.108 2.968 2.968 0 0 0 1.063 2.308 2.92 2.92 0 0 0 1.886.681c.834 0 1.654-.341 2.25-.937l2.039-2.039.707.706c1.133 1.133 3.107 1.134 4.242.001l.708-.707.569-.569.138-.138 5.156-5.157a.999.999 0 0 0 0-1.414zm-7.277 5.865-.708.706a1.021 1.021 0 0 1-1.414 0l-1.414-1.413a.999.999 0 0 0-1.414 0l-2.746 2.745a1.192 1.192 0 0 1-.836.352.914.914 0 0 1-.595-.208.981.981 0 0 1-.354-.782.955.955 0 0 1 .287-.692l2.829-2.829a.999.999 0 0 0 0-1.414l-1.414-1.415c-.189-.188-.293-.438-.293-.706s.104-.519.293-.708l.707-.707 3.536 3.536 3.536 3.535z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBugAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18 5.414 1.707-1.707-1.414-1.414-1.563 1.562C15.483 2.708 13.824 2 12 2s-3.483.708-4.73 1.855L5.707 2.293 4.293 3.707 6 5.414A6.937 6.937 0 0 0 5 9H3v2h2v2H3v2h2c0 3.86 3.141 7 7 7s7-3.14 7-7h2v-2h-2v-2h2V9h-2a6.937 6.937 0 0 0-1-3.586zM15 15H9v-2h6v2zm0-4H9V9h6v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.787 7h10.426c-.108-.158-.201-.331-.318-.481l2.813-2.812-1.414-1.414-2.846 2.846a6.575 6.575 0 0 0-.723-.454 5.778 5.778 0 0 0-5.45 0c-.25.132-.488.287-.722.453L5.707 2.293 4.293 3.707l2.813 2.812c-.118.151-.21.323-.319.481zM5.756 9H2v2h2.307c-.065.495-.107.997-.107 1.5 0 .507.042 1.013.107 1.511H2v2h2.753c.013.039.021.08.034.118.188.555.421 1.093.695 1.6.044.081.095.155.141.234l-2.33 2.33 1.414 1.414 2.11-2.111a7.477 7.477 0 0 0 2.068 1.619c.479.253.982.449 1.496.58.204.052.411.085.618.118V16h2v5.914a6.23 6.23 0 0 0 .618-.118 6.812 6.812 0 0 0 1.496-.58c.465-.246.914-.55 1.333-.904.258-.218.5-.462.734-.716l2.111 2.111 1.414-1.414-2.33-2.33c.047-.08.098-.155.142-.236.273-.505.507-1.043.694-1.599.013-.039.021-.079.034-.118H22v-2h-2.308c.065-.499.107-1.004.107-1.511 0-.503-.042-1.005-.106-1.5H22V9H5.756z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBuildingHouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.991 2H9.01C7.899 2 7 2.899 7 4.01v5.637l-4.702 4.642A1 1 0 0 0 3 16v5a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4.009C21 2.899 20.102 2 18.991 2zm-8.069 13.111V20H5v-5.568l2.987-2.949 2.935 3.003v.625zM13 9h-2V7h2v2zm4 8h-2v-2h2v2zm0-4h-2v-2h2v2zm0-4h-2V7h2v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15h2v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBuilding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2H7a2 2 0 0 0-2 2v17a1 1 0 0 0 1 1h12a1 1 0 0 0 1-1V4a2 2 0 0 0-2-2zm-6 14H8v-2h3v2zm0-4H8v-2h3v2zm0-4H8V6h3v2zm5 8h-3v-2h3v2zm0-4h-3v-2h3v2zm0-4h-3V6h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBuildings (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14.001h2v2H7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2h-8a2 2 0 0 0-2 2v6H5c-1.103 0-2 .897-2 2v9a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a2 2 0 0 0-2-2zM5 20v-8h6v8H5zm9-12h-2V6h2v2zm4 8h-2v-2h2v2zm0-4h-2v-2h2v2zm0-4h-2V6h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBulb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6v2H9zm7.906-6.288C17.936 12.506 19 11.259 19 9c0-3.859-3.141-7-7-7S5 5.141 5 9c0 2.285 1.067 3.528 2.101 4.73.358.418.729.851 1.084 1.349.144.206.38.996.591 1.921h-.792v2h8.032v-2h-.79c.213-.927.45-1.719.593-1.925.352-.503.726-.94 1.087-1.363z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBullseye (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 17c-3.859 0-7-3.14-7-7s3.141-7 7-7 7 3.14 7 7-3.141 7-7 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7c-2.757 0-5 2.243-5 5s2.243 5 5 5 5-2.243 5-5-2.243-5-5-5zm0 7c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBuoy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm7.736 8h-3.16A5.02 5.02 0 0 0 14 7.424V4.263A8.015 8.015 0 0 1 19.736 10zM12 15c-1.654 0-3-1.346-3-3s1.346-3 3-3 3 1.346 3 3-1.346 3-3 3zM10 4.263v3.161A5.02 5.02 0 0 0 7.424 10h-3.16A8.015 8.015 0 0 1 10 4.263zM4.264 14h3.16A5.02 5.02 0 0 0 10 16.576v3.161A8.015 8.015 0 0 1 4.264 14zM14 19.737v-3.161A5.02 5.02 0 0 0 16.576 14h3.16A8.015 8.015 0 0 1 14 19.737z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBusSchool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11.597V11h1V8h-1V6c0-2.206-1.794-4-4-4H7C4.794 2 3 3.794 3 6v2H2v3h1v.597a3.97 3.97 0 0 0-.999 2.648l.004 3.758c.001.733.404 1.369.995 1.716V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1h12v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1.274a2.02 2.02 0 0 0 .421-.313c.377-.378.585-.881.584-1.415l-.004-3.759A3.965 3.965 0 0 0 21 11.597zM8 4h8v2H8V4zM6.5 17a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 6.5 17zm4.5-5H5V8h6v4zm6.5 5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 17.5 17zm1.5-5h-6V8h6v4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6.021c.003-.146-.007-1.465-1.3-2.735C18.427 2.036 17.143 2 17 2H6.996c-.239 0-1.493.063-2.708 1.302C3.036 4.578 3 5.859 3 6v3H2v3h1v6c0 .734.406 1.373 1 1.721V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1h10v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1.277A1.99 1.99 0 0 0 21 18v-6h1V9h-1V6.021zM9 4h6v2H9V4zM6.5 18a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 6.5 18zm4.5-5H5V8h6v5zm6.5 5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 17.5 18zm1.5-5h-6V8h6v5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidBusiness (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h-6a1 1 0 0 0-1 1v3h-2V4a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V8a1 1 0 0 0-1-1zM8 6h2v2H8V6zM6 16H4v-2h2v2zm0-4H4v-2h2v2zm0-4H4V6h2v2zm4 8H8v-2h2v2zm0-4H8v-2h2v2zm9 4h-2v-2h2v2zm0-4h-2v-2h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCabinet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v7h18V4zm-5 4H8V5h2v1h4V5h2v3zM5 22h14c1.103 0 2-.897 2-2v-7H3v7c0 1.103.897 2 2 2zm3-6h2v1h4v-1h2v3H8v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCableCar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 9.76 9-2.45V10H7a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2v-8a2 2 0 0 0-2-2h-4V6.76l9-2.45V2.24L2 7.69zM11 12v3H7v-3zm6 0v3h-4v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.997 15c-1.601 0-2.446-.676-3.125-1.219-.567-.453-.977-.781-1.878-.781-.898 0-1.287.311-1.874.78-.679.544-1.524 1.22-3.125 1.22s-2.444-.676-3.123-1.22C3.285 13.311 2.897 13 2 13v5c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2v-5c-.899 0-1.288.311-1.876.781-.68.543-1.525 1.219-3.127 1.219zM19 5h-6V2h-2v3H5C3.346 5 2 6.346 2 8v3c1.6 0 2.443.676 3.122 1.22.587.469.975.78 1.873.78.899 0 1.287-.311 1.875-.781.679-.543 1.524-1.219 3.124-1.219 1.602 0 2.447.676 3.127 1.219.588.47.977.781 1.876.781.9 0 1.311-.328 1.878-.781C19.554 11.676 20.399 11 22 11V8c0-1.654-1.346-3-3-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalculator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h12a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2zm3-3H7v-2h2v2zm0-4H7v-2h2v2zm0-4H7V9h2v2zm4 8h-2v-2h2v2zm0-4h-2v-2h2v2zm0-4h-2V9h2v2zm4 8h-2v-6h2v6zm0-8h-2V9h2v2zM6 4h12v3H6V4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM5 7h14v2H5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm6-3.586-3.707-3.707 1.414-1.414L11 15.586l4.293-4.293 1.414 1.414L11 18.414zM5 7h14v2H5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm4.799-2.013H8v-1.799l4.977-4.97 1.799 1.799-4.977 4.97zm5.824-5.817-1.799-1.799L15.196 11l1.799 1.799-1.372 1.371zM5 7h14v2H5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarEvent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-1 15h-6v-6h6v6zm1-10H5V7h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-6 16h-2v-2h2v2zm0-4h-2v-5h2v5zm6-7H5V7h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-3.648 11.711L12.002 19l-3.349-3.289a2.129 2.129 0 0 1 0-3.069 2.224 2.224 0 0 1 3.125 0l.224.219.224-.219a2.225 2.225 0 0 1 3.126 0 2.129 2.129 0 0 1 0 3.069zM19 9H5V7h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm11-6H8v-2h8v2zM5 7h14v2H5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm11-6h-3v3h-2v-3H8v-2h3v-3h2v3h3v2zM5 7h14v2H5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-4.588 15-2.449-1.288L9.514 19l.468-2.728L8 14.342l2.738-.398 1.225-2.48 1.225 2.48 2.738.398-1.981 1.931.467 2.727zM19 9H5V7h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarWeek (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-2 8v2H7v-3h10v1zm2-3H5V7h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendarX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm10.707-4.707-1.414 1.414L12 16.414l-2.293 2.293-1.414-1.414L10.586 15l-2.293-2.293 1.414-1.414L12 13.586l2.293-2.293 1.414 1.414L13.414 15l2.293 2.293zM5 7h14v2H5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCalendar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 20V6c0-1.103-.897-2-2-2h-2V2h-2v2H9V2H7v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2zM9 18H7v-2h2v2zm0-4H7v-2h2v2zm4 4h-2v-2h2v2zm0-4h-2v-2h2v2zm4 4h-2v-2h2v2zm0-4h-2v-2h2v2zm2-5H5V7h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCameraHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.125 2H5.875A1.877 1.877 0 0 0 4 3.875v12.25C4 17.159 4.841 18 5.875 18H11v2H7v2h10v-2h-4v-2h5.125A1.877 1.877 0 0 0 20 16.125V3.875A1.877 1.877 0 0 0 18.125 2zM12 15c-2.757 0-5-2.243-5-5s2.243-5 5-5 5 2.243 5 5-2.243 5-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCameraMovie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11c0-.959-.68-1.761-1.581-1.954C16.779 8.445 17 7.75 17 7c0-2.206-1.794-4-4-4-1.516 0-2.822.857-3.5 2.104C8.822 3.857 7.516 3 6 3 3.794 3 2 4.794 2 7c0 .902.312 1.726.817 2.396A1.993 1.993 0 0 0 2 11v8c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-2.637l4 2v-7l-4 2V11zm-5-6c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2zM6 5c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCameraOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h11.879l-3.083-3.083A4.774 4.774 0 0 1 12 17c-2.71 0-5-2.29-5-5 0-.271.039-.535.083-.796L2.144 6.265C2.054 6.493 2 6.74 2 7v11c0 1.103.897 2 2 2zM20 5h-2.586l-2.707-2.707A.996.996 0 0 0 14 2h-4a.996.996 0 0 0-.707.293L6.586 5h-.172L3.707 2.293 2.293 3.707l18 18 1.414-1.414-.626-.626A1.98 1.98 0 0 0 22 18V7c0-1.103-.897-2-2-2zm-5.312 8.274A2.86 2.86 0 0 0 15 12c0-1.626-1.374-3-3-3-.456 0-.884.12-1.274.312l-1.46-1.46A4.88 4.88 0 0 1 12 7c2.71 0 5 2.29 5 5a4.88 4.88 0 0 1-.852 2.734l-1.46-1.46z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCameraPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-2.586l-2.707-2.707A.996.996 0 0 0 14 2h-4a.996.996 0 0 0-.707.293L6.586 5H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zm-8 12c-2.71 0-5-2.29-5-5 0-2.711 2.29-5 5-5s5 2.289 5 5c0 2.71-2.29 5-5 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h-2v2H9v2h2v2h2v-2h2v-2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCamera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9c-1.626 0-3 1.374-3 3s1.374 3 3 3 3-1.374 3-3-1.374-3-3-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-2.586l-2.707-2.707A.996.996 0 0 0 14 2h-4a.996.996 0 0 0-.707.293L6.586 5H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zm-8 12c-2.71 0-5-2.29-5-5s2.29-5 5-5 5 2.29 5 5-2.29 5-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCapsule (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.434 20.566c1.335 0 2.591-.52 3.535-1.464l7.134-7.133a5.008 5.008 0 0 0-.001-7.072 4.969 4.969 0 0 0-3.536-1.463c-1.335 0-2.59.52-3.534 1.464l-7.134 7.133a5.01 5.01 0 0 0-.001 7.072 4.971 4.971 0 0 0 3.537 1.463zm5.011-14.254a2.979 2.979 0 0 1 2.12-.878c.802 0 1.556.312 2.122.878a3.004 3.004 0 0 1 .001 4.243l-2.893 2.892-4.242-4.244 2.892-2.891z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaptions (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-9 6H8v4h3v2H8c-1.103 0-2-.897-2-2v-4c0-1.103.897-2 2-2h3v2zm7 0h-3v4h3v2h-3c-1.103 0-2-.897-2-2v-4c0-1.103.897-2 2-2h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCarBattery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zM9 14H4v-2h5v2zm11 0h-2v2h-2v-2h-2v-2h2v-2h2v2h2v2zM4 3h4v2H4zm12 0h4v2h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCarCrash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.634 17.918a1.765 1.765 0 0 0 1.201 1.291l.18.791H4v2h16v-2H6.683a.84.84 0 0 0-.007-.278l-.196-.863 10.357-2.356.196.863a.886.886 0 0 0 1.06.667l.863-.197a.885.885 0 0 0 .667-1.06l-.251-1.103c.446-.416.67-1.046.525-1.683l-.59-2.59a1.76 1.76 0 0 0-1.262-1.307l-2.049-3.378a2.774 2.774 0 0 0-2.982-1.263l-7.868 1.79a2.769 2.769 0 0 0-2.144 2.43l-.387 3.932a1.76 1.76 0 0 0-.57 1.724l.589 2.59zm3.02-.688a1.327 1.327 0 1 1-.59-2.589 1.327 1.327 0 0 1 .59 2.589zm11.222-2.552a1.328 1.328 0 1 1-.59-2.587 1.328 1.328 0 0 1 .59 2.587zM5.589 9.192l7.869-1.791a.773.773 0 0 1 .83.351l1.585 2.613-.566.129-10.046 2.287-.568.129.299-3.042a.772.772 0 0 1 .597-.676zM18.405 4 17 2l-.5 3L19 9l3 1-2-2.539 2-.933-2-.933L22 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCarGarage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19.723V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1h12v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1.277A1.99 1.99 0 0 0 22 18v-3c0-.831-.507-1.542-1.228-1.845l-1.368-4.104A2.995 2.995 0 0 0 16.559 7H7.441a2.995 2.995 0 0 0-2.845 2.051l-1.368 4.104A2.001 2.001 0 0 0 2 15v3c0 .738.404 1.376 1 1.723zM5.5 18a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 5.5 18zm13 0a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 18.5 18zM7.441 9h9.117a1 1 0 0 1 .949.684L18.613 13H5.387l1.105-3.316c.137-.409.519-.684.949-.684z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 7.388V5.279l-9.684-3.228a.996.996 0 0 0-.658.009L2 5.572V7.7l10.015-3.642L22 7.388z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCarMechanic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.772 13.155-1.368-4.104A2.995 2.995 0 0 0 16.559 7H7.441a2.995 2.995 0 0 0-2.845 2.051l-1.368 4.104A2.001 2.001 0 0 0 2 15v3c0 .738.404 1.376 1 1.723V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1h12v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1.277A1.99 1.99 0 0 0 22 18v-3c0-.831-.507-1.542-1.228-1.845zM7.441 9h9.117a1 1 0 0 1 .949.684L18.613 13H5.387l1.105-3.316c.137-.409.519-.684.949-.684zM5.5 18a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 5.5 18zm13 0a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 18.5 18zM5.277 5c.347.595.985 1 1.723 1s1.376-.405 1.723-1h6.555c.346.595.984 1 1.722 1s1.376-.405 1.723-1H17V3h1.723c-.347-.595-.985-1-1.723-1s-1.376.405-1.723 1H8.723C8.376 2.405 7.738 2 7 2s-1.376.405-1.723 1H7v2H5.277z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCarWash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.772 13.155-1.368-4.104A2.995 2.995 0 0 0 16.559 7H7.441a2.995 2.995 0 0 0-2.845 2.051l-1.368 4.104A2.001 2.001 0 0 0 2 15v3c0 .738.404 1.376 1 1.723V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1h12v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-1.277A1.99 1.99 0 0 0 22 18v-3c0-.831-.507-1.542-1.228-1.845zM7.441 9h9.117a1 1 0 0 1 .949.684L18.613 13H5.387l1.105-3.316c.137-.409.519-.684.949-.684zM5.5 18a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 5.5 18zm13 0a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 18.5 18zM9 4.378c.005-1.088-1.037-2.051-1.387-2.344a.176.176 0 0 0-.228 0C7.033 2.325 5.995 3.271 6 4.377 6 5.272 6.673 6 7.5 6S9 5.272 9 4.378zm4.5 0c.005-1.088-1.037-2.052-1.387-2.344a.176.176 0 0 0-.228 0c-.353.291-1.391 1.238-1.386 2.344C10.5 5.272 11.173 6 12 6s1.5-.728 1.5-1.622zm4.5 0c.005-1.088-1.037-2.052-1.387-2.344a.176.176 0 0 0-.228 0c-.352.291-1.39 1.237-1.385 2.343C15 5.272 15.673 6 16.5 6S18 5.272 18 4.378z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.772 10.155-1.368-4.104A2.995 2.995 0 0 0 16.559 4H7.441a2.995 2.995 0 0 0-2.845 2.051l-1.368 4.104A2 2 0 0 0 2 12v5c0 .738.404 1.376 1 1.723V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2h12v2a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2.277A1.99 1.99 0 0 0 22 17v-5a2 2 0 0 0-1.228-1.845zM7.441 6h9.117c.431 0 .813.274.949.684L18.613 10H5.387l1.105-3.316A1 1 0 0 1 7.441 6zM5.5 16a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 5.5 16zm13 0a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 18.5 16z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H6c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h12zM4 19h16v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretDownCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10c5.515 0 10-4.486 10-10S17.515 2 12 2zm0 14-5-6h10l-5 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretDownSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm12-11-5 6-5-6h10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm2 15-6-5 6-5v10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm9-14v10l-6-5 6-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.514 0 10-4.486 10-10S17.514 2 12 2 2 6.486 2 12s4.486 10 10 10zM10 7l6 5-6 5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm5-14 6 5-6 5V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretUpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.485 2 2 6.486 2 12s4.485 10 10 10c5.514 0 10-4.486 10-10S17.514 2 12 2zM7 14l5-6 5 6H7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCaretUpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm7-13 5 6H7l5-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCarousel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3H8c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h8c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM2 7v10c0 1.103.897 2 2 2V5c-1.103 0-2 .897-2 2zm18-2v14c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCartAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7H7.334L6.18 4.23A1.995 1.995 0 0 0 4.333 3H2v2h2.334l4.743 11.385c.155.372.52.615.923.615h8c.417 0 .79-.259.937-.648l3-8A1.003 1.003 0 0 0 21 7zm-4 6h-2v2h-2v-2h-2v-2h2V9h2v2h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCartAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4H2v2h2.3l3.521 9.683A2.004 2.004 0 0 0 9.7 17H18v-2H9.7l-.728-2H18c.4 0 .762-.238.919-.606l3-7A.998.998 0 0 0 21 4z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCartDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7H7.334L6.18 4.23A1.995 1.995 0 0 0 4.333 3H2v2h2.334l4.743 11.385c.155.372.52.615.923.615h8c.417 0 .79-.259.937-.648l3-8A1.003 1.003 0 0 0 21 7zm-7 8-3-3h2V9h2v3h2l-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.822 7.431A1 1 0 0 0 21 7H7.333L6.179 4.23A1.994 1.994 0 0 0 4.333 3H2v2h2.333l4.744 11.385A1 1 0 0 0 10 17h8c.417 0 .79-.259.937-.648l3-8a1 1 0 0 0-.115-.921z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"19.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCastle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11h-2V6h1V2h-2v2h-1V2h-2v2h-1V2h-2v2h-1V2H8v2H7V2H5v4h1v5H4V9H2v12h7v-5a3 3 0 0 1 6 0v5h7V9h-2zm-10-1H8V7h2zm6 0h-2V7h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14a5 5 0 0 0 2.71-.81L20 13a3.16 3.16 0 0 0 .45-.37l.21-.2a4.48 4.48 0 0 0 .48-.58l.06-.08a4.28 4.28 0 0 0 .41-.76 1.57 1.57 0 0 0 .09-.23 4.21 4.21 0 0 0 .2-.63l.06-.25A5.5 5.5 0 0 0 22 9V2l-3 3h-4l-3-3v7a5 5 0 0 0 5 5zm2-7a1 1 0 1 1-1 1 1 1 0 0 1 1-1zm-4 0a1 1 0 1 1-1 1 1 1 0 0 1 1-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 22v-5H8v5H5V11.9a3.49 3.49 0 0 1-2.48-1.64A3.59 3.59 0 0 1 2 8.5 3.65 3.65 0 0 1 6 5a1.89 1.89 0 0 0 2-2 1 1 0 0 1 1-1 1 1 0 0 1 1 1 3.89 3.89 0 0 1-4 4C4.19 7 4 8.16 4 8.51S4.18 10 6 10h5.09A6 6 0 0 0 19 14.65V22h-3v-5h-2v5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCategoryAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm10 10h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1zM17 3c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4zM7 13c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4-1.794-4-4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCategory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm10 0h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zM4 21h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm13 0c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCctv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.618 7.462 6.403 2.085a1.007 1.007 0 0 0-.77-.016 1.002 1.002 0 0 0-.552.537l-3 7a1 1 0 0 0 .525 1.313L9.563 13.9 8.323 17H4v-3H2v8h2v-3h4.323c.823 0 1.552-.494 1.856-1.258l1.222-3.054 3.419 1.465a1 1 0 0 0 1.311-.518l3-6.857a1 1 0 0 0-.513-1.316zm1.312 8.91-1.858-.742 1.998-5 1.858.741z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCertification (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.047 14.667a.992.992 0 0 0 .466.607l1.909 1.104v2.199a1 1 0 0 0 1 1h2.199l1.104 1.91a1.002 1.002 0 0 0 1.366.366L12 20.75l1.91 1.104a1.002 1.002 0 0 0 1.366-.366l1.103-1.909h2.199a1 1 0 0 0 1-1V16.38l1.909-1.104a.999.999 0 0 0 .366-1.366L20.75 12l1.104-1.909a1 1 0 0 0-.366-1.366l-1.909-1.104V5.422a1 1 0 0 0-1-1H16.38l-1.103-1.909a1.004 1.004 0 0 0-.607-.466.994.994 0 0 0-.759.1L12 3.25l-1.909-1.104a.998.998 0 0 0-1.366.365l-1.104 1.91H5.422a1 1 0 0 0-1 1V7.62L2.513 8.725a1.001 1.001 0 0 0-.365 1.366L3.251 12l-1.104 1.909a1.003 1.003 0 0 0-.1.758z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChalkboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h4l-1.8 2.4 1.6 1.2 2.7-3.6h3l2.7 3.6 1.6-1.2L16 18h4c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM5 13h4v2H5v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14zM9.553 9.658l4 2 1.553-3.105 1.789.895-2.447 4.895-4-2-1.553 3.105-1.789-.895 2.447-4.895z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h2v4.081L11.101 18H16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8c-1.103 0-2 .897-2 2h12c1.103 0 2 .897 2 2v8c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCheckCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-1.999 14.413-3.713-3.705L7.7 11.292l2.299 2.295 5.294-5.294 1.414 1.414-6.706 6.706z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCheckShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.488 21.754c.294.157.663.156.957-.001 8.012-4.304 8.581-12.713 8.574-15.104a.988.988 0 0 0-.596-.903l-8.05-3.566a1.005 1.005 0 0 0-.813.001L3.566 5.747a.99.99 0 0 0-.592.892c-.034 2.379.445 10.806 8.514 15.115zM8.674 10.293l2.293 2.293 4.293-4.293 1.414 1.414-5.707 5.707-3.707-3.707 1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCheckSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm-7.933 13.481-3.774-3.774 1.414-1.414 2.226 2.226 4.299-5.159 1.537 1.28-5.702 6.841z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCheckboxChecked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2H7zm4 10.414-2.707-2.707 1.414-1.414L11 12.586l3.793-3.793 1.414 1.414L11 15.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCheckboxMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5H7a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2zm-1 8H8v-2h8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCheckbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h10a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2H7a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCheese (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.16 2a1 1 0 0 0-.66.13l-12 7a.64.64 0 0 0-.13.1l-.1.08a1.17 1.17 0 0 0-.17.26.84.84 0 0 0-.1.43v10a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V10a8.08 8.08 0 0 0-6.84-8zm0 2.05A6.07 6.07 0 0 1 19.93 9H6.7zM6.5 18A1.5 1.5 0 1 1 8 16.5 1.5 1.5 0 0 1 6.5 18zm5-3a1.5 1.5 0 1 1 1.5-1.5 1.5 1.5 0 0 1-1.5 1.5zm5.5 3a2 2 0 1 1 2-2 2 2 0 0 1-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChess (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 2H3a1 1 0 0 0-1 1v18a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V3a1 1 0 0 0-1-1zm-1 6h-4v4h4v4h-4v4h-4v-4H8v4H4v-4h4v-4H4V8h4V4h4v4h4V4h4v4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h4v4H8zm4 4h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronDownCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 14.414-5.707-5.707 1.414-1.414L12 13.586l4.293-4.293 1.414 1.414L12 16.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronDownSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM7.707 9.293 12 13.586l4.293-4.293 1.414 1.414L12 16.414l-5.707-5.707 1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.939 7.939 12 12.879l-4.939-4.94-2.122 2.122L12 17.121l7.061-7.06z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm2.707 14.293-1.414 1.414L7.586 12l5.707-5.707 1.414 1.414L10.414 12l4.293 4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5zm9.707 13.293-1.414 1.414L7.586 12l5.707-5.707 1.414 1.414L10.414 12l4.293 4.293z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.939 4.939 6.879 12l7.06 7.061 2.122-2.122L11.121 12l4.94-4.939z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-1.293 15.707-1.414-1.414L13.586 12 9.293 7.707l1.414-1.414L16.414 12l-5.707 5.707z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2zm6.293 2.707 1.414-1.414L16.414 12l-5.707 5.707-1.414-1.414L13.586 12 9.293 7.707z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.061 19.061 17.121 12l-7.06-7.061-2.122 2.122L12.879 12l-4.94 4.939z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronUpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm4.293 12.707L12 10.414l-4.293 4.293-1.414-1.414L12 7.586l5.707 5.707-1.414 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronUpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zm7-13.414 5.707 5.707-1.414 1.414L12 10.414l-4.293 4.293-1.414-1.414L12 7.586z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 6.879-7.061 7.06 2.122 2.122L12 11.121l4.939 4.94 2.122-2.122z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.939 10.939 12 15.879l-4.939-4.94-2.122 2.122L12 20.121l7.061-7.06z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.939 3.939 12 8.879l-4.939-4.94-2.122 2.122L12 13.121l7.061-7.06z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8.121 12 4.94-4.939-2.122-2.122L3.879 12l7.06 7.061 2.122-2.122z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.939 4.939 10.879 12l7.06 7.061 2.122-2.122L15.121 12l4.94-4.939z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13.061 4.939-2.122 2.122L15.879 12l-4.94 4.939 2.122 2.122L20.121 12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.061 19.061 13.121 12l-7.06-7.061-2.122 2.122L8.879 12l-4.94 4.939z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChevronsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 3.879-7.061 7.06 2.122 2.122L12 8.121l4.939 4.94 2.122-2.122z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.939 17.939 2.122 2.122L12 15.121l4.939 4.94 2.122-2.122L12 10.879z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7a2 2 0 0 0-2-2h-1V2h-2v3h-4V2H8v3H7a2 2 0 0 0-2 2v1H2v2h3v4H2v2h3v1a2 2 0 0 0 2 2h1v3h2v-3h4v3h2v-3h1a2 2 0 0 0 2-2v-1h3v-2h-3v-4h3V8h-3V7zm-4 8H9V9h6v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidChurch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 22v-4a2 2 0 0 1 4 0v4h4V12a1 1 0 0 0-.485-.857L13 8.434V6h2V4h-2V2h-2v2H9v2h2v2.434l-4.515 2.709A1 1 0 0 0 6 12v10h4zm-7 0h2v-8.118l-2.447 1.224A.998.998 0 0 0 2 16v5a1 1 0 0 0 1 1zm18.447-6.895L19 13.882V22h2a1 1 0 0 0 1-1v-5c0-.379-.214-.725-.553-.895z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCircleHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h-1v20h1a10 10 0 0 0 0-20z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCircleQuarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h-1v11h11v-1A10 10 0 0 0 12 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCircleThreeQuarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h-1v9H2v1a10 10 0 0 0 17.07 7.07A10 10 0 0 0 12 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4V3a1 1 0 0 0-1-1H8a1 1 0 0 0-1 1v7H3a1 1 0 0 0-1 1v8a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V7a1 1 0 0 0-1-1zM6 18H4v-2h2v2zm0-4H4v-2h2v2zm5 4H9v-2h2v2zm0-4H9v-2h2v2zm0-4H9V8h2v2zm0-4H9V4h2v2zm4 12h-2v-2h2v2zm0-4h-2v-2h2v2zm0-4h-2V8h2v2zm0-4h-2V4h2v2zm5 12h-2v-2h2v2zm0-4h-2v-2h2v2zm0-4h-2V8h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidClinic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.743 12.331-9-10c-.379-.422-1.107-.422-1.486 0l-9 10a.998.998 0 0 0-.17 1.076c.16.361.518.593.913.593h2v7a1 1 0 0 0 1 1h12a1 1 0 0 0 1-1v-7h2a.998.998 0 0 0 .743-1.669zM16 15h-3v3h-2v-3H8v-2h3v-3h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCloudDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 11.112C18.507 7.67 15.56 5 12 5 9.244 5 6.85 6.61 5.757 9.149 3.609 9.792 2 11.82 2 14c0 2.657 2.089 4.815 4.708 4.971V19H17.99v-.003L18 19c2.206 0 4-1.794 4-4a4.008 4.008 0 0 0-3.056-3.888zM8 12h3V9h2v3h3l-4 5-4-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCloudLightning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 10.112C18.507 6.67 15.56 4 12 4 9.244 4 6.85 5.611 5.757 8.15 3.609 8.792 2 10.82 2 13c0 2.757 2.243 5 5 5h1.333L10 13h4l-2 3h2.975l-1.325 2H18c2.206 0 4-1.794 4-4a4.01 4.01 0 0 0-3.056-3.888zM11 18H8.333L8 19h3v3l2.649-4H11.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCloudRain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 10.112C18.507 6.67 15.56 4 12 4 9.244 4 6.85 5.611 5.757 8.15 3.609 8.792 2 10.82 2 13c0 2.757 2.243 5 5 5h1v3h2v-3h4v3h2v-3h2c2.206 0 4-1.794 4-4a4.01 4.01 0 0 0-3.056-3.888z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h2v3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCloudUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 11.112C18.507 7.67 15.56 5 12 5 9.244 5 6.85 6.611 5.757 9.15 3.609 9.792 2 11.82 2 14c0 2.757 2.243 5 5 5h11c2.206 0 4-1.794 4-4a4.01 4.01 0 0 0-3.056-3.888zM13 14v3h-2v-3H8l4-5 4 5h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.944 11.112C18.507 7.67 15.56 5 12 5 9.244 5 6.85 6.611 5.757 9.15 3.609 9.792 2 11.82 2 14c0 2.757 2.243 5 5 5h11c2.206 0 4-1.794 4-4a4.01 4.01 0 0 0-3.056-3.888z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCoffeeAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5h-1V4a1 1 0 0 0-1-1H5a1 1 0 0 0-1 1v9a4 4 0 0 0 4 4h6c1.858 0 3.411-1.279 3.858-3H19a3 3 0 0 0 3-3V8a3 3 0 0 0-3-3zm1 6a1 1 0 0 1-1 1h-1V7h1a1 1 0 0 1 1 1v3zm-2 8H3c0 1.654 1.346 3 3 3h11c1.654 0 3-1.346 3-3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCoffeeBean (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18a6.06 6.06 0 0 0 5.17-6 7.62 7.62 0 0 1 6.52-7.51l2.59-.37c-.07-.08-.13-.16-.21-.24-3.26-3.26-9.52-2.28-14 2.18C2.28 9.9 1 15 2.76 18.46z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.73 12a7.63 7.63 0 0 1-6.51 7.52l-2.46.35.15.17c3.26 3.26 9.52 2.29 14-2.17C21.68 14.11 23 9 21.25 5.59l-3.34.48A6.05 6.05 0 0 0 12.73 12z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCoffeeTogo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18.5 5-1.224-2.447A1 1 0 0 0 16.382 2H7.618a1 1 0 0 0-.894.553L5.5 5H3v2h18V5h-2.5zM6.734 21.142c.071.492.493.858.991.858h8.551a1 1 0 0 0 .99-.858L19 9H5l1.734 12.142zM16 12l-.714 5H8.714L8 12h8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCoffee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2h2v3H5zm4 0h2v3H9zm4 0h2v3h-2zm6 7h-2V8a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v10a3 3 0 0 0 3 3h8a3 3 0 0 0 3-3h2c1.103 0 2-.897 2-2v-5c0-1.103-.897-2-2-2zm-2 7v-5h2l.002 5H17z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.344 15.271 2 3.46a1 1 0 0 0 1.366.365l1.396-.806c.58.457 1.221.832 1.895 1.112V21a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-1.598a8.094 8.094 0 0 0 1.895-1.112l1.396.806c.477.275 1.091.11 1.366-.365l2-3.46a1.004 1.004 0 0 0-.365-1.366l-1.372-.793a7.683 7.683 0 0 0-.002-2.224l1.372-.793c.476-.275.641-.89.365-1.366l-2-3.46a1 1 0 0 0-1.366-.365l-1.396.806A8.034 8.034 0 0 0 15 4.598V3a1 1 0 0 0-1-1h-4a1 1 0 0 0-1 1v1.598A8.094 8.094 0 0 0 7.105 5.71L5.71 4.904a.999.999 0 0 0-1.366.365l-2 3.46a1.004 1.004 0 0 0 .365 1.366l1.372.793a7.683 7.683 0 0 0 0 2.224l-1.372.793c-.476.275-.641.89-.365 1.366zM12 8c2.206 0 4 1.794 4 4s-1.794 4-4 4-4-1.794-4-4 1.794-4 4-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCoinStack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c3.976 0 8-1.374 8-4s-4.024-4-8-4-8 1.374-8 4 4.024 4 8 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10c0 2.626 4.024 4 8 4s8-1.374 8-4V8c0 2.626-4.024 4-8 4s-8-1.374-8-4v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14c0 2.626 4.024 4 8 4s8-1.374 8-4v-2c0 2.626-4.024 4-8 4s-8-1.374-8-4v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18c0 2.626 4.024 4 8 4s8-1.374 8-4v-2c0 2.626-4.024 4-8 4s-8-1.374-8-4v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5C7.031 5 2 6.546 2 9.5S7.031 14 12 14c4.97 0 10-1.546 10-4.5S16.97 5 12 5zm-5 9.938v3c1.237.299 2.605.482 4 .541v-3a21.166 21.166 0 0 1-4-.541zm6 .54v3a20.994 20.994 0 0 0 4-.541v-3a20.994 20.994 0 0 1-4 .541zm6-1.181v3c1.801-.755 3-1.857 3-3.297v-3c0 1.44-1.199 2.542-3 3.297zm-14 3v-3C3.2 13.542 2 12.439 2 11v3c0 1.439 1.2 2.542 3 3.297z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCollection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10H5c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2v-8c0-1.103-.897-2-2-2zM5 6h14v2H5zm2-4h10v2H7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidColorFill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14c-.092.064-2 2.083-2 3.5 0 1.494.949 2.448 2 2.5.906.044 2-.891 2-2.5 0-1.5-1.908-3.436-2-3.5zM9.586 20c.378.378.88.586 1.414.586s1.036-.208 1.414-.586l7-7-.707-.707L11 4.586 8.707 2.293 7.293 3.707 9.586 6 4 11.586c-.378.378-.586.88-.586 1.414s.208 1.036.586 1.414L9.586 20zM11 7.414 16.586 13H5.414L11 7.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidColor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.08 11.25A4.84 4.84 0 0 1 8 9.05L4.43 5.49A9.88 9.88 0 0 0 2 11.25zM9.05 8a4.84 4.84 0 0 1 2.2-.91V2a9.88 9.88 0 0 0-5.76 2.43zm3.7-6v5A4.84 4.84 0 0 1 15 8l3.56-3.56A9.88 9.88 0 0 0 12.75 2zM8 15a4.84 4.84 0 0 1-.91-2.2H2a9.88 9.88 0 0 0 2.39 5.76zm3.25 1.92a4.84 4.84 0 0 1-2.2-.92l-3.56 3.57A9.88 9.88 0 0 0 11.25 22zM16 9.05a4.84 4.84 0 0 1 .91 2.2h5a9.88 9.88 0 0 0-2.39-5.76zM15 16a4.84 4.84 0 0 1-2.2.91v5a9.88 9.88 0 0 0 5.76-2.39zm1.92-3.25A4.84 4.84 0 0 1 16 15l3.56 3.56A9.88 9.88 0 0 0 22 12.75z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-3 9h-4v4h-2v-4H7V9h4V5h2v4h4v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-9 11.914-3.707-3.707 1.414-1.414L11 11.086l4.793-4.793 1.414 1.414L11 13.914z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 1.999H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2v-12c0-1.103-.897-2-2-2zm-6 11H7v-2h7v2zm3-4H7v-2h10v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM9 12a2 2 0 1 1 .001-4.001A2 2 0 0 1 9 12zm6 0a2 2 0 1 1 .001-4.001A2 2 0 0 1 15 12z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM8.999 14.987H7v-1.999l5.53-5.522 1.998 1.999-5.529 5.522zm6.472-6.464-1.999-1.999 1.524-1.523L16.995 7l-1.524 1.523z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-7 13h-2v-2h2v2zm0-4h-2V5h2v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-4 9H8V9h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCommentX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-3.294 11.543-1.414 1.414-3.293-3.292-3.292 3.292-1.414-1.414 3.292-3.292-3.292-3.293 1.414-1.414 3.292 3.292 3.293-3.292 1.414 1.414-3.292 3.293 3.292 3.292z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidComment (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .897-2 2v18l4-4h14c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCompass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm3 13-8 2 2-8 8-2-2 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function BiSolidComponent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3.553 18.895 4 2a1.001 1.001 0 0 0 .894 0L12 19.118l3.553 1.776a.99.99 0 0 0 .894.001l4-2c.339-.17.553-.516.553-.895v-5c0-.379-.214-.725-.553-.895L17 10.382V6c0-.379-.214-.725-.553-.895l-4-2a1 1 0 0 0-.895 0l-4 2C7.214 5.275 7 5.621 7 6v4.382l-3.447 1.724A.998.998 0 0 0 3 13v5c0 .379.214.725.553.895zM8 12.118l2.264 1.132-2.913 1.457-2.264-1.132L8 12.118zm4-2.5 3-1.5v2.264l-3 1.5V9.618zm6.264 3.632-2.882 1.441-2.264-1.132L16 12.118l2.264 1.132zM8 18.882l-.062-.031V16.65L11 15.118v2.264l-3 1.5zm8 0v-2.264l3-1.5v2.264l-3 1.5zM12 5.118l2.264 1.132-2.882 1.441-2.264-1.132L12 5.118z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidConfused (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-5 8.5a1.5 1.5 0 1 1 3.001.001A1.5 1.5 0 0 1 7 10.5zm1.124 6.492-.248-1.984 8-1 .248 1.984-8 1zm7.369-5.006a1.494 1.494 0 1 1 .001-2.987 1.494 1.494 0 0 1-.001 2.987z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidContact (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 2H6a2 2 0 0 0-2 2v3H2v2h2v2H2v2h2v2H2v2h2v3a2 2 0 0 0 2 2h15a1 1 0 0 0 1-1V3a1 1 0 0 0-1-1zm-8 2.999c1.648 0 3 1.351 3 3A3.012 3.012 0 0 1 13 11c-1.647 0-3-1.353-3-3.001 0-1.649 1.353-3 3-3zM19 18H7v-.75c0-2.219 2.705-4.5 6-4.5s6 2.281 6 4.5V18z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidConversation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14h3.5c.827 0 1.5-.673 1.5-1.5v-9c0-.827-.673-1.5-1.5-1.5h-13C2.673 2 2 2.673 2 3.5V18l5.333-4H13zm-9-.1.154-.016L4 14v-.1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 8H20v6.001c0 1.1-.893 1.993-1.99 1.999H8v.5c0 .827.673 1.5 1.5 1.5h7.167L22 22V9.5c0-.827-.673-1.5-1.5-1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCookie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.598 11.064a1.006 1.006 0 0 0-.854-.172A2.938 2.938 0 0 1 20 11c-1.654 0-3-1.346-3.003-2.938.005-.034.016-.134.017-.168a.998.998 0 0 0-1.254-1.006A3.002 3.002 0 0 1 15 7c-1.654 0-3-1.346-3-3 0-.217.031-.444.099-.716a1 1 0 0 0-1.067-1.236A9.956 9.956 0 0 0 2 12c0 5.514 4.486 10 10 10s10-4.486 10-10c0-.049-.003-.097-.007-.16a1.004 1.004 0 0 0-.395-.776zM8.5 6a1.5 1.5 0 1 1 0 3 1.5 1.5 0 0 1 0-3zm-2 8a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3zm3 4a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3zm2.5-6.5a1.5 1.5 0 1 1 3 0 1.5 1.5 0 0 1-3 0zm3.5 6.5a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm4.095 14.244a5.982 5.982 0 0 1-3.034 1.634 6.05 6.05 0 0 1-2.414 0 5.919 5.919 0 0 1-2.148-.903 6.078 6.078 0 0 1-1.621-1.622l1.658-1.117c.143.211.307.41.488.59a3.988 3.988 0 0 0 1.273.86c.243.102.495.181.749.232a4.108 4.108 0 0 0 1.616 0c.253-.052.505-.131.75-.233.234-.1.464-.224.679-.368.208-.142.407-.306.591-.489.183-.182.347-.381.489-.592l1.658 1.117c-.215.32-.462.62-.734.891zM19 10a2 2 0 0 1-2 2h-2a2 2 0 0 1-2-2h-2a2 2 0 0 1-2 2H7a2 2 0 0 1-2-2V8h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCopyAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H10a2 2 0 0 0-2 2v2h8a2 2 0 0 1 2 2v8h2a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h10c1.103 0 2-.897 2-2V10c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2zm2-10h6v2H6v-2zm0 4h6v2H6v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h10c1.103 0 2-.897 2-2V10c0-1.103-.897-2-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H10a2 2 0 0 0-2 2v2h8a2 2 0 0 1 2 2v8h2a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCopyright (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.579 2 2 6.58 2 12s4.579 10 10 10 10-4.58 10-10S17.421 2 12 2zm0 13c.992 0 1.85-.265 2.293-.708l1.414 1.415C14.581 16.832 12.901 17 12 17c-2.757 0-5-2.243-5-5s2.243-5 5-5c.901 0 2.582.168 3.707 1.293l-1.414 1.414C13.851 9.264 12.993 9 12 9c-1.626 0-3 1.374-3 3s1.374 3 3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCoupon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5H3a1 1 0 0 0-1 1v4h.893c.996 0 1.92.681 2.08 1.664A2.001 2.001 0 0 1 3 14H2v4a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1v-4h-1a2.001 2.001 0 0 1-1.973-2.336c.16-.983 1.084-1.664 2.08-1.664H22V6a1 1 0 0 0-1-1zM11 17H9v-2h2v2zm0-4H9v-2h2v2zm0-4H9V7h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCreditCardAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-7.5 12a2.5 2.5 0 1 1 0-5 2.47 2.47 0 0 1 1.5.512c-.604.456-1 1.173-1 1.988s.396 1.532 1 1.988a2.47 2.47 0 0 1-1.5.512zm4 0a2.5 2.5 0 1 1 0-5 2.5 2.5 0 0 1 0 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCreditCardFront (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM5 8.5a.5.5 0 0 1 .5-.5h3a.5.5 0 0 1 .5.5v2a.5.5 0 0 1-.5.5h-3a.5.5 0 0 1-.5-.5v-2zm6 7.5H5v-2h6v2zm8 0h-6v-2h6v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCreditCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v2h20V6c0-1.103-.897-2-2-2zM2 18c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2v-6H2v6zm3-3h6v2H5v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCricketBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3.67 16.26.54.53-.62.61a9 9 0 0 0 .84 1.11L18.51 4.42a10.93 10.93 0 0 0-1.1-.83l-.62.61-.53-.53.48-.48A10 10 0 0 0 3.2 16.74zM14.86 5.07l.53.53L14 7l-.53-.53zm-2.79 2.8.52.53-1.39 1.4-.53-.53zm-2.8 2.8.53.53-1.4 1.39-.53-.53zm-2.8 2.79L7 14l-1.4 1.4-.53-.53zm.12 6.95.62-.61.53.53-.48.48A10 10 0 0 0 20.8 7.26l-.47.48-.54-.53.62-.61a9 9 0 0 0-.84-1.11L5.49 19.58a10.93 10.93 0 0 0 1.1.83zM18.4 8.61l.53.53-1.4 1.4L17 10zm-2.8 2.8.53.53-1.4 1.39-.53-.53zm-2.8 2.79.53.53-1.39 1.4-.54-.53zM10 17l.53.53-1.4 1.4-.53-.53z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCrop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7.5C19 6.121 17.879 5 16.5 5H8V2H5v3H2v3h14v14h3v-3h3v-3h-3V7.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10H5v6.5C5 17.879 6.121 19 7.5 19H14v-3H8v-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCrown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21 2-5 5-4-5-4 5-5-5v13h18zM5 21h14a2 2 0 0 0 2-2v-2H3v2a2 2 0 0 0 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCubeAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.895 3.553A1.001 1.001 0 0 0 17 3H7c-.379 0-.725.214-.895.553l-4 8a1 1 0 0 0 0 .895l4 8c.17.338.516.552.895.552h10c.379 0 .725-.214.895-.553l4-8a1 1 0 0 0 0-.895l-4-7.999zM19.382 11h-7.764l-3-6h7.764l3 6zm-3 8H8.618l3-6h7.764l-3 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.406 6.086-9-4a1.001 1.001 0 0 0-.813 0l-9 4c-.02.009-.034.024-.054.035-.028.014-.058.023-.084.04-.022.015-.039.034-.06.05a.87.87 0 0 0-.19.194c-.02.028-.041.053-.059.081a1.119 1.119 0 0 0-.076.165c-.009.027-.023.052-.031.079A1.013 1.013 0 0 0 2 7v10c0 .396.232.753.594.914l9 4c.13.058.268.086.406.086a.997.997 0 0 0 .402-.096l.004.01 9-4A.999.999 0 0 0 22 17V7a.999.999 0 0 0-.594-.914zM12 4.095 18.538 7 12 9.905l-1.308-.581L5.463 7 12 4.095zm1 15.366V11.65l7-3.111v7.812l-7 3.11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCuboid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.707 2.293A.996.996 0 0 0 16 2H8c-.414 0-.785.255-.934.641l-5 13a.999.999 0 0 0 .227 1.066l5 5A.996.996 0 0 0 8 22h8c.414 0 .785-.255.934-.641l5-13a.999.999 0 0 0-.227-1.066l-5-5zM18.585 7h-5.171l-3-3h5.172l2.999 3zm-3.272 13h-6.23l4.583-11h5.878l-4.231 11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCustomize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm10 0h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM10 13H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1zm8 1h-2v2h-2v2h2v2h2v-2h2v-2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidCylinder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.131 0 9-1.935 9-4.5V7c0-.051-.024-.097-.033-.146.016-.117.033-.234.033-.354C21 3.935 17.131 2 12 2S3 3.935 3 6.5v11c0 2.565 3.869 4.5 9 4.5zm0-18c4.273 0 7 1.48 7 2.5a.683.683 0 0 1-.025.158c-.004.01-.012.018-.015.027-.274.848-2.29 1.98-5.496 2.253l-.05.003C12.965 8.979 12.494 9 12 9 7.727 9 5 7.52 5 6.5S7.727 4 12 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDashboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v8a1 1 0 0 0 1 1zm-1 7a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v4zm10 0a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-7a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v7zm1-10h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidData (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6c0-2.168-3.663-4-8-4S4 3.832 4 6v2c0 2.168 3.663 4 8 4s8-1.832 8-4V6zm-8 13c-4.337 0-8-1.832-8-4v3c0 2.168 3.663 4 8 4s8-1.832 8-4v-3c0 2.168-3.663 4-8 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10c0 2.168-3.663 4-8 4s-8-1.832-8-4v3c0 2.168 3.663 4 8 4s8-1.832 8-4v-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zm-9 14H5v-2h6v2zm8-4H5v-2h14v2zm0-4H5V7h14v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDevices (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H7c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h6c1.103 0 2-.897 2-2h8c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM6 9h4l-.003 9H4V9h2zm6 8V9c0-1.103-.897-2-2-2H7V5h11v12h-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDiamond (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.445 3h-8.89c-.345 0-.666.178-.849.47L3.25 9h17.5l-3.456-5.53a1.003 1.003 0 0 0-.849-.47zM11.26 21.186a1 1 0 0 0 1.48 0L22 11H2l9.26 10.186z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDice1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm-7 10.5a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDice2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM9.5 13.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 9.5 13.5zm5 0a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 14.5 13.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDice3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM8 9.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 9.5zm4 4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 12 13.5zm4 4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 17.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDice4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM8 17.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 17.5zm0-8a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 9.5zm8 8a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 17.5zm0-8a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 9.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDice5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM8 17.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 17.5zm0-8a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 9.5zm4 4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 12 13.5zm4 4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 17.5zm0-8a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 9.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDice6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM8 17.5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 17.5zm0-4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 13.5zm0-4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8 9.5zm8 8a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 17.5zm0-4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 13.5zm0-4a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16 9.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDirectionLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 11.294-8.978-9a1.001 1.001 0 0 0-1.415-.002l-9.021 9a1 1 0 0 0 0 1.416l9.021 9c.39.389 1.026.388 1.415-.002l8.978-9a.998.998 0 0 0 0-1.412zM15 16h-2v-4h-3v2l-3-3 3-3v2h5v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDirectionRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.295 12.707 8.978 9c.389.39 1.025.391 1.414.002l9.021-9a1 1 0 0 0 0-1.416l-9.021-9a.999.999 0 0 0-1.414.002l-8.978 9a.998.998 0 0 0 0 1.412zm6.707-2.706h5v-2l3 3-3 3v-2h-3v4h-2v-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDirections (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11h-6V8h6a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2H5L2 5l3 3h6v3H5a2 2 0 0 0-2 2v2a2 2 0 0 0 2 2h6v5h2v-5h6l3-3-3-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDisc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 14c-2.206 0-4-1.794-4-4s1.794-4 4-4 4 1.794 4 4-1.794 4-4 4z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.998\",\"cy\":\"11.998\",\"r\":\"2.002\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5H3a1 1 0 0 0-1 1v4h.893c.996 0 1.92.681 2.08 1.664A2.001 2.001 0 0 1 3 14H2v4a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1v-4h-1a2.001 2.001 0 0 1-1.973-2.336c.16-.983 1.084-1.664 2.08-1.664H22V6a1 1 0 0 0-1-1zM9 9a1 1 0 1 1 0 2 1 1 0 1 1 0-2zm-.8 6.4 6-8 1.6 1.2-6 8-1.6-1.2zM15 15a1 1 0 1 1 0-2 1 1 0 1 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15c0-4.625-3.507-8.441-8-8.941V4h-2v2.059c-4.493.5-8 4.316-8 8.941v2h18v-2zM2 18h20v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDislike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3h-1v13h1a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zM4 16h7l-1.122 3.368A2 2 0 0 0 11.775 22H12l5-5.438V3H6l-3.937 8.649-.063.293V14a2 2 0 0 0 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDizzy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM8 12.414l-1.293 1.293-1.414-1.414L6.586 11 5.293 9.707l1.414-1.414L8 9.586l1.293-1.293 1.414 1.414L9.414 11l1.293 1.293-1.414 1.414L8 12.414zM14 18h-4v-2h4v2zm4.707-5.707-1.414 1.414L16 12.414l-1.293 1.293-1.414-1.414L14.586 11l-1.293-1.293 1.414-1.414L16 9.586l1.293-1.293 1.414 1.414L17.414 11l1.293 1.293z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDockBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2zM19 5l.001 9H5V5h14z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDockLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2zm-11 0V5h9l.002 14H10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDockRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5zM5 5h9v14H5V5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDockTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2zm2 14v-9h14.001l.001 9H5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-2l-1.27-1.27A2.49 2.49 0 0 0 16 4h-2.5A2.64 2.64 0 0 0 11 2v6.36a4.38 4.38 0 0 0 1.13 2.72 6.57 6.57 0 0 0 4.13 1.82l3.45-1.38a3 3 0 0 0 1.73-1.84L22 8.15a1.06 1.06 0 0 0 0-.31V7a1 1 0 0 0-1-1zm-5 2a1 1 0 1 1 1-1 1 1 0 0 1-1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.38 11.74A5.24 5.24 0 0 1 10.07 9H6a1.88 1.88 0 0 1-2-2 1 1 0 0 0-2 0 4.69 4.69 0 0 0 .48 2A3.58 3.58 0 0 0 4 10.53V22h3v-5h6v5h3v-8.13a7.35 7.35 0 0 1-4.62-2.13z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDollarCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm1 14.915V18h-2v-1.08c-2.339-.367-3-2.002-3-2.92h2c.011.143.159 1 2 1 1.38 0 2-.585 2-1 0-.324 0-1-2-1-3.48 0-4-1.88-4-3 0-1.288 1.029-2.584 3-2.915V6.012h2v1.109c1.734.41 2.4 1.853 2.4 2.879h-1l-1 .018C13.386 9.638 13.185 9 12 9c-1.299 0-2 .516-2 1 0 .374 0 1 2 1 3.48 0 4 1.88 4 3 0 1.288-1.029 2.584-3 2.915z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDonateBlood (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.726 13.02 14 16H9v-1h4.065a.5.5 0 0 0 .416-.777l-.888-1.332A1.995 1.995 0 0 0 10.93 12H3a1 1 0 0 0-1 1v6a2 2 0 0 0 2 2h9.639a3 3 0 0 0 2.258-1.024L22 13l-1.452-.484a2.998 2.998 0 0 0-2.822.504zM15.403 12a3 3 0 0 0 3-3c0-2.708-3-6-3-6s-3 3.271-3 6a3 3 0 0 0 3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDonateHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.726 13.02 14 16H9v-1h4.065a.5.5 0 0 0 .416-.777l-.888-1.332A1.995 1.995 0 0 0 10.93 12H3a1 1 0 0 0-1 1v6a2 2 0 0 0 2 2h9.639a3 3 0 0 0 2.258-1.024L22 13l-1.452-.484a2.998 2.998 0 0 0-2.822.504zm1.532-5.63c.451-.465.73-1.108.73-1.818s-.279-1.353-.73-1.818A2.447 2.447 0 0 0 17.494 3S16.25 2.997 15 4.286C13.75 2.997 12.506 3 12.506 3a2.45 2.45 0 0 0-1.764.753c-.451.466-.73 1.108-.73 1.818s.279 1.354.73 1.818L15 12l4.258-4.61z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDoorOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5v14a1 1 0 0 0 1 1h3v-2H7V6h2V4H6a1 1 0 0 0-1 1zm14.242-.97-8-2A1 1 0 0 0 10 3v18a.998.998 0 0 0 1.242.97l8-2A1 1 0 0 0 20 19V5a1 1 0 0 0-.758-.97zM15 12.188a1.001 1.001 0 0 1-2 0v-.377a1 1 0 1 1 2 .001v.376z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDoughnutChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6c2.507.423 4.577 2.493 5 5h4c-.471-4.717-4.283-8.529-9-9v4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13c-.478 2.833-2.982 4.949-5.949 4.949-3.309 0-6-2.691-6-6C6.051 8.982 8.167 6.478 11 6V2c-5.046.504-8.949 4.773-8.949 9.949 0 5.514 4.486 10 10 10 5.176 0 9.445-3.903 9.949-8.949h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDownArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h-5V6h-2v6H6l6 7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDownArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 15-5-5h4V7h2v5h4l-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDownArrowSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14zm-8-9V7h2v5h4l-5 5-5-5h4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDownArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.178 19.569a.998.998 0 0 0 1.644 0l9-13A.999.999 0 0 0 21 5H3a1.002 1.002 0 0 0-.822 1.569l9 13z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h-4V3H9v6H5l7 8zM4 19h16v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDownvote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.901 10.566A1.001 1.001 0 0 0 20 10h-4V3a1 1 0 0 0-1-1H9a1 1 0 0 0-1 1v7H4a1.001 1.001 0 0 0-.781 1.625l8 10a1 1 0 0 0 1.562 0l8-10c.24-.301.286-.712.12-1.059z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDrink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.832 4.555A1 1 0 0 0 20 3H4a1 1 0 0 0-.832 1.554L11 16.303V20H8v2h8v-2h-3v-3.697l7.832-11.748zm-2.7.445-2 3H7.868l-2-3h12.264z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDropletHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.578 2.184a1.004 1.004 0 0 0-1.156 0C11.119 2.398 4 7.513 4 13.75 4 18.53 7.364 22 12 22s8-3.468 8-8.246c0-6.241-7.119-11.356-7.422-11.57zM6 13.75c0-4.283 4.395-8.201 6-9.49V20c-3.533 0-6-2.57-6-6.25z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDroplet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.6 2.4c-.4-.3-.9-.3-1.2 0C9.5 3.9 4 8.5 4 14c0 4.4 3.6 8 8 8s8-3.6 8-8c0-5.4-5.5-10.1-7.4-11.6\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDryer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h16a1 1 0 0 0 1-1V5c0-1.654-1.346-3-3-3H6C4.346 2 3 3.346 3 5v16a1 1 0 0 0 1 1zM18 3.924a1 1 0 1 1 0 2 1 1 0 0 1 0-2zm-3 0a1 1 0 1 1 0 2 1 1 0 0 1 0-2zm-3 3.117c3.309 0 6 2.691 6 6s-2.691 6-6 6-6-2.691-6-6 2.691-6 6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.32 12.265c-.415.384-1.041.964-1.041 2.067 0 1.104.626 1.684 1.041 2.068.352.325.4.398.4.6h2c0-1.104-.626-1.684-1.041-2.068-.352-.325-.4-.398-.4-.6s.048-.275.4-.6c.414-.384 1.041-.964 1.041-2.068 0-1.103-.626-1.683-1.041-2.066-.351-.325-.399-.397-.399-.598h-2c0 1.104.627 1.683 1.042 2.066.351.324.399.396.399.597-.001.203-.05.276-.401.602zm4 0c-.414.384-1.04.964-1.04 2.067s.626 1.684 1.04 2.067c.351.325.399.398.399.601h2c0-1.104-.626-1.684-1.04-2.067-.351-.325-.399-.398-.399-.601s.049-.275.399-.601c.414-.384 1.04-.964 1.04-2.068 0-1.103-.626-1.682-1.04-2.065-.35-.324-.399-.397-.399-.598h-2c0 1.103.626 1.683 1.041 2.066.35.324.398.397.398.598.001.202-.048.275-.399.601z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidDuplicate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h12a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2zm2-9h3v-3h2v3h3v2h-3v3H9v-3H6v-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8v2h12v12h2V4c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEditAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 2.012 3 3L16.713 7.3l-3-3zM4 14v3h3l8.299-8.287-3-3zm0 6h16v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEditLocation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C7.589 2 4 5.589 4 9.995 3.971 16.44 11.696 21.784 12 22c0 0 8.029-5.56 8-12 0-4.411-3.589-8-8-8zM9.799 14.987H8v-1.799l4.977-4.97 1.799 1.799-4.977 4.97zm5.824-5.817-1.799-1.799L15.196 6l1.799 1.799-1.372 1.371z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18.988 2.012 3 3L19.701 7.3l-3-3zM8 16h3l7.287-7.287-3-3L8 13z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19H8.158c-.026 0-.053.01-.079.01-.033 0-.066-.009-.1-.01H5V5h6.847l2-2H5c-1.103 0-2 .896-2 2v14c0 1.104.897 2 2 2h14a2 2 0 0 0 2-2v-8.668l-2 2V19z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEject (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 6-6 8h12zM6 16h12v2H6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEnvelopeOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.555 8.168-9-6a1 1 0 0 0-1.109 0l-9 6A.995.995 0 0 0 2.004 9H2v11a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V9h-.004a.997.997 0 0 0-.441-.832zM20 12.7 12 17l-8-4.3v-2.403l8 4.299 8-4.299V12.7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEnvelope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2zm0 4.7-8 5.334L4 8.7V6.297l8 5.333 8-5.333V8.7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEraser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.48 3 7.73 7.75 3 12.59a2 2 0 0 0 0 2.82l4.3 4.3A1 1 0 0 0 8 20h12v-2h-7l7.22-7.22a2 2 0 0 0 0-2.83L15.31 3a2 2 0 0 0-2.83 0zM8.41 18l-4-4 4.75-4.84.74-.75 4.95 4.95-4.56 4.56-.07.08z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidErrorAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.707 2.293A.996.996 0 0 0 16 2H8a.996.996 0 0 0-.707.293l-5 5A.996.996 0 0 0 2 8v8c0 .266.105.52.293.707l5 5A.996.996 0 0 0 8 22h8c.266 0 .52-.105.707-.293l5-5A.996.996 0 0 0 22 16V8a.996.996 0 0 0-.293-.707l-5-5zM13 17h-2v-2h2v2zm0-4h-2V7h2v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidErrorCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.953 2C6.465 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.493 2 11.953 2zM13 17h-2v-2h2v2zm0-4h-2V7h2v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.884 2.532c-.346-.654-1.422-.654-1.768 0l-9 17A.999.999 0 0 0 3 21h18a.998.998 0 0 0 .883-1.467L12.884 2.532zM13 18h-2v-2h2v2zm-2-4V9h2l.001 5H11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEvStation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19.616 6.48.014-.017-4-3.24-1.26 1.554 2.067 1.674a2.99 2.99 0 0 0-1.394 3.062c.15.899.769 1.676 1.57 2.111.895.487 1.68.442 2.378.194L18.976 18a.996.996 0 0 1-1.39.922.995.995 0 0 1-.318-.217.996.996 0 0 1-.291-.705L17 16a2.98 2.98 0 0 0-.877-2.119A3 3 0 0 0 14 13h-1V5a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h7a2 2 0 0 0 2-2v-4h1c.136 0 .267.027.391.078a1.028 1.028 0 0 1 .531.533A.994.994 0 0 1 15 16l-.024 2c0 .406.079.799.236 1.168.151.359.368.68.641.951a2.97 2.97 0 0 0 2.123.881c.406 0 .798-.078 1.168-.236.358-.15.68-.367.951-.641A2.983 2.983 0 0 0 20.976 18L21 9a2.997 2.997 0 0 0-1.384-2.52zM6 18l1-5H4l5-7-1 5h3l-5 7zm12-8a1 1 0 1 1 0-2 1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidExit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.002 21h14c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2h-14c-1.103 0-2 .897-2 2v6.001H10V7l6 5-6 5v-3.999H3.002V19c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidExtension (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10V7c0-1.103-.897-2-2-2h-3c0-1.654-1.346-3-3-3S8 3.346 8 5H5c-1.103 0-2 .897-2 2v4h1a2 2 0 0 1 0 4H3v4c0 1.103.897 2 2 2h4v-1a2 2 0 0 1 4 0v1h4c1.103 0 2-.897 2-2v-3c1.654 0 3-1.346 3-3s-1.346-3-3-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidEyedropper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4 15.76-1 4A1 1 0 0 0 3.75 21a1 1 0 0 0 .49 0l4-1a1 1 0 0 0 .47-.26L17 11.41l1.29 1.3 1.42-1.42-1.3-1.29L21 7.41a2 2 0 0 0 0-2.82L19.41 3a2 2 0 0 0-2.82 0L14 5.59l-1.3-1.3-1.42 1.42L12.58 7l-8.29 8.29a1 1 0 0 0-.29.47zm1.87.75L14 8.42 15.58 10l-8.09 8.1-2.12.53z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFaceMask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12c0 2.521.945 4.82 2.49 6.582 1.24 1.52 3.266 3.066 6.439 3.358a9.731 9.731 0 0 0 2.141 0c3.174-.292 5.199-1.839 6.439-3.358A9.948 9.948 0 0 0 22 12c0-5.514-4.486-10-10-10zM4.709 8.724c.154.09.308.182.456.276.396.25.791.5 1.286.688.494.187 1.088.312 1.879.312.792 0 1.386-.125 1.881-.313s.891-.437 1.287-.687.792-.5 1.287-.688c.494-.187 1.088-.312 1.88-.312s1.386.125 1.88.313c.495.187.891.437 1.287.687s.792.5 1.287.688c.178.067.374.122.581.171.191.682.3 1.398.3 2.141 0 .843-.133 1.654-.375 2.417-.261.195-.733.474-1.577.756-.769.256-1.672.458-2.685.602a25.337 25.337 0 0 1-6.727 0c-1.013-.144-1.916-.346-2.685-.602-.844-.282-1.316-.561-1.577-.756a7.953 7.953 0 0 1 .335-5.693z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"12.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"12.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 18c-4.411 0-8-3.589-8-8 0-1.168.258-2.275.709-3.276.154.09.308.182.456.276.396.25.791.5 1.286.688.494.187 1.088.312 1.879.312.792 0 1.386-.125 1.881-.313s.891-.437 1.287-.687.792-.5 1.287-.688c.494-.187 1.088-.312 1.88-.312s1.386.125 1.88.313c.495.187.891.437 1.287.687s.792.5 1.287.688c.178.067.374.122.581.171.191.682.3 1.398.3 2.141 0 4.411-3.589 8-8 8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"12.5\",\"r\":\"1.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"12.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFactory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10V6l-5 4V6l-5 4V4H2v16h20V6l-5 4zm-8 7H7v-3h2v3zm5 0h-2v-3h2v3zm5 0h-2v-3h2v3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFastForwardCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.485 2 2 6.485 2 12s4.485 10 10 10c5.514 0 10-4.485 10-10S17.514 2 12 2zm1 14v-4l-6 4V8l6 4V8l6 4-6 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileArchive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6h-3v2H9v2h2v2H9v2h2v8H7v-6h2v-2H7V8h2V6H7V4h2V2H6zm7 2 5 5h-5V4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h2v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileBlank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6H6zm8 7h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileCss (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zM9.446 17.412c.275 0 .581-.061.762-.132l.138.713c-.168.084-.546.174-1.037.174-1.397 0-2.117-.869-2.117-2.021C7.191 14.768 8.175 14 9.398 14c.474 0 .833.096.995.18l-.186.726a1.98 1.98 0 0 0-.768-.149c-.726 0-1.29.438-1.29 1.337.001.808.482 1.318 1.297 1.318zm2.491.755c-.461 0-.917-.119-1.145-.245l.186-.756c.246.126.624.252 1.014.252.42 0 .642-.174.642-.438 0-.252-.192-.396-.678-.57-.672-.234-1.109-.605-1.109-1.193 0-.689.575-1.217 1.529-1.217.455 0 .791.096 1.031.203l-.204.738a1.919 1.919 0 0 0-.846-.192c-.396 0-.587.181-.587.39 0 .258.228.372.749.57.714.264 1.05.636 1.05 1.205-.001.678-.523 1.253-1.632 1.253zm3.24 0c-.461 0-.917-.119-1.145-.245l.186-.756c.246.126.624.252 1.014.252.42 0 .642-.174.642-.438 0-.252-.192-.396-.678-.57-.672-.234-1.109-.605-1.109-1.193 0-.689.575-1.217 1.529-1.217.455 0 .791.096 1.031.203l-.204.738a1.919 1.919 0 0 0-.846-.192c-.396 0-.587.181-.587.39 0 .258.228.372.749.57.714.264 1.05.636 1.05 1.205 0 .678-.523 1.253-1.632 1.253zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileDoc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.186 14.552c-.617 0-.977.587-.977 1.373 0 .791.371 1.35.983 1.35.617 0 .971-.588.971-1.374 0-.726-.348-1.349-.977-1.349z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zM9.155 17.454c-.426.354-1.073.521-1.864.521-.475 0-.81-.03-1.038-.06v-3.971a8.16 8.16 0 0 1 1.235-.083c.768 0 1.266.138 1.655.432.42.312.684.81.684 1.522 0 .775-.282 1.309-.672 1.639zm2.99.546c-1.2 0-1.901-.906-1.901-2.058 0-1.211.773-2.116 1.967-2.116 1.241 0 1.919.929 1.919 2.045-.001 1.325-.805 2.129-1.985 2.129zm4.655-.762c.275 0 .581-.061.762-.132l.138.713c-.168.084-.546.174-1.037.174-1.397 0-2.117-.869-2.117-2.021 0-1.379.983-2.146 2.207-2.146.474 0 .833.096.995.18l-.186.726a1.979 1.979 0 0 0-.768-.15c-.726 0-1.29.438-1.29 1.338 0 .809.48 1.318 1.296 1.318zM14 9h-1V4l5 5h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.584 14.563c-.203 0-.335.018-.413.036v2.645c.078.018.204.018.317.018.828.006 1.367-.449 1.367-1.415.006-.84-.485-1.284-1.271-1.284z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileExport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22a2 2 0 0 0 2-2v-5l-5 4v-3H8v-2h7v-3l5 4V8l-6-6H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12zM13 4l5 5h-5V4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileFind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h12c.178 0 .348-.03.512-.074l-3.759-3.759A4.966 4.966 0 0 1 12 19c-2.757 0-5-2.243-5-5s2.243-5 5-5 5 2.243 5 5a4.964 4.964 0 0 1-.833 2.753l3.759 3.759c.044-.164.074-.334.074-.512V8l-6-6H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"14\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileGif (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zm-2.667 15.772A4.512 4.512 0 0 1 9.984 18c-.737 0-1.271-.186-1.644-.546-.371-.348-.575-.875-.569-1.469.006-1.344.983-2.111 2.309-2.111.521 0 .924.103 1.121.198l-.191.731c-.222-.096-.498-.174-.941-.174-.762 0-1.338.432-1.338 1.308 0 .833.522 1.325 1.271 1.325.21 0 .378-.024.45-.061v-.846h-.624v-.713h1.505v2.13zm1.634.186h-.918v-4.042h.918v4.042zm3.262-3.292h-1.553v.923h1.451v.744h-1.451v1.625h-.918v-4.042h2.471v.75zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileHtml (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zm-1 2 5 5h-5V4zM8.531 18h-.76v-1.411H6.515V18h-.767v-3.373h.767v1.296h1.257v-1.296h.76V18zm3-2.732h-.921V18h-.766v-2.732h-.905v-.641h2.592v.641zM14.818 18l-.05-1.291c-.017-.405-.03-.896-.03-1.387h-.016c-.104.431-.245.911-.375 1.307l-.41 1.316h-.597l-.359-1.307a15.154 15.154 0 0 1-.306-1.316h-.011c-.021.456-.034.976-.059 1.396L12.545 18h-.705l.216-3.373h1.015l.331 1.126c.104.391.21.811.284 1.206h.017c.095-.391.209-.836.32-1.211l.359-1.121h.996L15.563 18h-.745zm3.434 0h-2.108v-3.373h.767v2.732h1.342V18z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileImage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h12a2 2 0 0 0 2-2V8l-6-6H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2zm7-18 5 5h-5V4zm-4.5 7a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 8.5 11zm.5 5 1.597 1.363L13 13l4 6H7l2-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileImport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14V8l-6-6H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-4h-7v3l-5-4 5-4v3h7zM13 4l5 5h-5V4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileJpg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zM9.239 16.446c0 1.152-.551 1.554-1.438 1.554-.21 0-.486-.036-.665-.097l.101-.737c.127.042.289.072.469.072.384 0 .623-.174.623-.804v-2.543h.911v2.555zm3.294-.365c-.313.293-.773.426-1.313.426-.12 0-.228-.007-.312-.019v1.445h-.906v-3.988a7.528 7.528 0 0 1 1.236-.083c.563 0 .965.107 1.234.323.259.204.433.54.433.936s-.133.732-.372.96zm4.331 1.667c-.28.096-.815.228-1.349.228-.737 0-1.271-.186-1.643-.546-.371-.348-.575-.875-.57-1.469.007-1.344.983-2.111 2.309-2.111.521 0 .924.103 1.121.198l-.191.731c-.222-.096-.497-.174-.941-.174-.761 0-1.338.432-1.338 1.308 0 .833.523 1.325 1.271 1.325.211 0 .378-.024.451-.061v-.846h-.624v-.713h1.504v2.13zM14 9h-1V4l5 5h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.285 14.552c-.186 0-.312.018-.377.036v1.193c.077.018.174.023.307.023.484 0 .784-.246.784-.659 0-.372-.257-.593-.714-.593z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileJs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zm-2.745 14.186c0 1.345-.644 1.814-1.681 1.814-.245 0-.567-.042-.777-.112l.119-.861c.147.049.336.084.546.084.448 0 .729-.203.729-.938v-2.97h1.064v2.983zm2.043 1.807c-.539 0-1.071-.141-1.337-.287l.217-.883c.287.147.729.294 1.184.294.491 0 .749-.203.749-.511 0-.295-.224-.463-.791-.666-.784-.272-1.295-.707-1.295-1.394 0-.806.672-1.422 1.786-1.422.533 0 .925.112 1.205.238l-.238.861c-.189-.091-.525-.224-.987-.224s-.687.21-.687.455c0 .301.267.435.875.665.834.309 1.226.742 1.226 1.408-.002.793-.61 1.466-1.907 1.466zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileJson (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.823 15.122c-.517 0-.816.491-.816 1.146 0 .661.311 1.126.82 1.126.517 0 .812-.49.812-1.146 0-.604-.291-1.126-.816-1.126z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zM8.022 16.704c0 .961-.461 1.296-1.2 1.296-.176 0-.406-.029-.557-.08l.086-.615c.104.035.239.06.391.06.319 0 .52-.145.52-.67v-2.122h.761v2.131zm1.459 1.291c-.385 0-.766-.1-.955-.205l.155-.631c.204.105.521.211.846.211.35 0 .534-.146.534-.365 0-.211-.159-.331-.564-.476-.562-.195-.927-.506-.927-.996 0-.576.481-1.017 1.277-1.017.38 0 .659.08.861.171l-.172.615c-.135-.065-.375-.16-.705-.16s-.491.15-.491.325c0 .215.19.311.627.476.596.22.876.53.876 1.006.001.566-.436 1.046-1.362 1.046zm3.306.005c-1.001 0-1.586-.755-1.586-1.716 0-1.012.646-1.768 1.642-1.768 1.035 0 1.601.776 1.601 1.707C14.443 17.33 13.773 18 12.787 18zm4.947-.055h-.802l-.721-1.302a12.64 12.64 0 0 1-.585-1.19l-.016.005c.021.445.031.921.031 1.472v1.016h-.701v-3.373h.891l.701 1.236c.2.354.4.775.552 1.155h.014c-.05-.445-.065-.9-.065-1.406v-.985h.702v3.372zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileMd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.481 14.015c-.238 0-.393.021-.483.042v3.089c.091.021.237.021.371.021.966.007 1.597-.525 1.597-1.653.007-.981-.568-1.499-1.485-1.499z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zm-2.934 15.951-.07-1.807a53.142 53.142 0 0 1-.042-1.94h-.021a26.098 26.098 0 0 1-.525 1.828l-.574 1.842H9l-.504-1.828a21.996 21.996 0 0 1-.428-1.842h-.013c-.028.638-.049 1.366-.084 1.954l-.084 1.793h-.988L7.2 13.23h1.422l.462 1.576c.147.546.295 1.135.399 1.688h.021a39.87 39.87 0 0 1 .448-1.694l.504-1.569h1.394l.26 4.721h-1.044zm5.25-.56c-.498.413-1.253.609-2.178.609a9.27 9.27 0 0 1-1.212-.07v-4.636a9.535 9.535 0 0 1 1.443-.099c.896 0 1.478.161 1.933.505.49.364.799.945.799 1.778 0 .904-.33 1.528-.785 1.913zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFilePdf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.267 14.68c-.184 0-.308.018-.372.036v1.178c.076.018.171.023.302.023.479 0 .774-.242.774-.651 0-.366-.254-.586-.704-.586zm3.487.012c-.2 0-.33.018-.407.036v2.61c.077.018.201.018.313.018.817.006 1.349-.444 1.349-1.396.006-.83-.479-1.268-1.255-1.268z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zM9.498 16.19c-.309.29-.765.42-1.296.42a2.23 2.23 0 0 1-.308-.018v1.426H7v-3.936A7.558 7.558 0 0 1 8.219 14c.557 0 .953.106 1.22.319.254.202.426.533.426.923-.001.392-.131.723-.367.948zm3.807 1.355c-.42.349-1.059.515-1.84.515-.468 0-.799-.03-1.024-.06v-3.917A7.947 7.947 0 0 1 11.66 14c.757 0 1.249.136 1.633.426.415.308.675.799.675 1.504 0 .763-.279 1.29-.663 1.615zM17 14.77h-1.532v.911H16.9v.734h-1.432v1.604h-.906V14.03H17v.74zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFilePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h12a2 2 0 0 0 2-2V8l-6-6H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2zm7-18 5 5h-5V4zM8 14h3v-3h2v3h3v2h-3v3h-2v-3H8v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFilePng (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.782 14.576c-.186 0-.312.018-.377.036v1.193c.077.018.174.023.306.023.485 0 .785-.246.785-.659 0-.371-.258-.593-.714-.593z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zM9.03 16.105c-.313.293-.774.426-1.313.426-.12 0-.229-.007-.312-.019v1.445h-.906V13.97a7.504 7.504 0 0 1 1.235-.083c.563 0 .966.107 1.235.323.258.204.432.54.432.936s-.131.731-.371.959zm4.302 1.853h-.96l-.863-1.56c-.24-.432-.504-.953-.701-1.427l-.019.006c.024.534.036 1.104.036 1.763v1.218h-.84v-4.042h1.067l.84 1.481c.24.426.479.93.659 1.385h.019a14.746 14.746 0 0 1-.078-1.685v-1.182h.84v4.043zm4.169-.186a4.512 4.512 0 0 1-1.349.228c-.737 0-1.271-.186-1.644-.546-.371-.348-.575-.875-.569-1.469.006-1.344.983-2.111 2.309-2.111.521 0 .924.103 1.121.198l-.191.731c-.222-.096-.498-.174-.941-.174-.762 0-1.338.432-1.338 1.308 0 .833.522 1.325 1.271 1.325.21 0 .378-.024.45-.061v-.846h-.624v-.713h1.505v2.13zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFileTxt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8l-6-6zM9.998 14.768H8.895v3.274h-.917v-3.274H6.893V14h3.105v.768zm2.725 3.274-.365-.731c-.15-.282-.246-.492-.359-.726h-.013c-.083.233-.185.443-.312.726l-.335.731h-1.045l1.171-2.045L10.336 14h1.05l.354.738c.121.245.21.443.306.671h.013c.096-.258.174-.438.276-.671l.341-.738h1.043l-1.139 1.973 1.198 2.069h-1.055zm4.384-3.274h-1.104v3.274h-.917v-3.274h-1.085V14h3.105v.768zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22a2 2 0 0 0 2-2V8l-6-6H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12zM13 4l5 5h-5V4zM7 8h3v2H7V8zm0 4h10v2H7v-2zm0 4h10v2H7v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFilm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v1h-2V3H7v2H5V3H3v18h2v-2h2v2h10v-2h2v2h2V3h-2v1zM5 7h2v2H5V7zm0 4h2v2H5v-2zm0 6v-2h2v2H5zm12 0v-2h2v2h-2zm2-4h-2v-2h2v2zm-2-4V7h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFilterAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20v-4.586L20.414 8c.375-.375.586-.884.586-1.415V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v2.585c0 .531.211 1.04.586 1.415L11 15.414V22l2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFirstAid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-3V4a2 2 0 0 0-2-2H9a2 2 0 0 0-2 2v2H4a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2zM9 4h6v2H9zm7 10h-3v3h-2v-3H8v-2h3V9h2v3h3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFlagAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14.303 6-3-2H6V2H4v20h2v-8h4.697l3 2H20V6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFlagCheckered (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 2v18H3v2h4v-2H6v-5h13a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H6V2H4zm4 3v2h2V5h2v2h2V5h2v2h2v2h-2v2h2v2h-2v-2h-2v2h-2v-2h-2v2H8v-2H6V9h2V7H6V5h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h2v2H8zm4 0h2v2h-2zm-2-2h2v2h-2zm4 0h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFlag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4H6V2H4v18H3v2h4v-2H6v-5h13a1 1 0 0 0 1-1V5a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFlame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.579 2.393a.982.982 0 0 0-1.153.006C9.592 3.728 4 8.252 4 14c0 3.247 1.948 6.043 4.734 7.296A3.971 3.971 0 0 1 8 19c-.017-3.221 3.558-6.893 3.71-7a.497.497 0 0 1 .579 0c.152.107 3.711 2.974 3.711 7.002 0 .854-.275 1.643-.733 2.294C18.052 20.043 20 17.248 20 14.005c0-5.861-5.582-10.307-7.421-11.612z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFlask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9.783V4h1V2H8v2h1v5.783l-4.268 9.389a1.992 1.992 0 0 0 .14 1.911A1.99 1.99 0 0 0 6.553 22h10.895a1.99 1.99 0 0 0 1.681-.917c.37-.574.423-1.289.14-1.911L15 9.783zm-4.09.631c.06-.13.09-.271.09-.414V4h2v6c0 .143.03.284.09.414L15.177 15H8.825l2.085-4.586z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFlorist (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.84 21.871 12 22a10.221 10.221 0 0 0-9.013-8.891L2 13l.021.173a10.001 10.001 0 0 0 8.819 8.698zm11.139-8.698L22 13l-.987.109c-4.7.523-8.427 4.2-9.013 8.891l1.16-.129a10.001 10.001 0 0 0 8.819-8.698zM18.063 5.5a2.5 2.5 0 0 0-3.415-.915c-.062.035-.111.081-.168.121.005-.069.02-.136.02-.206a2.5 2.5 0 1 0-5 0c0 .07.015.137.021.206-.057-.04-.107-.086-.168-.121a2.5 2.5 0 0 0-2.5 4.33c.061.035.126.056.188.085-.062.029-.127.05-.188.085a2.5 2.5 0 0 0 2.5 4.33c.062-.035.111-.081.168-.121-.006.069-.021.136-.021.206a2.5 2.5 0 1 0 5 0c0-.07-.015-.137-.021-.206.057.04.106.086.168.121a2.5 2.5 0 0 0 2.5-4.33c-.061-.035-.126-.056-.188-.085.063-.029.127-.05.188-.085a2.5 2.5 0 0 0 .916-3.415zM12 12a3 3 0 1 1 0-6 3 3 0 0 1 0 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFolderMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-9.586L8.707 3.293A.997.997 0 0 0 8 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zm-4 9H8v-2h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFolderOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.165 19.551c.186.28.499.449.835.449h15c.4 0 .762-.238.919-.606l3-7A.998.998 0 0 0 21 11h-1V8c0-1.103-.897-2-2-2h-6.655L8.789 4H4c-1.103 0-2 .897-2 2v13h.007a1 1 0 0 0 .158.551zM18 8v3H6c-.4 0-.762.238-.919.606L4 14.129V8h14z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFolderPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-9.586L8.707 3.293A.997.997 0 0 0 8 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2zm-4 9h-3v3h-2v-3H8v-2h3V9h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFolder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5h-9.586L8.707 3.293A.997.997 0 0 0 8 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V7c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFoodMenu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2h2v20H3zm16 0H6v20h13c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zm-1 10H9v-2h9v2zm0-4H9V6h9v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidFridge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6c-1.103 0-2 .897-2 2v5h4V6h2v3h10V4c0-1.103-.897-2-2-2zm-8 13H8v-5H4v10c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V10H10v5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c3.719 0 7.063-2.035 8.809-5.314L13 12l7.809-4.686C19.063 4.035 15.719 2 12 2 6.486 2 2 6.486 2 12s4.486 10 10 10zm-.5-16a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 11.5 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGasPump (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19.616 6.48.014-.017-4-3.24-1.26 1.554 2.067 1.674a2.99 2.99 0 0 0-1.394 3.062c.15.899.769 1.676 1.57 2.111.895.487 1.68.442 2.378.194L18.976 18a.996.996 0 0 1-1.39.922.995.995 0 0 1-.318-.217.996.996 0 0 1-.291-.705L17 16a2.98 2.98 0 0 0-.877-2.119A3 3 0 0 0 14 13h-1V5a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h7a2 2 0 0 0 2-2v-4h1c.136 0 .267.027.391.078a1.028 1.028 0 0 1 .531.533A.994.994 0 0 1 15 16l-.024 2c0 .406.079.799.236 1.168.151.359.368.68.641.951a2.97 2.97 0 0 0 2.123.881c.406 0 .798-.078 1.168-.236.358-.15.68-.367.951-.641A2.983 2.983 0 0 0 20.976 18L21 9a2.997 2.997 0 0 0-1.384-2.52zM11 8H4V5h7v3zm7 2a1 1 0 1 1 0-2 1 1 0 0 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGhost (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v8h.051c.245 1.692 1.69 3 3.449 3 1.174 0 2.074-.417 2.672-1.174a3.99 3.99 0 0 0 5.668-.014c.601.762 1.504 1.188 2.66 1.188 1.93 0 3.5-1.57 3.5-3.5V11c0-4.962-4.037-9-9-9s-9 4.038-9 9zm6 1c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2zm6-4c1.103 0 2 .897 2 2s-.897 2-2 2-2-.897-2-2 .897-2 2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12H4v8a2 2 0 0 0 2 2h5V12H5zm13 0h-5v10h5a2 2 0 0 0 2-2v-8h-2zm.791-5A4.92 4.92 0 0 0 19 5.5C19 3.57 17.43 2 15.5 2c-1.622 0-2.705 1.482-3.404 3.085C11.407 3.57 10.269 2 8.5 2 6.57 2 5 3.57 5 5.5c0 .596.079 1.089.209 1.5H2v4h9V9h2v2h9V7h-3.209zM7 5.5C7 4.673 7.673 4 8.5 4c.888 0 1.714 1.525 2.198 3H8c-.374 0-1 0-1-1.5zM15.5 4c.827 0 1.5.673 1.5 1.5C17 7 16.374 7 16 7h-2.477c.51-1.576 1.251-3 1.977-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGraduation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7v1l11 4 9-4V7L11 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11v4.267c0 1.621 4.001 3.893 9 3.734 4-.126 6.586-1.972 7-3.467.024-.089.037-.178.037-.268V11L13 14l-5-1.667v3.213l-1-.364V12l-3-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGridAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm10 0h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zM4 21h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm10 0h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGrid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h4v4H4zm6 0h4v4h-4zm6 0h4v4h-4zM4 10h4v4H4zm6 0h4v4h-4zm6 0h4v4h-4zM4 16h4v4H4zm6 0h4v4h-4zm6 0h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGroup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 12c2.206 0 4-1.794 4-4s-1.794-4-4-4-4 1.794-4 4 1.794 4 4 4zm1.5 1H8c-3.309 0-6 2.691-6 6v1h15v-1c0-3.309-2.691-6-6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.604 11.048a5.67 5.67 0 0 0 .751-3.44c-.179-1.784-1.175-3.361-2.803-4.44l-1.105 1.666c1.119.742 1.8 1.799 1.918 2.974a3.693 3.693 0 0 1-1.072 2.986l-1.192 1.192 1.618.475C18.951 13.701 19 17.957 19 18h2c0-1.789-.956-5.285-4.396-6.952z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidGuitarAmp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-2V4c0-1.103-.897-2-2-2H8c-1.103 0-2 .897-2 2v2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2zM8 4h8v2H8V4zM6 19a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm0-3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm3 3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm0-3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm3 3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm0-3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm3 3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm0-3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm3 3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm0-3a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm2-4H4V8h16v4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h2v2h-2zm3 0h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHandDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.278 2.231a1.001 1.001 0 0 0-.64-.231H5a2 2 0 0 0-2 2v7.21a2 2 0 0 0 1.779 1.987L12 14v6a2 2 0 0 0 4 0V8l3.03 1.212a2.001 2.001 0 0 0 2.641-1.225l.113-.34a.998.998 0 0 0-.309-1.084l-5.197-4.332z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHandLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3h-7.21a2 2 0 0 0-1.987 1.779L10 12H4a2 2 0 0 0 0 4h12l-1.212 3.03a2.001 2.001 0 0 0 1.225 2.641l.34.113a.998.998 0 0 0 1.084-.309l4.332-5.197c.149-.179.231-.406.231-.64V5a2 2 0 0 0-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHandRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8H8l1.212-3.03a2 2 0 0 0-1.225-2.641l-.34-.113a.998.998 0 0 0-1.084.309L2.231 7.722a1.001 1.001 0 0 0-.231.64V19a2 2 0 0 0 2 2h7.21a2 2 0 0 0 1.987-1.779L14 12h6a2 2 0 0 0 0-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHandUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.221 10.803 12 10V4a2 2 0 0 0-4 0v12l-3.031-1.212a2 2 0 0 0-2.64 1.225l-.113.34a.998.998 0 0 0 .309 1.084l5.197 4.332c.179.149.406.231.64.231H19a2 2 0 0 0 2-2v-7.21a2 2 0 0 0-1.779-1.987z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 5A1.5 1.5 0 0 0 19 6.5V11h-1V4.5a1.5 1.5 0 0 0-3 0V11h-1V3.5a1.5 1.5 0 0 0-3 0V11h-1V5.5a1.5 1.5 0 0 0-3 0v10.81l-2.22-3.6a1.5 1.5 0 0 0-2.56 1.58l3.31 5.34A5 5 0 0 0 9.78 22H17a5 5 0 0 0 5-5V6.5A1.5 1.5 0 0 0 20.5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHappyAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm3.493 6a1.494 1.494 0 1 1-.001 2.987A1.494 1.494 0 0 1 15.493 8zM8.5 8a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 8.5 8zM12 18c-5 0-6-5-6-5h12s-1 5-6 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHappyBeaming (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM8 9c2.201 0 3 1.794 3 3H9c-.012-.45-.194-1-1-1s-.988.55-1 1.012L5 12c0-1.206.799-3 3-3zm4 9c-4 0-5-4-5-4h10s-1 4-5 4zm5-6c-.012-.45-.194-1-1-1s-.988.55-1 1.012L13 12c0-1.206.799-3 3-3s3 1.794 3 3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHappyHeartEyes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM6.435 8.467A1.49 1.49 0 0 1 8.502 8.4a1.49 1.49 0 0 1 2.065.033c.597.592.604 1.521.018 2.118l-2.05 2.083-2.082-2.05a1.484 1.484 0 0 1-.018-2.117zM12 18c-4 0-5-4-5-4h10s-1 4-5 4zm5.585-7.449-2.05 2.083-2.082-2.05a1.485 1.485 0 0 1-.019-2.117 1.49 1.49 0 0 1 2.068-.067 1.49 1.49 0 0 1 2.065.033c.597.591.605 1.521.018 2.118z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHappy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm3.493 7a1.494 1.494 0 1 1-.001 2.987A1.494 1.494 0 0 1 15.493 9zM8.5 9a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 8.5 9zm3.5 9c-4 0-5-4-5-4h10s-1 4-5 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHardHat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v-3a8 8 0 0 0-5-7.4V13h-1V5h-4v8H9V7.6A8 8 0 0 0 4 15v3H2v2h20v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-4a2 2 0 0 0-2-2zm-4 5h-2v-2h2v2zm4 0h-2v-2h2v2zm.775-7H21l-1.652-7.434A2 2 0 0 0 17.396 2H6.604a2 2 0 0 0-1.952 1.566L3 11h17.775z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHeartCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm4.186 10.74L12 16.926 7.814 12.74a2.745 2.745 0 0 1 0-3.907 2.745 2.745 0 0 1 3.906 0l.28.279.279-.279a2.745 2.745 0 0 1 3.906 0 2.745 2.745 0 0 1 .001 3.907z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHeartSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1zM7.812 8.907a2.746 2.746 0 0 1 3.907 0l.279.279.278-.279a2.746 2.746 0 0 1 3.907 0 2.745 2.745 0 0 1 0 3.907L11.998 17l-4.187-4.186a2.747 2.747 0 0 1 .001-3.907z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.205 4.791a5.938 5.938 0 0 0-4.209-1.754A5.906 5.906 0 0 0 12 4.595a5.904 5.904 0 0 0-3.996-1.558 5.942 5.942 0 0 0-4.213 1.758c-2.353 2.363-2.352 6.059.002 8.412L12 21.414l8.207-8.207c2.354-2.353 2.355-6.049-.002-8.416z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHelpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm1 16h-2v-2h2v2zm.976-4.885c-.196.158-.385.309-.535.459-.408.407-.44.777-.441.793v.133h-2v-.167c0-.118.029-1.177 1.026-2.174.195-.195.437-.393.691-.599.734-.595 1.216-1.029 1.216-1.627a1.934 1.934 0 0 0-3.867.001h-2C8.066 7.765 9.831 6 12 6s3.934 1.765 3.934 3.934c0 1.597-1.179 2.55-1.958 3.181z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.073 12.194 4.212 8.333c-1.52 1.657-2.096 3.317-2.106 3.351L2 12l.105.316C2.127 12.383 4.421 19 12.054 19c.929 0 1.775-.102 2.552-.273l-2.746-2.746a3.987 3.987 0 0 1-3.787-3.787zM12.054 5c-1.855 0-3.375.404-4.642.998L3.707 2.293 2.293 3.707l18 18 1.414-1.414-3.298-3.298c2.638-1.953 3.579-4.637 3.593-4.679l.105-.316-.105-.316C21.98 11.617 19.687 5 12.054 5zm1.906 7.546c.187-.677.028-1.439-.492-1.96s-1.283-.679-1.96-.492L10 8.586A3.955 3.955 0 0 1 12.054 8c2.206 0 4 1.794 4 4a3.94 3.94 0 0 1-.587 2.053l-1.507-1.507z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHomeAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.74 2.32a1 1 0 0 0-1.48 0l-9 10A1 1 0 0 0 3 14h2v7a1 1 0 0 0 1 1h12a1 1 0 0 0 1-1v-7h2a1 1 0 0 0 1-1 1 1 0 0 0-.26-.68z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHomeCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.743 12.331-9-10c-.379-.422-1.107-.422-1.486 0l-9 10A1 1 0 0 0 3 14h2v7a1 1 0 0 0 1 1h12a1 1 0 0 0 1-1v-7h2a.998.998 0 0 0 .743-1.669zM12 16a3 3 0 1 1 0-6 3 3 0 0 1 0 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHomeHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h2v7a1 1 0 0 0 1 1h12a1 1 0 0 0 1-1v-7h2a.998.998 0 0 0 .913-.593.998.998 0 0 0-.17-1.076l-9-10c-.379-.422-1.107-.422-1.486 0l-9 10A1 1 0 0 0 3 14zm5.653-2.359a2.224 2.224 0 0 1 3.125 0l.224.22.223-.22a2.225 2.225 0 0 1 3.126 0 2.13 2.13 0 0 1 0 3.07L12.002 18l-3.349-3.289a2.13 2.13 0 0 1 0-3.07z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHomeSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h2v7a1 1 0 0 0 1 1h12a1 1 0 0 0 1-1v-7h2a.998.998 0 0 0 .913-.593.998.998 0 0 0-.17-1.076l-9-10c-.379-.422-1.107-.422-1.486 0l-9 10A1 1 0 0 0 3 14zm5.949-.316C8.98 13.779 9.762 16 12 16c2.269 0 3.042-2.287 3.05-2.311l1.9.621C16.901 14.461 15.703 18 12 18s-4.901-3.539-4.95-3.689l1.899-.627z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.743 12.331-9-10c-.379-.422-1.107-.422-1.486 0l-9 10a.998.998 0 0 0-.17 1.076c.16.361.518.593.913.593h2v7a1 1 0 0 0 1 1h3a1 1 0 0 0 1-1v-4h4v4a1 1 0 0 0 1 1h3a1 1 0 0 0 1-1v-7h2a.998.998 0 0 0 .743-1.669z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 8c0 1.5-.5 3.5-2.9 4.3.7-1.7.8-3.4.3-5-.7-2.1-3-3.7-4.6-4.6-.4-.3-1.1.1-1 .7 0 1.1-.3 2.7-2 4.4C4.1 10 3 12.3 3 14.5 3 17.4 5 21 9 21c-4-4-1-7.5-1-7.5.8 5.9 5 7.5 7 7.5 1.7 0 5-1.2 5-6.4 0-3.1-1.3-5.5-2.4-6.9-.3-.5-1-.2-1.1.3\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHotel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"11\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.205 7H12v8H4V6H2v14h2v-3h16v3h2v-4c0-.009-.005-.016-.005-.024H22V11c0-2.096-1.698-4-3.795-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHourglassBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2H4v2h1v1a7.014 7.014 0 0 0 3.433 6.02c.355.21.567.547.567.901v.158c0 .354-.212.691-.566.9A7.016 7.016 0 0 0 5 19v1H4v2h16v-2h-1v-1a7.016 7.016 0 0 0-3.434-6.021c-.354-.208-.566-.545-.566-.9v-.158c0-.354.212-.69.566-.9A7.016 7.016 0 0 0 19 5V4h1V2H5zm12 3a5.01 5.01 0 0 1-2.45 4.299A3.107 3.107 0 0 0 13.166 11h-2.332a3.114 3.114 0 0 0-1.385-1.702A5.008 5.008 0 0 1 7 5V4h10v1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHourglassTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.566 11.021A7.016 7.016 0 0 0 19 5V4h1V2H4v2h1v1a7.016 7.016 0 0 0 3.434 6.021c.354.208.566.545.566.9v.158c0 .354-.212.69-.566.9A7.016 7.016 0 0 0 5 19v1H4v2h16v-2h-1v-1a7.014 7.014 0 0 0-3.433-6.02c-.355-.21-.567-.547-.567-.901v-.158c0-.355.212-.692.566-.9zM17 19v1H7v-1a5.01 5.01 0 0 1 2.45-4.299A3.111 3.111 0 0 0 10.834 13h2.332c.23.691.704 1.3 1.385 1.702A5.008 5.008 0 0 1 17 19z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidHourglass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22h1v-2h-1v-1a7.014 7.014 0 0 0-3.433-6.02c-.355-.21-.567-.547-.567-.901v-.158c0-.354.212-.691.566-.9A7.016 7.016 0 0 0 19 5V4h1V2H4v2h1v1a7.016 7.016 0 0 0 3.434 6.021c.354.209.566.545.566.9v.158c0 .354-.212.691-.566.9A7.016 7.016 0 0 0 5 19v1H4v2h15zM17 4v1a5.005 5.005 0 0 1-1.004 3H8.004A5.005 5.005 0 0 1 7 5V4h10zM9.45 14.702c.971-.574 1.55-1.554 1.55-2.623V12h2v.079c0 1.068.579 2.049 1.551 2.623A4.98 4.98 0 0 1 16.573 17H7.427a4.977 4.977 0 0 1 2.023-2.298z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidIdCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zM8.715 8c1.151 0 2 .849 2 2s-.849 2-2 2-2-.849-2-2 .848-2 2-2zm3.715 8H5v-.465c0-1.373 1.676-2.785 3.715-2.785s3.715 1.412 3.715 2.785V16zM19 15h-4v-2h4v2zm0-4h-5V9h5v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidImageAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 13 3-4 3 4.5V12h4V5c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h8v-4H5l3-4 1 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14h-2v3h-3v2h3v3h2v-3h3v-2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidImageAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2zm3-7 2.363 2.363L14 11l5 7H5l3-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidImage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.999 4h-16c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-13.5 3a1.5 1.5 0 1 1 0 3 1.5 1.5 0 0 1 0-3zm5.5 10h-7l4-5 1.5 2 3-4 5.5 7h-7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidInbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V5c0-1.103-.897-2-2-2zm-1 9h-3.142c-.446 1.722-1.997 3-3.858 3s-3.412-1.278-3.858-3H4V5h16v7h-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidInfoCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm1 15h-2v-6h2v6zm0-8h-2V7h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidInfoSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1zm8 3h2v2h-2V7zm0 4h2v6h-2v-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidInjection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.61 9.24 8.35l3.31 3.31-1.06 1.06-3.31-3.31-1.77 1.77 3.31 3.31-1.06 1.06-3.31-3.31-2 2A2 2 0 0 0 3 16.66l1 1.89-2.25 2.29 1.41 1.41L5.45 20l1.89 1a2 2 0 0 0 1 .26 2 2 0 0 0 1.42-.59L18.39 12zm7.8 3.59-1.79-1.8 1.42-1.41 1.41 1.41 1.41-1.41-4.24-4.24-1.41 1.41 1.41 1.42-1.41 1.41-1.8-1.79-1.74-1.75-1.41 1.42 1.03 1.03v.01l6.41 6.41h.01l1.03 1.03 1.42-1.41-1.74-1.74h-.01z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidInstitution (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.857 8.485-3-5A.997.997 0 0 0 18 3h-4.586l-.707-.707a.999.999 0 0 0-1.414 0L10.586 3H6a.997.997 0 0 0-.857.485l-3 5A1.001 1.001 0 0 0 2.002 9H2v10a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1V9h-.002c0-.178-.046-.356-.141-.515zM20 18h-6v-4h-4v4H4v-8h2.414l.293-.293 2-2L12 4.414l4.293 4.293 1 1 .293.293H20v8z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.895\",\"cy\":\"9.895\",\"r\":\"2.105\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h2v3H6zm10 0h2v3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidInvader (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h2v2H6zm2 16h3v2H8zm8-16h2v2h-2zm-3 16h3v2h-3zm7-8V9h-2V7h-2V5h-2v2h-4V5H8v2H6v2H4v2H2v8h2v-4h2v4h2v-3h8v3h2v-4h2v4h2v-8zm-10 1H8V9h2zm6 0h-2V9h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidJoystickAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H8a6 6 0 0 0 0 12h8a6 6 0 0 0 0-12zm-5 7H9v2H7v-2H5v-2h2V9h2v2h2v2zm3.5 2a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3zm3-3a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidJoystickButton (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h-4V4a2 2 0 0 0-2-2h-4a2 2 0 0 0-2 2v4H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h4v4a2 2 0 0 0 2 2h4a2 2 0 0 0 2-2v-4h4a2 2 0 0 0 2-2v-4a2 2 0 0 0-2-2zM7 14l-3-2 3-2v4zm5 6-2-3h4l-2 3zm0-6a2 2 0 1 1 .001-4.001A2 2 0 0 1 12 14zm-2-7 2-3 2 3h-4zm7 7v-4l3 2-3 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidJoystick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.986 9.74a3.193 3.193 0 0 0-.008-.088A5.003 5.003 0 0 0 17 5H7a4.97 4.97 0 0 0-4.987 4.737c-.01.079-.013.161-.013.253v6.51c0 .925.373 1.828 1.022 2.476A3.524 3.524 0 0 0 5.5 20c1.8 0 2.504-1 3.5-3 .146-.292.992-2 3-2 1.996 0 2.853 1.707 3 2 1.004 2 1.7 3 3.5 3 .925 0 1.828-.373 2.476-1.022A3.524 3.524 0 0 0 22 16.5V10c0-.095-.004-.18-.014-.26zM7 12.031a2 2 0 1 1-.001-3.999A2 2 0 0 1 7 12.031zm10-5a1 1 0 1 1 0 2 1 1 0 1 1 0-2zm-2 4a1 1 0 1 1 0-2 1 1 0 1 1 0 2zm2 2a1 1 0 1 1 0-2 1 1 0 1 1 0 2zm2-2a1 1 0 1 1 0-2 1 1 0 1 1 0 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.433 17.325 3.079 19.8a1 1 0 0 0 1.131 1.131l2.475-.354C7.06 20.524 8 18 8 18s.472.405.665.466c.412.13.813-.274.948-.684L10 16.01s.577.292.786.335c.266.055.524-.109.707-.293a.988.988 0 0 0 .241-.391L12 14.01s.675.187.906.214c.263.03.519-.104.707-.293l1.138-1.137a5.502 5.502 0 0 0 5.581-1.338 5.507 5.507 0 0 0 0-7.778 5.507 5.507 0 0 0-7.778 0 5.5 5.5 0 0 0-1.338 5.581l-7.501 7.5a.994.994 0 0 0-.282.566zM18.504 5.506a2.919 2.919 0 0 1 0 4.122l-4.122-4.122a2.919 2.919 0 0 1 4.122 0z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidKeyboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5H3a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h18a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2zm-8 2h2v2h-2V7zm0 4h2v2h-2v-2zM9 7h2v2H9V7zm0 4h2v2H9v-2zM5 7h2v2H5V7zm0 4h2v2H5v-2zm12 6H7v-2h10v2zm2-4h-2v-2h2v2zm0-4h-2V7h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLabel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.813 4.419A.997.997 0 0 0 16 4H3a1 1 0 0 0-.813 1.581L6.771 12l-4.585 6.419A1 1 0 0 0 3 20h13a.997.997 0 0 0 .813-.419l5-7a.997.997 0 0 0 0-1.162l-5-7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLandmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17h-2V9h2V7a.998.998 0 0 0-.594-.914l-9.432-4.191-8.421 4.21A1 1 0 0 0 2 7v2h2v8H2v4h19v-4zm-5-8v8h-3V9h3zM7 9h3v8H7V9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLandscape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"6.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 7-5.223 8.487L7 13l-5 7h20z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLaugh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-6.447 9.105 2.459-1.229-1.567-1.044 1.109-1.664 3 2a1 1 0 0 1-.108 1.727l-4 2-.893-1.79zM12 18c-4 0-5-4-5-4h10s-1 4-5 4zm5.553-5.105-4-2a1 1 0 0 1-.108-1.727l3-2 1.109 1.664-1.566 1.044 2.459 1.229-.894 1.79z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLayerMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.484 11.125-9.022-5a1 1 0 0 0-.968-.001l-8.978 4.96a1 1 0 0 0-.003 1.749l9.022 5.04a.995.995 0 0 0 .973.001l8.978-5a1 1 0 0 0-.002-1.749z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.515 15.126 12 19.856l-8.515-4.73-.971 1.748 9 5a1 1 0 0 0 .971 0l9-5-.97-1.748zM16 4h6v2h-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLayerPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.513 12.833 9.022 5.04a.995.995 0 0 0 .973.001l8.978-5a1 1 0 0 0-.002-1.749l-9.022-5a1 1 0 0 0-.968-.001l-8.978 4.96a1 1 0 0 0-.003 1.749z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.485 15.126-.971 1.748 9 5a1 1 0 0 0 .971 0l9-5-.971-1.748L12 19.856l-8.515-4.73zM20 8V6h2V4h-2V2h-2v2h-2v2h2v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLayer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.484 7.125-9.022-5a1.003 1.003 0 0 0-.968 0l-8.978 4.96a1 1 0 0 0-.003 1.748l9.022 5.04a.995.995 0 0 0 .973.001l8.978-5a1 1 0 0 0-.002-1.749z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15.856-8.515-4.73-.971 1.748 9 5a1 1 0 0 0 .971 0l9-5-.971-1.748L12 15.856z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 19.856-8.515-4.73-.971 1.748 9 5a1 1 0 0 0 .971 0l9-5-.971-1.748L12 19.856z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLayout (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5c-1.103 0-2 .897-2 2v4h18V5c0-1.103-.897-2-2-2zM3 19c0 1.103.897 2 2 2h8V11H3v8zm12 2h4c1.103 0 2-.897 2-2v-8h-6v10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLeaf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m22 3.41-.12-1.26-1.2.4a13.84 13.84 0 0 1-6.41.64 11.87 11.87 0 0 0-6.68.9A7.23 7.23 0 0 0 3.3 9.5a9 9 0 0 0 .39 4.58 16.6 16.6 0 0 1 1.18-2.2 9.85 9.85 0 0 1 4.07-3.43 11.16 11.16 0 0 1 5.06-1A12.08 12.08 0 0 0 9.34 9.2a9.48 9.48 0 0 0-1.86 1.53 11.38 11.38 0 0 0-1.39 1.91 16.39 16.39 0 0 0-1.57 4.54A26.42 26.42 0 0 0 4 22h2a30.69 30.69 0 0 1 .59-4.32 9.25 9.25 0 0 0 4.52 1.11 11 11 0 0 0 4.28-.87C23 14.67 22 3.86 22 3.41z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLeftArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5 12 7 6v-5h6v-2h-6V6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLeftArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm5 11h-5v4l-5-5 5-5v4h5v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLeftArrowSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14zM12 7v4h5v2h-5v4l-5-5 5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLeftArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4.431 12.822 13 9A1 1 0 0 0 19 21V3a1 1 0 0 0-1.569-.823l-13 9a1.003 1.003 0 0 0 0 1.645z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLeftDownArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 19.071c3.898-3.899 3.898-10.244 0-14.143-3.899-3.899-10.244-3.898-14.143 0-3.898 3.899-3.899 10.243 0 14.143 3.9 3.899 10.244 3.899 14.143 0zM8.464 8.464l2.829 2.829 3.535-3.536 1.414 1.414-3.535 3.536 2.828 2.829H8.464V8.464z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLeftTopArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 19.071c3.898-3.899 3.898-10.244 0-14.143-3.899-3.898-10.243-3.898-14.143 0-3.898 3.899-3.898 10.244 0 14.143 3.9 3.899 10.244 3.899 14.143 0zM8.465 8.464h7.07l-2.828 2.829 3.535 3.536-1.414 1.414-3.535-3.536-2.828 2.829V8.464z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLemon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.45 8.74A2.23 2.23 0 0 1 21.64 7a3.51 3.51 0 0 0 .24-2.47 3.55 3.55 0 0 0-2.45-2.45 3.51 3.51 0 0 0-2.43.28 2.23 2.23 0 0 1-1.7.19 10.07 10.07 0 0 0-6.53 0 9.87 9.87 0 0 0-6.23 6.18 10.07 10.07 0 0 0 0 6.53A2.23 2.23 0 0 1 2.36 17a3.51 3.51 0 0 0-.24 2.47 3.55 3.55 0 0 0 2.45 2.45A3.51 3.51 0 0 0 7 21.64a2.23 2.23 0 0 1 1.7-.19A9.83 9.83 0 0 0 12 22a10.33 10.33 0 0 0 3.27-.54 9.87 9.87 0 0 0 6.19-6.19 10.07 10.07 0 0 0-.01-6.53zM12 7a5 5 0 0 0-5 5H5a7 7 0 0 1 7-7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h1V8H4a2 2 0 0 0-2 2v9a2 2 0 0 0 2 2zM20 8h-7l1.122-3.368A2 2 0 0 0 12.225 2H12L7 7.438V21h11l3.912-8.596L22 12v-2a2 2 0 0 0-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLocationPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22s8.029-5.56 8-12c0-4.411-3.589-8-8-8S4 5.589 4 9.995C3.971 16.44 11.696 21.784 12 22zM8 9h3V6h2v3h3v2h-3v3h-2v-3H8V9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLockAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12c0-1.103-.897-2-2-2h-1V7c0-2.757-2.243-5-5-5S7 4.243 7 7v3H6c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-8zM9 7c0-1.654 1.346-3 3-3s3 1.346 3 3v3H9V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLockOpenAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8V7c0-2.757-2.243-5-5-5S7 4.243 7 7v3H6c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-8c0-1.103-.897-2-2-2H9V7c0-1.654 1.346-3 3-3s3 1.346 3 3v1h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLockOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10H9V7c0-1.654 1.346-3 3-3s3 1.346 3 3h2c0-2.757-2.243-5-5-5S7 4.243 7 7v3H6a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-8a2 2 0 0 0-2-2zm-7.939 5.499A2.002 2.002 0 0 1 14 16a1.99 1.99 0 0 1-1 1.723V20h-2v-2.277a1.992 1.992 0 0 1-.939-2.224z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C9.243 2 7 4.243 7 7v3H6a2 2 0 0 0-2 2v8a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-8a2 2 0 0 0-2-2h-1V7c0-2.757-2.243-5-5-5zM9 7c0-1.654 1.346-3 3-3s3 1.346 3 3v3H9V7zm4 10.723V20h-2v-2.277a1.993 1.993 0 0 1 .567-3.677A2.001 2.001 0 0 1 14 16a1.99 1.99 0 0 1-1 1.723z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLogInCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-4.625 0-8.442 3.507-8.941 8.001H10v-3l5 4-5 4v-3H3.06C3.56 17.494 7.376 21 12 21c4.963 0 9-4.037 9-9s-4.037-9-9-9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLogIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2h-13a.5.5 0 0 0-.5.5V11h6V8l5 4-5 4v-3H5v8.5a.5.5 0 0 0 .5.5h13a.5.5 0 0 0 .5-.5v-19a.5.5 0 0 0-.5-.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLogOutCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-4.963 0-9 4.037-9 9v.001l5-4v3h7v2H8v3l-5-4C3.001 16.964 7.037 21 12 21s9-4.037 9-9-4.037-9-9-9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLogOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6a1 1 0 0 0-1 1v9l5-4v3h6v2h-6v3l-5-4v9a1 1 0 0 0 1 1h12a1 1 0 0 0 1-1V3a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidLowVision (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4.998c-1.836 0-3.356.389-4.617.971L3.707 2.293 2.293 3.707l3.315 3.315c-2.613 1.952-3.543 4.618-3.557 4.66l-.105.316.105.316C2.073 12.382 4.367 19 12 19c1.835 0 3.354-.389 4.615-.971l3.678 3.678 1.414-1.414-3.317-3.317c2.614-1.952 3.545-4.618 3.559-4.66l.105-.316-.105-.316c-.022-.068-2.316-6.686-9.949-6.686zM12.043 7H12a5 5 0 0 1 5 5 4.894 4.894 0 0 1-.852 2.734l-.721-.721A3.919 3.919 0 0 0 16 11.999c0-.474-.099-.925-.255-1.349A.985.985 0 0 1 15 11a1 1 0 0 1-1-1c0-.439.288-.802.682-.936A3.965 3.965 0 0 0 12 7.999c-.735 0-1.419.218-2.015.572l-.72-.72C10.053 7.326 10.982 7 12 7h-.043L12 6.998l.043.002zm-7.969 4.999c.103-.235.274-.586.521-.989l5.867 5.867c-4.213-.647-5.939-3.842-6.388-4.878zm9.247 4.908-7.48-7.48a8.146 8.146 0 0 1 1.188-.984l8.055 8.055a8.835 8.835 0 0 1-1.763.409z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMagicWand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11 4-.5-1-.5 1-1 .125.834.708L9.5 6l1-.666 1 .666-.334-1.167.834-.708zm8.334 10.666L18.5 13l-.834 1.666-1.666.209 1.389 1.181L16.834 18l1.666-1.111L20.166 18l-.555-1.944L21 14.875zM6.667 6.333 6 5l-.667 1.333L4 6.5l1.111.944L4.667 9 6 8.111 7.333 9l-.444-1.556L8 6.5zM3.414 17c0 .534.208 1.036.586 1.414L5.586 20c.378.378.88.586 1.414.586s1.036-.208 1.414-.586L20 8.414c.378-.378.586-.88.586-1.414S20.378 5.964 20 5.586L18.414 4c-.756-.756-2.072-.756-2.828 0L4 15.586c-.378.378-.586.88-.586 1.414zM17 5.414 18.586 7 15 10.586 13.414 9 17 5.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMagnet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3H5a1 1 0 0 0-1 1v3h5V4a1 1 0 0 0-1-1zm7 1v3h5V4a1 1 0 0 0-1-1h-3a1 1 0 0 0-1 1zm0 10a3 3 0 0 1-6 0V9H4v5a8 8 0 0 0 16 0V9h-5v5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMapAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 6.882-7-3.5v13.236l7 3.5 6-3 7 3.5V7.382l-7-3.5-6 3zM15 15l-6 3V9l6-3v9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMapPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 17 1-2V9.858c1.721-.447 3-2 3-3.858 0-2.206-1.794-4-4-4S8 3.794 8 6c0 1.858 1.279 3.411 3 3.858V15l1 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.267 10.563-.533 1.928C18.325 13.207 20 14.584 20 16c0 1.892-3.285 4-8 4s-8-2.108-8-4c0-1.416 1.675-2.793 4.267-3.51l-.533-1.928C4.197 11.54 2 13.623 2 16c0 3.364 4.393 6 10 6s10-2.636 10-6c0-2.377-2.197-4.46-5.733-5.437z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C7.589 2 4 5.589 4 9.995 3.971 16.44 11.696 21.784 12 22c0 0 8.029-5.56 8-12 0-4.411-3.589-8-8-8zm0 12c-2.21 0-4-1.79-4-4s1.79-4 4-4 4 1.79 4 4-1.79 4-4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6H5C3.346 6 2 7.346 2 9v5c0 2.206 1.794 4 4 4h1.637c1.166 0 2.28-.557 2.981-1.491.66-.879 2.104-.88 2.764.001A3.744 3.744 0 0 0 16.363 18H18c2.206 0 4-1.794 4-4V9c0-1.654-1.346-3-3-3zM7.5 13C6.119 13 5 12.328 5 11.5S6.119 10 7.5 10s2.5.672 2.5 1.5S8.881 13 7.5 13zm9 0c-1.381 0-2.5-.672-2.5-1.5s1.119-1.5 2.5-1.5 2.5.672 2.5 1.5-1.119 1.5-2.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMedal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2h-4v4.059a8.946 8.946 0 0 1 4 1.459V2zm-6 0H7v5.518a8.946 8.946 0 0 1 4-1.459V2zm1 20a7 7 0 1 0 0-14 7 7 0 0 0 0 14zm-1.225-8.519L12 11l1.225 2.481 2.738.397-1.981 1.932.468 2.727L12 17.25l-2.449 1.287.468-2.727-1.981-1.932 2.737-.397z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMegaphone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.664 3.478 8 8v7l.748.267-1.127 2.254a1.999 1.999 0 0 0 1.156 2.792l4.084 1.361a2.015 2.015 0 0 0 2.421-1.003l1.303-2.606 4.079 1.457A1 1 0 0 0 22 18.581V4.419a1 1 0 0 0-1.336-.941zm-7.171 16.299L9.41 18.416l1.235-2.471 4.042 1.444-1.194 2.388zM4 15h2V8H4c-1.103 0-2 .897-2 2v3c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMehAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-6 8h4v2H6v-2zm10 7H7.974v-2H16v2zm2-5h-4v-2h4v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMehBlank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM8.5 12a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8.5 12zm6.993-.014a1.494 1.494 0 1 1 .001-2.987 1.494 1.494 0 0 1-.001 2.987z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMeh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-5 8.5a1.5 1.5 0 1 1 3.001.001A1.5 1.5 0 0 1 7 10.5zm9 6.5H7.974v-2H16v2zm-.507-5.014a1.494 1.494 0 1 1 .001-2.987 1.494 1.494 0 0 1-.001 2.987z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMemoryCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2H6c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2V7l-5-5zm-6 8H7V6h2v4zm3 0h-2V6h2v4zm3 0h-2V6h2v4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zm-3 9h-4v4h-2v-4H7V9h4V5h2v4h4v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 18 3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.5zM7 9h4V5h2v4h4v2h-4v4h-2v-4H7V9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4v12c0 1.103.897 2 2 2h3.5l3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2zm5.707 4.293L11 10.586l4.793-4.793 1.414 1.414L11 13.414 7.293 9.707l1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 18 3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.5zM7 7h10v2H7V7zm0 4h7v2H7v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.5l3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2zM9 12a2 2 0 1 1 .001-4.001A2 2 0 0 1 9 12zm6 0a2 2 0 1 1 .001-4.001A2 2 0 0 1 15 12z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.5l3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5zm4.302 11.987h-1.8v-1.799l4.978-4.97 1.798 1.799-4.976 4.97zm5.823-5.817-1.798-1.799L14.698 5l1.8 1.799-1.373 1.371z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4v12c0 1.103.897 2 2 2h3.5l3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2zm8 1h2v6h-2V5zm0 8h2v2h-2v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.5l3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5zm11 9H8V9h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAltX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 18 3.5 4 3.5-4H19c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H5c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.5zM7.293 6.707l1.414-1.414L12 8.586l3.293-3.293 1.414 1.414L13.414 10l3.293 3.293-1.414 1.414L12 11.414l-3.293 3.293-1.414-1.414L10.586 10 7.293 6.707z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.999 2h-14c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h3.5l3.5 4 3.5-4h3.5c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zm-9 11.914-3.707-3.707 1.414-1.414L11 11.086l4.793-4.793 1.414 1.414L11 13.914z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zm-6 11H7v-2h7v2zm3-4H7V7h10v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.017C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zm-9 8a2 2 0 1 1-2-2c.086 0 .167.015.25.025.082-.014.164-.025.25-.025A1.5 1.5 0 0 1 11 9.5c0 .086-.012.168-.025.25.01.083.025.165.025.25zm4 2a2 2 0 0 1-2-2c0-.086.015-.167.025-.25A1.592 1.592 0 0 1 13 9.5 1.5 1.5 0 0 1 14.5 8c.086 0 .168.011.25.025.083-.01.164-.025.25-.025a2 2 0 0 1 0 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zM8.999 14.999H7V13l5.53-5.522 1.998 1.999-5.529 5.522zm6.472-6.464-1.999-1.999 1.524-1.523 1.999 1.999-1.524 1.523z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zm-7 13h-2v-2h2v2zm0-4h-2V5h2v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zm-4 9H8V9h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.907 1.897 5.515 5 6.934V22l5.34-4.005C17.697 17.853 22 14.32 22 10c0-4.411-4.486-8-10-8zm4 9h-3v3h-2v-3H8V9h3V6h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.907 1.897 5.515 5 6.934V22l5.34-4.005C17.697 17.853 22 14.32 22 10c0-4.411-4.486-8-10-8zm-1 12.414-3.707-3.707 1.414-1.414L11 11.586l4.793-4.793 1.414 1.414L11 14.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.907 1.897 5.515 5 6.934V22l5.34-4.005C17.697 17.853 22 14.32 22 10c0-4.411-4.486-8-10-8zm2 11H7v-2h7v2zm3-4H7V7h10v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.897 5.516 5 6.934V22l5.34-4.004C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8zm-2.5 9a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3zm5 0a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.907 1.897 5.516 5 6.934V22l5.34-4.005C17.697 17.854 22 14.32 22 10c0-4.411-4.486-8-10-8zM9.302 13.986H7.503v-1.798l4.976-4.97 1.798 1.799-4.975 4.969zm5.823-5.816-1.799-1.798 1.372-1.371 1.799 1.798-1.372 1.371z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.907 1.897 5.516 5 6.934V22l5.34-4.005C17.697 17.854 22 14.32 22 10c0-4.411-4.486-8-10-8zm1 12h-2v-2h2v2zm0-4h-2V5h2v5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.907 1.897 5.515 5 6.934V22l5.34-4.005C17.697 17.853 22 14.32 22 10c0-4.411-4.486-8-10-8zm4 9H8V9h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRoundedX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.907 1.897 5.515 5 6.934V22l5.34-4.005C17.697 17.853 22 14.32 22 10c0-4.411-4.486-8-10-8zm3.707 10.293-1.414 1.414L12 11.414l-2.293 2.293-1.414-1.414L10.586 10 8.293 7.707l1.414-1.414L12 8.586l2.293-2.293 1.414 1.414L13.414 10l2.293 2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 5.589 2 10c0 2.908 1.898 5.515 5 6.934V22l5.34-4.005C17.697 17.852 22 14.32 22 10c0-4.411-4.486-8-10-8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm1 11h-4v4h-2v-4H7v-2h4V7h2v4h4v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm-5 14.414-3.707-3.707 1.414-1.414L11 13.586l4.793-4.793 1.414 1.414L11 16.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm-2 13H7v-2h7v2zm3-4H7V9h10v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm-5 10.5A1.5 1.5 0 0 1 9.5 14c-.086 0-.168-.011-.25-.025-.083.01-.164.025-.25.025a2 2 0 1 1 2-2c0 .085-.015.167-.025.25.013.082.025.164.025.25zm4 1.5c-.086 0-.167-.015-.25-.025a1.471 1.471 0 0 1-.25.025 1.5 1.5 0 0 1-1.5-1.5c0-.085.012-.168.025-.25-.01-.083-.025-.164-.025-.25a2 2 0 1 1 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zM8.999 17H7v-1.999l5.53-5.522 1.999 1.999L8.999 17zm6.473-6.465-1.999-1.999 1.524-1.523 1.999 1.999-1.524 1.523z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm-3 16h-2v-2h2v2zm0-4h-2V6h2v8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm0 11H8v-2h8v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquareX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6zm.706 13.293-1.414 1.414L12 13.415l-3.292 3.292-1.414-1.414 3.292-3.292-3.292-3.292 1.414-1.414L12 10.587l3.292-3.292 1.414 1.414-3.292 3.292 3.292 3.292z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2H8C4.691 2 2 4.691 2 8v13a1 1 0 0 0 1 1h13c3.309 0 6-2.691 6-6V8c0-3.309-2.691-6-6-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessageX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2zm-3.293 11.293-1.414 1.414L12 11.414l-3.293 3.293-1.414-1.414L10.586 10 7.293 6.707l1.414-1.414L12 8.586l3.293-3.293 1.414 1.414L13.414 10l3.293 3.293z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMessage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H4c-1.103 0-2 .894-2 1.992v12.016C2 17.106 2.897 18 4 18h3v4l6.351-4H20c1.103 0 2-.894 2-1.992V3.992A1.998 1.998 0 0 0 20 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMeteor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.507 2.138a1 1 0 0 0-1.155.102L4.196 9.197c-2.924 2.924-2.924 7.682 0 10.606a7.472 7.472 0 0 0 5.3 2.192c1.924 0 3.85-.734 5.317-2.202l6.903-7.096A1 1 0 0 0 21 11h-3.301l4.175-7.514a1.001 1.001 0 0 0-1.359-1.36l-7.11 3.95.576-2.879a1.002 1.002 0 0 0-.474-1.059zM14 14.5a4.5 4.5 0 0 1-9 0c0-1.57.807-2.949 2.025-3.754-.01.084-.025.167-.025.254a2 2 0 1 0 3.845-.772C12.669 10.802 14 12.486 14 14.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMicrochip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.999 22h8c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2h-8c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2zm-5-15h2V5h-2v.5h-1v1h1zm18-2h-2v2h2v-.5h1v-1h-1zm-18 6h2V9h-2v.5h-1v1h1zm18-2h-2v2h2v-.5h1v-1h-1zm-18 6h2v-2h-2v.5h-1v1h1zm18-2h-2v2h2v-.5h1v-1h-1zm-18 6h2v-2h-2v.5h-1v1h1zm18-2h-2v2h2v-.5h1v-1h-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMicrophoneAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12H4c0 4.072 3.061 7.436 7 7.931V22h2v-2.069c3.939-.495 7-3.858 7-7.931h-2c0 3.309-2.691 6-6 6s-6-2.691-6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12c0 2.206 1.794 4 4 4s4-1.794 4-4h-2v-2h2V8h-2V6h2c0-2.217-1.785-4.021-3.979-4.021a.933.933 0 0 0-.209.025A4.006 4.006 0 0 0 8 6h4v2H8v2h4v2H8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMicrophoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 20.293-3.4-3.4A7.93 7.93 0 0 0 20 12h-2a5.945 5.945 0 0 1-1.119 3.467l-1.449-1.45A3.926 3.926 0 0 0 16 12V6c0-2.217-1.785-4.021-3.979-4.021-.07 0-.14.009-.209.025A4.006 4.006 0 0 0 8 6v.586L3.707 2.293 2.293 3.707l18 18 1.414-1.414zM6 12H4c0 4.072 3.06 7.436 7 7.931V22h2v-2.069a7.935 7.935 0 0 0 2.241-.63l-1.549-1.548A5.983 5.983 0 0 1 12 18c-3.309 0-6-2.691-6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.007 12.067a3.996 3.996 0 0 0 3.926 3.926l-3.926-3.926z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMicrophone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16c2.206 0 4-1.794 4-4V6c0-2.217-1.785-4.021-3.979-4.021a.933.933 0 0 0-.209.025A4.006 4.006 0 0 0 8 6v6c0 2.206 1.794 4 4 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19.931V22h2v-2.069c3.939-.495 7-3.858 7-7.931h-2c0 3.309-2.691 6-6 6s-6-2.691-6-6H4c0 4.072 3.061 7.436 7 7.931z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMinusCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm5 11H7v-2h10v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMinusSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5zm12 10H7v-2h10v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMobileVibration (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.535 2.808a2.003 2.003 0 0 0-2.828 0l-9.899 9.899a2.001 2.001 0 0 0 0 2.828l5.657 5.657c.39.39.902.585 1.414.585s1.024-.195 1.414-.585l9.899-9.899c.78-.779.78-2.049 0-2.828l-5.657-5.657zM8.707 16.707a.999.999 0 1 1-1.414-1.414.999.999 0 1 1 1.414 1.414zm7 5-1.414-1.414 6-6 1.414 1.415zM8.293 2.293l1.414 1.414-6 6-1.414-1.415z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMobile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2H8c-1.103 0-2 .897-2 2v16c0 1.103.897 2 2 2h10zm-5-5a1 1 0 1 1 0 2 1 1 0 1 1 0-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.807A9.002 9.002 0 0 1 10.049 2a9.942 9.942 0 0 0-5.12 2.735c-3.905 3.905-3.905 10.237 0 14.142 3.906 3.906 10.237 3.905 14.143 0a9.946 9.946 0 0 0 2.735-5.119A9.003 9.003 0 0 1 12 11.807z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMouseAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 2v8h6V8c0-3.309-2.691-6-6-6zM5 16c0 3.309 2.691 6 6 6h2c3.309 0 6-2.691 6-6v-4H5v4zm0-8v2h6V2C7.691 2 5 4.691 5 8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.975 22H12c3.859 0 7-3.14 7-7V9c0-3.841-3.127-6.974-6.981-7h-.06C8.119 2.022 5 5.157 5 9v6c0 3.86 3.129 7 6.975 7zM11 6h2v6h-2V6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMoviePlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm.001 6c-.001 0-.001 0 0 0h-.465l-2.667-4H20l.001 4zM15.5 15 10 18v-6l5.5 3zm-.964-6-2.667-4h2.596l2.667 4h-2.596zm-2.404 0H9.536L6.869 5h2.596l2.667 4zM4 5h.465l2.667 4H4V5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMovie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm.001 6c-.001 0-.001 0 0 0h-.466l-2.667-4H20l.001 4zm-5.466 0-2.667-4h2.596l2.667 4h-2.596zm-2.404 0H9.535L6.869 5h2.596l2.666 4zM4 5h.465l2.667 4H4V5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidMusic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18.573c2.206 0 4-1.794 4-4V4.428L19 7.7v7.43a3.953 3.953 0 0 0-2-.557c-2.206 0-4 1.794-4 4s1.794 4 4 4 4-1.794 4-4V7a.998.998 0 0 0-.658-.939l-11-4A.999.999 0 0 0 8 3v8.13a3.953 3.953 0 0 0-2-.557c-2.206 0-4 1.794-4 4s1.794 4 4 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNavigation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.002 9.538c-.023.411.207.794.581.966l7.504 3.442 3.442 7.503c.164.356.52.583.909.583l.057-.002a1 1 0 0 0 .894-.686l5.595-17.032c.117-.358.023-.753-.243-1.02s-.66-.358-1.02-.243L2.688 8.645a.997.997 0 0 0-.686.893z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNetworkChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 3A2.502 2.502 0 0 0 17 5.5c0 .357.078.696.214 1.005l-1.955 2.199A3.977 3.977 0 0 0 13 8c-.74 0-1.424.216-2.019.566L8.707 6.293l-.023.023C8.88 5.918 9 5.475 9 5a3 3 0 1 0-3 3c.475 0 .917-.12 1.316-.316l-.023.023L9.567 9.98A3.956 3.956 0 0 0 9 12c0 .997.38 1.899.985 2.601l-2.577 2.576A2.472 2.472 0 0 0 6.5 17C5.122 17 4 18.121 4 19.5S5.122 22 6.5 22 9 20.879 9 19.5c0-.321-.066-.626-.177-.909l2.838-2.838c.421.15.867.247 1.339.247 2.206 0 4-1.794 4-4 0-.636-.163-1.229-.428-1.764l2.117-2.383c.256.088.526.147.811.147C20.879 8 22 6.879 22 5.5S20.879 3 19.5 3zM13 14c-1.103 0-2-.897-2-2s.897-2 2-2 2 .897 2 2-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNews (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17a1 1 0 0 1-2 0V5a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v13a2 2 0 0 0 2 2h15c1.654 0 3-1.346 3-3V7h-2v10zM12 7h3v2h-3V7zm0 4h3v2h-3v-2zM5 7h5v6H5V7zm0 10v-2h10v2H5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNoEntry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm5 12H7v-4h10v4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h8l8-8V5a2 2 0 0 0-2-2zm-7 16v-7h7l-7 7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNotepad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-3V2h-2v2h-4V2H8v2H5c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h14c1.103 0 2-.897 2-2V6c0-1.103-.897-2-2-2zm-7 10H7v-2h5v2zm5-4H7V8h10v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNotificationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v-7.422A4.962 4.962 0 0 1 18 11a5 5 0 0 1-5-5c0-.712.153-1.387.422-2H6c-.178 0-.347.031-.51.076L3.707 2.293 2.293 3.707l18 18 1.414-1.414-1.783-1.783c.045-.163.076-.332.076-.51zM4 18c0 1.103.897 2 2 2h9.879L4 8.121V18z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidNotification (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6c0-.712.153-1.387.422-2H6c-1.103 0-2 .897-2 2v12c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-7.422A4.962 4.962 0 0 1 18 11a5 5 0 0 1-5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidObjectsHorizontalCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13h-6v-2h4a1 1 0 0 0 1-1V6a1 1 0 0 0-1-1h-4V2h-2v3H7a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h4v2H5a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h6v3h2v-3h6a1 1 0 0 0 1-1v-4a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidObjectsHorizontalLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2h2v20H2z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"6\",\"y\":\"13\",\"width\":\"16\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"6\",\"y\":\"5\",\"width\":\"12\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function BiSolidObjectsHorizontalRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2h2v20h-2z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"2\",\"y\":\"13\",\"width\":\"16\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"6\",\"y\":\"5\",\"width\":\"12\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function BiSolidObjectsVerticalBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h20v2H2z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"5\",\"y\":\"2\",\"width\":\"6\",\"height\":\"16\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"13\",\"y\":\"6\",\"width\":\"6\",\"height\":\"12\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function BiSolidObjectsVerticalCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7a1 1 0 0 0-1-1h-4a1 1 0 0 0-1 1v4h-2V5a1 1 0 0 0-1-1H6a1 1 0 0 0-1 1v6H2v2h3v6a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-6h2v4a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-4h3v-2h-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidObjectsVerticalTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2h20v2H2z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"5\",\"y\":\"6\",\"width\":\"6\",\"height\":\"16\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"13\",\"y\":\"6\",\"width\":\"6\",\"height\":\"12\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function BiSolidOffer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.749 12 1.104-1.908a1 1 0 0 0-.365-1.366l-1.91-1.104v-2.2a1 1 0 0 0-1-1h-2.199l-1.103-1.909a1.008 1.008 0 0 0-.607-.466.993.993 0 0 0-.759.1L12 3.251l-1.91-1.105a1 1 0 0 0-1.366.366L7.62 4.422H5.421a1 1 0 0 0-1 1v2.199l-1.91 1.104a.998.998 0 0 0-.365 1.367L3.25 12l-1.104 1.908a1.004 1.004 0 0 0 .364 1.367l1.91 1.104v2.199a1 1 0 0 0 1 1h2.2l1.104 1.91a1.01 1.01 0 0 0 .866.5c.174 0 .347-.046.501-.135l1.908-1.104 1.91 1.104a1.001 1.001 0 0 0 1.366-.365l1.103-1.91h2.199a1 1 0 0 0 1-1v-2.199l1.91-1.104a1 1 0 0 0 .365-1.367L20.749 12zM9.499 6.99a1.5 1.5 0 1 1-.001 3.001 1.5 1.5 0 0 1 .001-3.001zm.3 9.6-1.6-1.199 6-8 1.6 1.199-6 8zm4.7.4a1.5 1.5 0 1 1 .001-3.001 1.5 1.5 0 0 1-.001 3.001z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPackage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.993 7.95a.96.96 0 0 0-.029-.214c-.007-.025-.021-.049-.03-.074-.021-.057-.04-.113-.07-.165-.016-.027-.038-.049-.057-.075-.032-.045-.063-.091-.102-.13-.023-.022-.053-.04-.078-.061-.039-.032-.075-.067-.12-.094-.004-.003-.009-.003-.014-.006l-.008-.006-8.979-4.99a1.002 1.002 0 0 0-.97-.001l-9.021 4.99c-.003.003-.006.007-.011.01l-.01.004c-.035.02-.061.049-.094.073-.036.027-.074.051-.106.082-.03.031-.053.067-.079.102-.027.035-.057.066-.079.104-.026.043-.04.092-.059.139-.014.033-.032.064-.041.1a.975.975 0 0 0-.029.21c-.001.017-.007.032-.007.05V16c0 .363.197.698.515.874l8.978 4.987.001.001.002.001.02.011c.043.024.09.037.135.054.032.013.063.03.097.039a1.013 1.013 0 0 0 .506 0c.033-.009.064-.026.097-.039.045-.017.092-.029.135-.054l.02-.011.002-.001.001-.001 8.978-4.987c.316-.176.513-.511.513-.874V7.998c0-.017-.006-.031-.007-.048zm-10.021 3.922L5.058 8.005 7.82 6.477l6.834 3.905-2.682 1.49zm.048-7.719L18.941 8l-2.244 1.247-6.83-3.903 2.153-1.191zM13 19.301l.002-5.679L16 11.944V15l2-1v-3.175l2-1.119v5.705l-7 3.89z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPaintRoll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H7c-1.103 0-2 .897-2 2v3c0 1.103.897 2 2 2h11c1.103 0 2-.897 2-2V4c0-1.103-.897-2-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v-2c0-1.103-.897-2-2-2H4V5c-1.103 0-2 .897-2 2v4c0 1.103.897 2 2 2h7v2a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1h2a1 1 0 0 0 1-1v-5a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPaint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.084 2.914c-1.178-1.179-3.234-1.179-4.412 0l-8.379 8.379a.999.999 0 0 0 0 1.414l3 3a.997.997 0 0 0 1.414 0l8.379-8.379a3.123 3.123 0 0 0-.002-4.414zm-1.412 3L12 13.586 10.414 12l7.672-7.672a1.146 1.146 0 0 1 1.586.002 1.123 1.123 0 0 1 0 1.584zM8 15c-1.265-.634-3.5 0-3.5 2 0 1.197.5 2-1.5 3 0 0 3.25 2.25 5.5 0 1.274-1.274 1.494-4-.5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPalette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.38 21.646A9.985 9.985 0 0 0 12 22l.141-.001a2.998 2.998 0 0 0 2.515-1.425c.542-.876.6-1.953.153-2.88l-.198-.415c-.453-.942-.097-1.796.388-2.281.485-.485 1.341-.841 2.28-.388h.001l.413.199a2.99 2.99 0 0 0 2.881-.153A2.997 2.997 0 0 0 22 12.141a9.926 9.926 0 0 0-.353-2.76c-1.038-3.827-4.353-6.754-8.246-7.285-3.149-.427-6.241.602-8.471 2.833S1.666 10.247 2.096 13.4c.53 3.894 3.458 7.208 7.284 8.246zM15.5 6a1.5 1.5 0 1 1 0 3 1.5 1.5 0 0 1 0-3zm-5-1a1.5 1.5 0 1 1 0 3 1.5 1.5 0 0 1 0-3zM9 15.506a1.5 1.5 0 1 1-3 0 1.5 1.5 0 0 1 3 0zm-2.5-6.5a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 6.5 9.006z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPaperPlane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.6 13.083 3.452 1.511L16 9.167l-6 7 8.6 3.916a1 1 0 0 0 1.399-.85l1-15a1.002 1.002 0 0 0-1.424-.972l-17 8a1.002 1.002 0 0 0 .025 1.822zM8 22.167l4.776-2.316L8 17.623z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidParking (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 3H5v18h4v-5h4.5c3.584 0 6.5-2.916 6.5-6.5S17.084 3 13.5 3zm0 9H9V7h4.5C14.879 7 16 8.121 16 9.5S14.879 12 13.5 12z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidParty (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M23 7a8.44 8.44 0 0 0-5 1.31c-.36-.41-.73-.82-1.12-1.21l-.29-.27.14-.12a3.15 3.15 0 0 0 .9-3.49A3.9 3.9 0 0 0 14 1v2a2 2 0 0 1 1.76 1c.17.4 0 .84-.47 1.31l-.23.21a16.71 16.71 0 0 0-3.41-2.2c-2.53-1.14-3.83-.61-4.47 0a2.18 2.18 0 0 0-.46.68l-.18.53L5.1 8.87C6.24 11.71 9 16.76 15 18.94l5-1.66a1 1 0 0 0 .43-.31l.21-.18c1.43-1.44.51-4.21-1.41-6.9A6.63 6.63 0 0 1 23 9zm-3.79 8.37h-.06c-.69.37-3.55-.57-6.79-3.81-.34-.34-.66-.67-.95-1-.1-.11-.19-.23-.29-.35l-.53-.64-.28-.39c-.14-.19-.28-.38-.4-.56s-.16-.26-.24-.39-.22-.34-.31-.51-.13-.24-.19-.37-.17-.28-.23-.42-.09-.23-.14-.34-.11-.27-.15-.4S8.6 6 8.58 5.9s-.06-.24-.08-.34a2 2 0 0 1 0-.24 1.15 1.15 0 0 1 0-.26l.11-.31c.17-.18.91-.23 2.23.37a13.83 13.83 0 0 1 2.49 1.54A4.17 4.17 0 0 1 12 7v2a6.43 6.43 0 0 0 3-.94l.49.46c.44.43.83.86 1.19 1.27A5.31 5.31 0 0 0 16 13.2l2-.39a3.23 3.23 0 0 1 0-1.14c1.29 1.97 1.53 3.39 1.21 3.7zM4.4 11l-2.23 6.7A3.28 3.28 0 0 0 5.28 22a3.21 3.21 0 0 0 1-.17l6.52-2.17A18.7 18.7 0 0 1 4.4 11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPaste (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4h6v2H9zm11 7h-7a2 2 0 0 0-2 2v7a2 2 0 0 0 2 2h7a2 2 0 0 0 2-2v-7a2 2 0 0 0-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9V6a2 2 0 0 0-2-2h-2a2 2 0 0 0-2-2H9a2 2 0 0 0-2 2H5a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h4v-9a2 2 0 0 1 2-2h10zM9 6V4h6v2H9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPear (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.12 22a7.71 7.71 0 0 0 6.57-5 7.23 7.23 0 0 0 .46-3.21 3.26 3.26 0 0 1 1-2.57l.61-.61A3.89 3.89 0 0 0 19.43 6l2.28-2.28-1.42-1.43L18 4.55a3.82 3.82 0 0 0-4.66.57l-.75.75a3.22 3.22 0 0 1-2.52 1 7.05 7.05 0 0 0-3.32.57A7.75 7.75 0 0 0 2 14.11 7.59 7.59 0 0 0 10.12 22zM9.5 9.25v1.5a3.75 3.75 0 0 0-3.75 3.75h-1.5A5.26 5.26 0 0 1 9.5 9.25z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.587 6.999H7.702a2 2 0 0 0-1.88 1.316l-3.76 10.342c-.133.365-.042.774.232 1.049l.293.293 6.422-6.422c-.001-.026-.008-.052-.008-.078a1.5 1.5 0 1 1 1.5 1.5c-.026 0-.052-.007-.078-.008l-6.422 6.422.293.293a.997.997 0 0 0 1.049.232l10.342-3.761a2 2 0 0 0 1.316-1.88v-3.885L19 10.414 13.586 5l-1.999 1.999zm8.353 2.062-5-5 2.12-2.121 5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPencil (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.707 19.707 18 10.414 13.586 6l-9.293 9.293a1.003 1.003 0 0 0-.263.464L3 21l5.242-1.03c.176-.044.337-.135.465-.263zM21 7.414a2 2 0 0 0 0-2.828L19.414 3a2 2 0 0 0-2.828 0L15 4.586 19.414 9 21 7.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPhoneCall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10.999h2C22 5.869 18.127 2 12.99 2v2C17.052 4 20 6.943 20 10.999z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8c2.103 0 3 .897 3 3h2c0-3.225-1.775-5-5-5v2zm3.422 5.443a1.001 1.001 0 0 0-1.391.043l-2.393 2.461c-.576-.11-1.734-.471-2.926-1.66-1.192-1.193-1.553-2.354-1.66-2.926l2.459-2.394a1 1 0 0 0 .043-1.391L6.859 3.513a1 1 0 0 0-1.391-.087l-2.17 1.861a1 1 0 0 0-.29.649c-.015.25-.301 6.172 4.291 10.766C11.305 20.707 16.323 21 17.705 21c.202 0 .326-.006.359-.008a.992.992 0 0 0 .648-.291l1.86-2.171a1 1 0 0 0-.086-1.391l-4.064-3.696z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPhoneIncoming (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.793 6.793 13 4v7h7l-2.793-2.793 4.5-4.5-1.414-1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.422 13.443a1.001 1.001 0 0 0-1.391.043l-2.392 2.461c-.576-.11-1.734-.471-2.926-1.66-1.192-1.193-1.553-2.354-1.66-2.926l2.459-2.394a1 1 0 0 0 .043-1.391L6.86 3.513a1 1 0 0 0-1.391-.087l-2.17 1.861a1.001 1.001 0 0 0-.291.649c-.015.25-.301 6.172 4.291 10.766C11.305 20.707 16.324 21 17.705 21c.203 0 .326-.006.359-.008a.99.99 0 0 0 .648-.291l1.861-2.171a1.001 1.001 0 0 0-.086-1.391l-4.065-3.696z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPhoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.17 13.42a5.24 5.24 0 0 1-.93-2.06L10.7 9a1 1 0 0 0 0-1.39l-3.65-4.1a1 1 0 0 0-1.4-.08L3.48 5.29a1 1 0 0 0-.29.65 15.25 15.25 0 0 0 3.54 9.92l-4.44 4.43 1.42 1.42 18-18-1.42-1.42zm7.44.02a1 1 0 0 0-1.39.05L12.82 16a4.07 4.07 0 0 1-.51-.14l-2.66 2.61A15.46 15.46 0 0 0 17.89 21h.36a1 1 0 0 0 .65-.29l1.86-2.17a1 1 0 0 0-.09-1.39z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPhoneOutgoing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16.793 5.793-4.5 4.5 1.414 1.414 4.5-4.5L21 10V3h-7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.422 13.446a1.001 1.001 0 0 0-1.391.043l-2.393 2.461c-.576-.11-1.734-.471-2.926-1.66-1.192-1.193-1.553-2.354-1.66-2.926l2.459-2.394a1 1 0 0 0 .043-1.391L6.859 3.516a1 1 0 0 0-1.391-.087L3.299 5.29a.996.996 0 0 0-.291.648c-.015.25-.301 6.172 4.291 10.766 4.006 4.006 9.024 4.299 10.406 4.299.202 0 .326-.006.359-.008a.992.992 0 0 0 .648-.291l1.86-2.171a1 1 0 0 0-.086-1.391l-4.064-3.696z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.487 17.14-4.065-3.696a1.001 1.001 0 0 0-1.391.043l-2.393 2.461c-.576-.11-1.734-.471-2.926-1.66-1.192-1.193-1.553-2.354-1.66-2.926l2.459-2.394a1 1 0 0 0 .043-1.391L6.859 3.513a1 1 0 0 0-1.391-.087l-2.17 1.861a1 1 0 0 0-.29.649c-.015.25-.301 6.172 4.291 10.766C11.305 20.707 16.323 21 17.705 21c.202 0 .326-.006.359-.008a.992.992 0 0 0 .648-.291l1.86-2.171a.997.997 0 0 0-.085-1.39z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPhotoAlbum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H6c-1.206 0-3 .799-3 3v14c0 2.201 1.794 3 3 3h15v-2H6.012C5.55 19.988 5 19.806 5 19s.55-.988 1.012-1H21V3a1 1 0 0 0-1-1zM9.503 5a1.503 1.503 0 1 1 0 3.006 1.503 1.503 0 0 1 0-3.006zM12 13H7l3-3 1.5 1.399L14.5 8l3.5 5h-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPiano (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5C3.346 3 2 4.346 2 6v12c0 1.654 1.346 3 3 3h14c1.654 0 3-1.346 3-3V6c0-1.654-1.346-3-3-3zm0 16H5a1 1 0 0 1-1-1v-6h2v4h2v-4h1v4h2v-4h1v4h2v-4h2v4h2v-4h2v6a1 1 0 0 1-1 1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPieChartAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 2.051V11h8.949c-.47-4.717-4.232-8.479-8.949-8.949zm4.969 17.953c2.189-1.637 3.694-4.14 3.98-7.004h-8.183l4.203 7.004z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12V2.051C5.954 2.555 2 6.824 2 12c0 5.514 4.486 10 10 10a9.93 9.93 0 0 0 4.255-.964s-5.253-8.915-5.254-9.031A.02.02 0 0 0 11 12z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPieChartAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 4.929A9.97 9.97 0 0 0 12 2a9.936 9.936 0 0 0-7.071 2.929C3.04 6.818 2 9.33 2 12s1.04 5.182 2.929 7.071C6.818 20.96 9.33 22 12 22s5.182-1.04 7.071-2.929A9.936 9.936 0 0 0 22 12a9.97 9.97 0 0 0-2.929-7.071zm-1.414 12.728C16.146 19.168 14.137 20 12 20s-4.146-.832-5.657-2.343C4.832 16.146 4 14.137 4 12s.832-4.146 2.343-5.657A7.948 7.948 0 0 1 12 4v8h8a7.948 7.948 0 0 1-2.343 5.657z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPieChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 10V5c4 0 7 3 7 7h-7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11.586V6h2V4a2 2 0 0 0-2-2H9a2 2 0 0 0-2 2v2h2v5.586l-2.707 1.707A.996.996 0 0 0 6 14v2a1 1 0 0 0 1 1h4v3l1 2 1-2v-3h4a1 1 0 0 0 1-1v-2a.996.996 0 0 0-.293-.707L15 11.586z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPizza (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.76 2.021a.995.995 0 0 0-.989.703L3.579 19.166a1 1 0 0 0 1.255 1.255l16.442-5.192a.991.991 0 0 0 .702-.988C21.6 7.666 16.334 2.4 9.76 2.021zM10 16a2 2 0 1 1 .001-4.001A2 2 0 0 1 10 16zm6-2a2 2 0 1 1 .001-4.001A2 2 0 0 1 16 14z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlaneAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.414 13.778 2 15.192l4.949 2.121 2.122 4.95 1.414-1.414-.707-3.536L13.091 14l3.61 7.704 1.339-1.339-1.19-10.123 2.828-2.829a2 2 0 1 0-2.828-2.828l-2.903 2.903L3.824 6.297 2.559 7.563l7.644 3.67-3.253 3.253-3.536-.708z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlaneLand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.842 15.296a1.61 1.61 0 0 0 1.892-1.189v-.001a1.609 1.609 0 0 0-1.177-1.949l-4.576-1.133L9.825 4.21l-2.224-.225 2.931 6.589-4.449-.449-2.312-3.829-1.38.31 1.24 5.52 15.211 3.17zM3 18h18v2H3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlaneTakeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h18v2H3zm18.509-9.473a1.61 1.61 0 0 0-2.036-1.019L15 9 7 6 5 7l6 4-4 2-4-2-1 1 4 4 14.547-5.455a1.611 1.611 0 0 0 .962-2.018z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16.21v-1.895L14 8V4a2 2 0 0 0-4 0v4.105L2 14.42v1.789l8-2.81V18l-3 2v2l5-2 5 2v-2l-3-2v-4.685l8 2.895z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlanet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.165 15.582c4.587-4.073 8.141-9.424 6.057-11.771-.661-.744-1.584-1.089-2.575-.983-.832.091-1.687.502-2.549 1.192a8.922 8.922 0 0 0-8.712.282 8.917 8.917 0 0 0-4.109 5.515 8.892 8.892 0 0 0 .306 5.325c-1.065 1.203-2.054 3.677-.823 5.063.517.581 1.257.841 2.147.841 2.707 0 6.808-2.399 10.258-5.464zm3.699-10.767c.358-.034.632.064.861.323.231.261.169.946-.252 1.929a9.059 9.059 0 0 0-1.617-1.853c.431-.262.776-.373 1.008-.399zM4.633 17.118a8.979 8.979 0 0 0 1.568 1.737c-1.025.303-1.714.283-1.945.021-.217-.243.002-1.069.377-1.758zm16.31-5.869c-1.215 1.797-2.906 3.671-4.778 5.333-1.934 1.719-4.066 3.208-6.05 4.202a9.082 9.082 0 0 0 1.874.212 8.986 8.986 0 0 0 4.616-1.282 8.915 8.915 0 0 0 4.338-8.465z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlaylist (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16.493C13 18.427 14.573 20 16.507 20s3.507-1.573 3.507-3.507c0-.177-.027-.347-.053-.517H20V6h2V4h-3a1 1 0 0 0-1 1v8.333a3.465 3.465 0 0 0-1.493-.346A3.51 3.51 0 0 0 13 16.493zM2 5h14v2H2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h14v2H2zm0 4h9v2H2zm0 4h9v2H2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h2v5c0 2.206 1.794 4 4 4h2v5h2v-5h2c2.206 0 4-1.794 4-4V8h2V6H3v2zm4-6h2v3H7zm8 0h2v3h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlusCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm5 11h-4v4h-2v-4H7v-2h4V7h2v4h4v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPlusSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2zm2-10h4V7h2v4h4v2h-4v4h-2v-4H7v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPointer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.978 13.21a1 1 0 0 0-.396-1.024l-14-10a.999.999 0 0 0-1.575.931l2 17a1 1 0 0 0 1.767.516l3.612-4.416 3.377 5.46 1.701-1.052-3.357-5.428 6.089-1.218a.995.995 0 0 0 .782-.769z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPolygon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.707 7.293-5-5A.996.996 0 0 0 16 2H8a.996.996 0 0 0-.707.293l-5 5A.996.996 0 0 0 2 8v8c0 .266.105.52.293.707l5 5A.996.996 0 0 0 8 22h8c.266 0 .52-.105.707-.293l5-5A.996.996 0 0 0 22 16V8a.996.996 0 0 0-.293-.707z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPopsicle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4a7 7 0 0 0-9.9 0l-7.82 7.82a1 1 0 0 0 0 1.41l3.54 3.54-3.54 3.53 1.42 1.42 3.53-3.54 3.54 3.54a1 1 0 0 0 1.41 0L20 13.94A7 7 0 0 0 20 4zm-2.7 2.7a3.33 3.33 0 0 0-4.6 0l-1.06-1.06a4.76 4.76 0 0 1 6.72 0z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPrinter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7h-1V2H6v5H5a3 3 0 0 0-3 3v7a2 2 0 0 0 2 2h2v3h12v-3h2a2 2 0 0 0 2-2v-7a3 3 0 0 0-3-3zM8 4h8v3H8V4zm0 16v-4h8v4H8zm11-8h-4v-2h4v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPurchaseTagAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.707 2.293A.996.996 0 0 0 11 2H6a.996.996 0 0 0-.707.293l-3 3A.996.996 0 0 0 2 6v5c0 .266.105.52.293.707l10 10a.997.997 0 0 0 1.414 0l8-8a.999.999 0 0 0 0-1.414l-10-10zM8.353 10a1.647 1.647 0 1 1-.001-3.293A1.647 1.647 0 0 1 8.353 10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPurchaseTag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.586 2.586A2 2 0 0 0 11.172 2H4a2 2 0 0 0-2 2v7.172a2 2 0 0 0 .586 1.414l8 8a2 2 0 0 0 2.828 0l7.172-7.172a2 2 0 0 0 0-2.828l-8-8zM7 9a2 2 0 1 1 .001-4.001A2 2 0 0 1 7 9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidPyramid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.445 21.832a1 1 0 0 0 1.11 0l9-6A.998.998 0 0 0 21.8 14.4l-9-12c-.377-.504-1.223-.504-1.6 0l-9 12a1 1 0 0 0 .245 1.432l9 6zm8.12-7.078L12 19.798V4.667l7.565 10.087z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidQuoteAltLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 10c-.223 0-.437.034-.65.065.069-.232.14-.468.254-.68.114-.308.292-.575.469-.844.148-.291.409-.488.601-.737.201-.242.475-.403.692-.604.213-.21.492-.315.714-.463.232-.133.434-.28.65-.35l.539-.222.474-.197-.485-1.938-.597.144c-.191.048-.424.104-.689.171-.271.05-.56.187-.882.312-.318.142-.686.238-1.028.466-.344.218-.741.4-1.091.692-.339.301-.748.562-1.05.945-.33.358-.656.734-.909 1.162-.293.408-.492.856-.702 1.299-.19.443-.343.896-.468 1.336-.237.882-.343 1.72-.384 2.437-.034.718-.014 1.315.028 1.747.015.204.043.402.063.539l.025.168.026-.006A4.5 4.5 0 1 0 6.5 10zm11 0c-.223 0-.437.034-.65.065.069-.232.14-.468.254-.68.114-.308.292-.575.469-.844.148-.291.409-.488.601-.737.201-.242.475-.403.692-.604.213-.21.492-.315.714-.463.232-.133.434-.28.65-.35l.539-.222.474-.197-.485-1.938-.597.144c-.191.048-.424.104-.689.171-.271.05-.56.187-.882.312-.317.143-.686.238-1.028.467-.344.218-.741.4-1.091.692-.339.301-.748.562-1.05.944-.33.358-.656.734-.909 1.162-.293.408-.492.856-.702 1.299-.19.443-.343.896-.468 1.336-.237.882-.343 1.72-.384 2.437-.034.718-.014 1.315.028 1.747.015.204.043.402.063.539l.025.168.026-.006A4.5 4.5 0 1 0 17.5 10z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidQuoteAltRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.95 8.721-.025-.168-.026.006A4.5 4.5 0 1 0 17.5 14c.223 0 .437-.034.65-.065-.069.232-.14.468-.254.68-.114.308-.292.575-.469.844-.148.291-.409.488-.601.737-.201.242-.475.403-.692.604-.213.21-.492.315-.714.463-.232.133-.434.28-.65.35l-.539.222-.474.197.484 1.939.597-.144c.191-.048.424-.104.689-.171.271-.05.56-.187.882-.312.317-.143.686-.238 1.028-.467.344-.218.741-.4 1.091-.692.339-.301.748-.562 1.05-.944.33-.358.656-.734.909-1.162.293-.408.492-.856.702-1.299.19-.443.343-.896.468-1.336.237-.882.343-1.72.384-2.437.034-.718.014-1.315-.028-1.747a7.028 7.028 0 0 0-.063-.539zm-11 0-.025-.168-.026.006A4.5 4.5 0 1 0 6.5 14c.223 0 .437-.034.65-.065-.069.232-.14.468-.254.68-.114.308-.292.575-.469.844-.148.291-.409.488-.601.737-.201.242-.475.403-.692.604-.213.21-.492.315-.714.463-.232.133-.434.28-.65.35l-.539.222c-.301.123-.473.195-.473.195l.484 1.939.597-.144c.191-.048.424-.104.689-.171.271-.05.56-.187.882-.312.317-.143.686-.238 1.028-.467.344-.218.741-.4 1.091-.692.339-.301.748-.562 1.05-.944.33-.358.656-.734.909-1.162.293-.408.492-.856.702-1.299.19-.443.343-.896.468-1.336.237-.882.343-1.72.384-2.437.034-.718.014-1.315-.028-1.747a7.571 7.571 0 0 0-.064-.537z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidQuoteLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.691 6.292C5.094 4.771 7.217 4 10 4h1v2.819l-.804.161c-1.37.274-2.323.813-2.833 1.604A2.902 2.902 0 0 0 6.925 10H10a1 1 0 0 1 1 1v7c0 1.103-.897 2-2 2H3a1 1 0 0 1-1-1v-5l.003-2.919c-.009-.111-.199-2.741 1.688-4.789zM20 20h-6a1 1 0 0 1-1-1v-5l.003-2.919c-.009-.111-.199-2.741 1.688-4.789C16.094 4.771 18.217 4 21 4h1v2.819l-.804.161c-1.37.274-2.323.813-2.833 1.604A2.902 2.902 0 0 0 17.925 10H21a1 1 0 0 1 1 1v7c0 1.103-.897 2-2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidQuoteRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.309 17.708C22.196 15.66 22.006 13.03 22 13V5a1 1 0 0 0-1-1h-6c-1.103 0-2 .897-2 2v7a1 1 0 0 0 1 1h3.078a2.89 2.89 0 0 1-.429 1.396c-.508.801-1.465 1.348-2.846 1.624l-.803.16V20h1c2.783 0 4.906-.771 6.309-2.292zm-11.007 0C11.19 15.66 10.999 13.03 10.993 13V5a1 1 0 0 0-1-1h-6c-1.103 0-2 .897-2 2v7a1 1 0 0 0 1 1h3.078a2.89 2.89 0 0 1-.429 1.396c-.508.801-1.465 1.348-2.846 1.624l-.803.16V20h1c2.783 0 4.906-.771 6.309-2.292z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidQuoteSingleLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15.203 6.98.804-.161V4h-1c-2.784 0-4.906.771-6.309 2.292C6.81 8.34 7 10.97 7.006 11v8a1 1 0 0 0 1 1h7c1.103 0 2-.897 2-2v-7a1 1 0 0 0-1-1h-4.079c.022-.402.123-.912.429-1.396.509-.801 1.466-1.347 2.847-1.624z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidQuoteSingleRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.804 17.02 8 17.18V20h1c2.783 0 4.906-.771 6.309-2.292C17.196 15.66 17.006 13.03 17 13V5a1 1 0 0 0-1-1H9c-1.103 0-2 .897-2 2v7a1 1 0 0 0 1 1h4.078a2.89 2.89 0 0 1-.429 1.396c-.507.801-1.464 1.347-2.845 1.624z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRadiation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.912 8.531 7.121 3.877a.501.501 0 0 0-.704-.166 9.982 9.982 0 0 0-4.396 7.604.505.505 0 0 0 .497.528l5.421.09a4.042 4.042 0 0 1 1.973-3.402zm8.109-4.51a.504.504 0 0 0-.729.151L14.499 8.83a4.03 4.03 0 0 1 1.546 3.112l5.419-.09a.507.507 0 0 0 .499-.53 9.986 9.986 0 0 0-3.942-7.301zm-4.067 11.511a4.015 4.015 0 0 1-1.962.526 4.016 4.016 0 0 1-1.963-.526l-2.642 4.755a.5.5 0 0 0 .207.692A9.948 9.948 0 0 0 11.992 22a9.94 9.94 0 0 0 4.396-1.021.5.5 0 0 0 .207-.692l-2.641-4.755z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRadio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.249 5.025-7.897-2.962-.703 1.873L14.484 5H4a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V7c0-1.02-.766-1.851-1.751-1.975zM10 17H6v-2h4v2zm6.5 1a2.5 2.5 0 1 1 0-5 2.5 2.5 0 0 1 0 5zm3.5-7H4V7h16v4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidReceipt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v6a1 1 0 0 1-2 0V4a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v14c0 1.654 1.346 3 3 3h14c1.654 0 3-1.346 3-3v-6h-2zm-6-1v2H6v-2h8zM6 9V7h8v2H6zm8 6v2h-3v-2h3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRectangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18a1 1 0 0 0 1-1V5a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v14a1 1 0 0 0 1 1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRegistered (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h-3v2h3a1 1 0 0 0 0-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm2.13 15-2.67-4H10v4H8V7h5a3 3 0 0 1 .79 5.88L16.54 17z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRename (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5.995h-1v12h1c1.103 0 2-.897 2-2v-8c0-1.102-.897-2-2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17.995V4h2.995V2h-8v2H15v1.995H4c-1.103 0-2 .897-2 2v8c0 1.103.897 2 2 2h11V20h-3.005v2h8v-2H17v-2.005zm-11-4v-4h9v4H6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidReport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20 8-6-6H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8zM9 19H7v-9h2v9zm4 0h-2v-6h2v6zm4 0h-2v-3h2v3zM14 9h-1V4l5 5h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRewindCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.485 2 12s4.486 10 10 10c5.515 0 10-4.485 10-10S17.515 2 12 2zm5 14-6-4v4l-6-4 6-4v4l6-4v8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRightArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19 12-7-6v5H6v2h6v5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRightArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm0 15v-4H7v-2h5V7l5 5-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRightArrowSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2zm4 6h5V7l5 5-5 5v-4H7v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRightArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.536 21.886a1.004 1.004 0 0 0 1.033-.064l13-9a1 1 0 0 0 0-1.644l-13-9A1 1 0 0 0 5 3v18a1 1 0 0 0 .536.886z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRightDownArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 4.929c-3.898 3.899-3.898 10.244 0 14.143 3.899 3.898 10.243 3.898 14.143 0 3.898-3.899 3.898-10.244 0-14.143-3.9-3.899-10.244-3.899-14.143 0zm10.606 10.607h-7.07l2.828-2.829-3.535-3.536 1.414-1.414 3.535 3.536 2.828-2.829v7.072z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRightTopArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 4.929c-3.899-3.898-10.243-3.898-14.143 0-3.898 3.899-3.898 10.244 0 14.143 3.899 3.898 10.243 3.898 14.143 0 3.899-3.9 3.899-10.244 0-14.143zm-3.536 10.607-2.828-2.829-3.535 3.536-1.414-1.414 3.535-3.536-2.828-2.829h7.07v7.072z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRocket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.78 15.84S18.64 13 19.61 12c3.07-3 1.54-9.18 1.54-9.18S15 1.29 12 4.36C9.66 6.64 8.14 8.22 8.14 8.22S4.3 7.42 2 9.72L14.25 22c2.3-2.33 1.53-6.16 1.53-6.16zm-1.5-9a2 2 0 0 1 2.83 0 2 2 0 1 1-2.83 0zM3 21a7.81 7.81 0 0 0 5-2l-3-3c-2 1-2 5-2 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidRuler (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.875 7H3.125C1.953 7 1 7.897 1 9v6c0 1.103.953 2 2.125 2h17.75C22.047 17 23 16.103 23 15V9c0-1.103-.953-2-2.125-2zM7 12H5V9h2v3zm4 1H9V9h2v4zm4-1h-2V9h2v3zm4 1h-2V9h2v4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-5 8.5a1.5 1.5 0 1 1 3.001.001A1.5 1.5 0 0 1 7 10.5zM8 17s1-3 4-3 4 3 4 3H8zm7.493-5.014a1.494 1.494 0 1 1 .001-2.987 1.494 1.494 0 0 1-.001 2.987z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSave (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14a2 2 0 0 0 2-2V8l-5-5H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2zM7 5h4v2h2V5h2v4H7V5zm0 8h10v6H7v-6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSchool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10h-2V4h1V2H4v2h1v6H3a1 1 0 0 0-1 1v9h20v-9a1 1 0 0 0-1-1zm-7 8v-4h-4v4H7V4h10v14h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h2v2H9zm4 0h2v2h-2zm-4 4h2v2H9zm4 0h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSearchAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16c1.763 0 3.37-.66 4.603-1.739l1.337 2.8s.275.224.653.596c.387.363.896.854 1.384 1.367l1.358 1.392.604.646 2.121-2.121-.646-.604-1.392-1.358a35.13 35.13 0 0 1-1.367-1.384c-.372-.378-.596-.653-.596-.653l-2.8-1.337A6.967 6.967 0 0 0 16 9c0-3.859-3.141-7-7-7S2 5.141 2 9s3.141 7 7 7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2c-4.411 0-8 3.589-8 8s3.589 8 8 8a7.952 7.952 0 0 0 4.897-1.688l4.396 4.396 1.414-1.414-4.396-4.396A7.952 7.952 0 0 0 18 10c0-4.411-3.589-8-8-8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSelectMultiple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2zm-6.933 12.481-3.274-3.274 1.414-1.414 1.726 1.726 4.299-5.159 1.537 1.281-5.702 6.84z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h11v-2H4V8H2v12c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSend (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.426 11.095-17-8A1 1 0 0 0 3.03 4.242l1.212 4.849L12 12l-7.758 2.909-1.212 4.849a.998.998 0 0 0 1.396 1.147l17-8a1 1 0 0 0 0-1.81z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidServer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2zm-5 5h-2V6h2zm4 0h-2V6h2zm1 5H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-4a2 2 0 0 0-2-2zm-5 5h-2v-2h2zm4 0h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShapes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.867 2.504c-.355-.624-1.381-.623-1.736 0l-3.999 7A1 1 0 0 0 13 11h8a1.001 1.001 0 0 0 .868-1.496l-4.001-7zM3 22h7a1 1 0 0 0 1-1v-7a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v7a1 1 0 0 0 1 1zm14.5-9c-2.481 0-4.5 2.019-4.5 4.5s2.019 4.5 4.5 4.5 4.5-2.019 4.5-4.5-2.019-4.5-4.5-4.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShareAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c0 1.654 1.346 3 3 3 .794 0 1.512-.315 2.049-.82l5.991 3.424c-.018.13-.04.26-.04.396 0 1.654 1.346 3 3 3s3-1.346 3-3-1.346-3-3-3c-.794 0-1.512.315-2.049.82L8.96 12.397c.018-.131.04-.261.04-.397s-.022-.266-.04-.397l5.991-3.423c.537.505 1.255.82 2.049.82 1.654 0 3-1.346 3-3s-1.346-3-3-3-3 1.346-3 3c0 .136.022.266.04.397L8.049 9.82A2.982 2.982 0 0 0 6 9c-1.654 0-3 1.346-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6.914V2.586L6.293 7.293l-3.774 3.774 3.841 3.201L11 18.135V13.9c8.146-.614 11 4.1 11 4.1 0-2.937-.242-5.985-2.551-8.293C16.765 7.022 12.878 6.832 11 6.914z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShieldAlt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.881 5.223a.496.496 0 0 0-.747-.412c-.672.392-1.718.898-2.643.898-.421 0-.849-.064-1.289-.198a5.712 5.712 0 0 1-.808-.309c-1.338-.639-2.567-1.767-3.696-2.889a1.008 1.008 0 0 0-.698-.29 1.008 1.008 0 0 0-.698.29c-1.129 1.122-2.358 2.25-3.696 2.889h-.001a5.655 5.655 0 0 1-.807.309c-.44.134-.869.198-1.289.198-.925 0-1.971-.507-2.643-.898a.496.496 0 0 0-.747.412c-.061 1.538-.077 4.84.688 7.444 1.399 4.763 4.48 7.976 8.91 9.292l.14.041.14-.014V22v-.014H12l.143.014.14-.041c4.43-1.316 7.511-4.529 8.91-9.292.765-2.604.748-5.906.688-7.444z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShieldMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.43 5.76-8-3.56a1 1 0 0 0-.82 0l-8 3.56a1 1 0 0 0-.59.9c0 2.37.44 10.8 8.51 15.11a1 1 0 0 0 1 0c8-4.3 8.58-12.71 8.57-15.1a1 1 0 0 0-.67-.91zm-4.43 7H8v-2h8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShieldPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.43 5.76-8-3.56a1 1 0 0 0-.82 0l-8 3.56a1 1 0 0 0-.59.9c0 2.37.44 10.8 8.51 15.11a1 1 0 0 0 1 0c8-4.3 8.58-12.71 8.57-15.1a1 1 0 0 0-.67-.91zm-4.43 7h-3v3h-2v-3H8v-2h3v-3h2v3h3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShieldX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.492 21.771c.294.157.663.157.957-.001 8.012-4.304 8.581-12.713 8.574-15.104a.988.988 0 0 0-.596-.903l-8.051-3.565a1.005 1.005 0 0 0-.813.001L3.57 5.765a.988.988 0 0 0-.592.891c-.034 2.379.445 10.806 8.514 15.115zM8.293 9.707l1.414-1.414L12 10.586l2.293-2.293 1.414 1.414L13.414 12l2.293 2.293-1.414 1.414L12 13.414l-2.293 2.293-1.414-1.414L10.586 12 8.293 9.707z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.496 6.106-7.973-4a.997.997 0 0 0-.895-.002l-8.027 4c-.297.15-.502.437-.544.767-.013.097-1.145 9.741 8.541 15.008a.995.995 0 0 0 .969-.009c9.307-5.259 8.514-14.573 8.476-14.967a1 1 0 0 0-.547-.797z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.997 20c-.899 0-1.288-.311-1.876-.781-.68-.543-1.525-1.219-3.127-1.219-1.601 0-2.446.676-3.125 1.22-.587.469-.975.78-1.874.78-.897 0-1.285-.311-1.872-.78C4.444 18.676 3.601 18 2 18v2c.898 0 1.286.311 1.873.78.679.544 1.523 1.22 3.122 1.22 1.601 0 2.445-.676 3.124-1.219.588-.47.976-.781 1.875-.781.9 0 1.311.328 1.878.781.679.543 1.524 1.219 3.125 1.219s2.446-.676 3.125-1.219C20.689 20.328 21.1 20 22 20v-2c-1.602 0-2.447.676-3.127 1.219-.588.47-.977.781-1.876.781zM6 8.5 4 9l2 8h.995c1.601 0 2.445-.676 3.124-1.219.588-.47.976-.781 1.875-.781.9 0 1.311.328 1.878.781.679.543 1.524 1.219 3.125 1.219H18l.027-.107.313-1.252L20 9l-2-.5V5.001a1 1 0 0 0-.804-.981L13 3.181V2h-2v1.181l-4.196.839A1 1 0 0 0 6 5.001V8.5zm2-2.681 4-.8 4 .8V8l-4-1-4 1V5.819z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShocked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-5 8.5a1.5 1.5 0 1 1 3.001.001A1.5 1.5 0 0 1 7 10.5zm5 7.5c-1.657 0-3-1.119-3-2.5s1.343-2.5 3-2.5 3 1.119 3 2.5-1.343 2.5-3 2.5zm3.493-6.014a1.494 1.494 0 1 1 .001-2.987 1.494 1.494 0 0 1-.001 2.987z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShoppingBagAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4H3a1 1 0 0 0-1 1v14a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V5a1 1 0 0 0-1-1zm-9 9c-3.309 0-6-2.691-6-6h2c0 2.206 1.794 4 4 4s4-1.794 4-4h2c0 3.309-2.691 6-6 6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShoppingBag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14a2 2 0 0 0 2-2V9a1 1 0 0 0-1-1h-3v-.777c0-2.609-1.903-4.945-4.5-5.198A5.005 5.005 0 0 0 7 7v1H4a1 1 0 0 0-1 1v11a2 2 0 0 0 2 2zm12-12v2h-2v-2h2zM9 7c0-1.654 1.346-3 3-3s3 1.346 3 3v1H9V7zm-2 3h2v2H7v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShoppingBags (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h2V10a1 1 0 0 1 1-1h12V7a1 1 0 0 0-1-1h-3.051c-.252-2.244-2.139-4-4.449-4S6.303 3.756 6.051 6H3a1 1 0 0 0-1 1v11a2 2 0 0 0 2 2zm6.5-16c1.207 0 2.218.86 2.45 2h-4.9c.232-1.14 1.243-2 2.45-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11H9a1 1 0 0 0-1 1v8a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2v-8a1 1 0 0 0-1-1zm-6 7c-2.757 0-5-2.243-5-5h2c0 1.654 1.346 3 3 3s3-1.346 3-3h2c0 2.757-2.243 5-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c-7.633 0-9.927 6.617-9.948 6.684L1.946 12l.105.316C2.073 12.383 4.367 19 12 19s9.927-6.617 9.948-6.684l.106-.316-.105-.316C21.927 11.617 19.633 5 12 5zm0 11c-2.206 0-4-1.794-4-4s1.794-4 4-4 4 1.794 4 4-1.794 4-4 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c-1.084 0-2 .916-2 2s.916 2 2 2 2-.916 2-2-.916-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidShower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18.33A6.78 6.78 0 0 0 19.5 15a6.73 6.73 0 0 0-1.5 3.33 1.51 1.51 0 1 0 3 0zM11 20.33A6.78 6.78 0 0 0 9.5 17 6.73 6.73 0 0 0 8 20.33 1.59 1.59 0 0 0 9.5 22a1.59 1.59 0 0 0 1.5-1.67zM16 20.33A6.78 6.78 0 0 0 14.5 17a6.73 6.73 0 0 0-1.5 3.33A1.59 1.59 0 0 0 14.5 22a1.59 1.59 0 0 0 1.5-1.67zM6 18.33A6.78 6.78 0 0 0 4.5 15 6.73 6.73 0 0 0 3 18.33 1.59 1.59 0 0 0 4.5 20 1.59 1.59 0 0 0 6 18.33zM2 12h20v2H2zM13 4.07V2h-2v2.07A8 8 0 0 0 4.07 11h15.86A8 8 0 0 0 13 4.07z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSkipNextCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm4 14h-2v-4l-6 4V8l6 4V8h2v8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSkipPreviousCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10c5.515 0 10-4.486 10-10S17.515 2 12 2zm4 14-6-4v4H8V8h2v4l6-4v8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSkull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C5.505 2 2 6.637 2 11c0 2.129 1.009 3.979 3 5.508V21h3v-3h2v3h4v-3h2v3h3v-4.493c1.991-1.528 3-3.379 3-5.507 0-4.363-3.505-9-10-9zM8 13c-1.121 0-2-1.098-2-2.5S6.879 8 8 8s2 1.098 2 2.5S9.121 13 8 13zm8 0c-1.121 0-2-1.098-2-2.5S14.879 8 16 8s2 1.098 2 2.5-.879 2.5-2 2.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSleepy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-4 9.01-2-.02C6.017 9.386 7.095 7 10 7v2c-1.924 0-1.998 1.805-2 2.01zM12 18c-1.657 0-3-1.119-3-2.5s1.343-2.5 3-2.5 3 1.119 3 2.5-1.343 2.5-3 2.5zm5-7-1 .008C15.992 10.536 15.826 9 14 9V7c2.935 0 4 2.393 4 4h-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSlideshow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h7v3H8v2h8v-2h-3v-3h7c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zM10 13V7l5 3-5 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.514 0 10-4.486 10-10S17.514 2 12 2 2 6.486 2 12s4.486 10 10 10zm3.493-13a1.494 1.494 0 1 1-.001 2.987A1.494 1.494 0 0 1 15.493 9zm-4.301 6.919a4.108 4.108 0 0 0 1.616 0c.253-.052.505-.131.75-.233.234-.1.464-.224.679-.368.208-.142.407-.306.591-.489.183-.182.347-.381.489-.592l1.658 1.117a6.027 6.027 0 0 1-1.619 1.621 6.003 6.003 0 0 1-2.149.904 6.116 6.116 0 0 1-2.414-.001 5.919 5.919 0 0 1-2.148-.903 6.078 6.078 0 0 1-1.621-1.622l1.658-1.117c.143.211.307.41.488.59a3.988 3.988 0 0 0 2.022 1.093zM8.5 9a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 8.5 9z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSortAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.227 11h11.547c.862 0 1.32-1.02.747-1.665L12.748 2.84a.998.998 0 0 0-1.494 0L5.479 9.335C4.906 9.98 5.364 11 6.227 11zm5.026 10.159a.998.998 0 0 0 1.494 0l5.773-6.495c.574-.644.116-1.664-.747-1.664H6.227c-.862 0-1.32 1.02-.747 1.665l5.773 6.494z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSpa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16.114c-3.998-5.951-8.574-7.043-8.78-7.09L2 8.75V10c0 7.29 3.925 12 10 12 5.981 0 10-4.822 10-12V8.75l-1.22.274c-.206.047-4.782 1.139-8.78 7.09z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.274 3.767c-1.799 1.898-2.84 3.775-3.443 5.295 1.329.784 2.781 1.943 4.159 3.685 1.364-1.76 2.826-2.925 4.17-3.709-.605-1.515-1.646-3.383-3.435-5.271L12 3l-.726.767z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSpeaker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"15\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2zm-6 2a2 2 0 1 1-2 2 2 2 0 0 1 2-2zm0 16a5 5 0 1 1 5-5 5 5 0 0 1-5 5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSprayCan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.003 3h2v2h-2zM16 3h2v2h-2zm0 3h2v2h-2zm3-3h2v2h-2zm0 3h2v2h-2zm0 3h2v2h-2zM4.012 12v9a1 1 0 0 0 1 1H13a1 1 0 0 0 1-1v-9a4 4 0 0 0-4-4H8.012a4 4 0 0 0-4 4zM7.003 2h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSpreadsheet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2zm7 2h8v2h-8V7zm0 4h8v2h-8v-2zm0 4h8v2h-8v-2zM6 7h2v2H6V7zm0 4h2v2H6v-2zm0 4h2v2H6v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2H7C4.243 2 2 4.243 2 7v10c0 2.757 2.243 5 5 5h10c2.757 0 5-2.243 5-5V7c0-2.757-2.243-5-5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidStarHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.025 20.775A.998.998 0 0 0 6 22a1 1 0 0 0 .555-.168L12 18.202l5.445 3.63a1.001 1.001 0 0 0 1.517-1.106l-1.829-6.4 4.536-4.082a1 1 0 0 0-.59-1.74l-5.701-.454-2.467-5.461a.998.998 0 0 0-1.822-.001L8.622 8.05l-5.701.453a1 1 0 0 0-.619 1.713l4.214 4.107-1.491 6.452zM12 5.429l2.042 4.521.588.047h.001l3.972.315-3.271 2.944-.001.002-.463.416.171.597v.003l1.253 4.385L12 15.798V5.429z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.947 9.179a1.001 1.001 0 0 0-.868-.676l-5.701-.453-2.467-5.461a.998.998 0 0 0-1.822-.001L8.622 8.05l-5.701.453a1 1 0 0 0-.619 1.713l4.213 4.107-1.49 6.452a1 1 0 0 0 1.53 1.057L12 18.202l5.445 3.63a1.001 1.001 0 0 0 1.517-1.106l-1.829-6.4 4.536-4.082c.297-.268.406-.686.278-1.065z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSticker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 11c-4.136 0-7.5 3.364-7.5 7.5 0 .871.157 1.704.432 2.482l9.551-9.551A7.462 7.462 0 0 0 18.5 11z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12c0 4.583 3.158 8.585 7.563 9.69A9.431 9.431 0 0 1 9 18.5C9 13.262 13.262 9 18.5 9c1.12 0 2.191.205 3.19.563C20.585 5.158 16.583 2 12 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidStopwatch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c-4.411 0-8 3.589-8 8s3.589 8 8 8 8-3.589 8-8-3.589-8-8-8zm1 8h-2V8h2v5zM9 2h6v2H9zm9.707 2.293 2 2-1.414 1.414-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidStoreAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H5C3.346 2 2 3.346 2 5v2.831c0 1.053.382 2.01 1 2.746V20a1 1 0 0 0 1 1h8a1 1 0 0 0 1-1v-5h4v5a1 1 0 0 0 1 1h2a1 1 0 0 0 1-1v-9.424c.618-.735 1-1.692 1-2.746V5c0-1.654-1.346-3-3-3zm1 3v2.831c0 1.14-.849 2.112-1.891 2.167L18 10c-1.103 0-2-.897-2-2V4h3c.552 0 1 .449 1 1zM10 8V4h4v4c0 1.103-.897 2-2 2s-2-.897-2-2zM4 5c0-.551.448-1 1-1h3v4c0 1.103-.897 2-2 2l-.109-.003C4.849 9.943 4 8.971 4 7.831V5zm6 11H6v-3h4v3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidStore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.999 8a.997.997 0 0 0-.143-.515L19.147 2.97A2.01 2.01 0 0 0 17.433 2H6.565c-.698 0-1.355.372-1.714.971L2.142 7.485A.997.997 0 0 0 1.999 8c0 1.005.386 1.914 1 2.618V20a1 1 0 0 0 1 1h8a1 1 0 0 0 1-1v-5h4v5a1 1 0 0 0 1 1h2a1 1 0 0 0 1-1v-9.382c.614-.704 1-1.613 1-2.618zm-2.016.251A2.002 2.002 0 0 1 17.999 10c-1.103 0-2-.897-2-2 0-.068-.025-.128-.039-.192l.02-.004L15.219 4h2.214l2.55 4.251zm-9.977-.186L10.818 4h2.361l.813 4.065C13.957 9.138 13.079 10 11.999 10s-1.958-.862-1.993-1.935zM6.565 4h2.214l-.76 3.804.02.004c-.015.064-.04.124-.04.192 0 1.103-.897 2-2 2a2.002 2.002 0 0 1-1.984-1.749L6.565 4zm3.434 12h-4v-3h4v3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.995 12c0 2.761 2.246 5.007 5.007 5.007s5.007-2.246 5.007-5.007-2.246-5.007-5.007-5.007S6.995 9.239 6.995 12zM11 19h2v3h-2zm0-17h2v3h-2zm-9 9h3v2H2zm17 0h3v2h-3zM5.637 19.778l-1.414-1.414 2.121-2.121 1.414 1.414zM16.242 6.344l2.122-2.122 1.414 1.414-2.122 2.122zM6.344 7.759 4.223 5.637l1.415-1.414 2.12 2.122zm13.434 10.605-1.414 1.414-2.122-2.122 1.414-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidSushi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"12.07\",\"cy\":\"7\",\"rx\":\"3\",\"ry\":\"1.71\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.07 22c4.48 0 8-2.2 8-5V7c0-2.8-3.52-5-8-5s-8 2.2-8 5v10c0 2.8 3.51 5 8 5zm0-18c3.53 0 6 1.58 6 3a2 2 0 0 1-.29.87c-.68 1-2.53 2-5 2.12h-1.39C8.88 9.83 7 8.89 6.35 7.84a2.16 2.16 0 0 1-.28-.76V7c0-1.42 2.46-3 6-3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTShirt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.316 4.055C19.556 3.478 15 1.985 15 2a3 3 0 1 1-6 0c0-.015-4.556 1.478-6.317 2.055A.992.992 0 0 0 2 5.003v3.716a1 1 0 0 0 1.242.97L6 9v12a1 1 0 0 0 1 1h10a1 1 0 0 0 1-1V9l2.758.689A1 1 0 0 0 22 8.719V5.003a.992.992 0 0 0-.684-.948z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTachometer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4C6.486 4 2 8.486 2 14a9.89 9.89 0 0 0 1.051 4.445c.17.34.516.555.895.555h16.107c.379 0 .726-.215.896-.555A9.89 9.89 0 0 0 22 14c0-5.514-4.486-10-10-10zm5.022 5.022L13.06 15.06a1.53 1.53 0 0 1-2.121.44 1.53 1.53 0 0 1 0-2.561l6.038-3.962a.033.033 0 0 1 .045.01.034.034 0 0 1 0 .035z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTagAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.868 11.504-4-7A1 1 0 0 0 17 4H3a1 1 0 0 0-.868 1.496L5.849 12l-3.717 6.504A1 1 0 0 0 3 20h14a1 1 0 0 0 .868-.504l4-7a.998.998 0 0 0 0-.992z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTagX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.842 6.218a1.977 1.977 0 0 0-.424-.628A1.99 1.99 0 0 0 20 5H8c-.297 0-.578.132-.769.359l-5 6c-.309.371-.309.91 0 1.281l5 6c.191.228.472.36.769.36h12a1.977 1.977 0 0 0 1.41-.582A1.99 1.99 0 0 0 22 17V7c0-.266-.052-.525-.158-.782zm-4.135 8.075-1.414 1.414L14 13.414l-2.293 2.293-1.414-1.414L12.586 12l-2.293-2.293 1.414-1.414L14 10.586l2.293-2.293 1.414 1.414L15.414 12l2.293 2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.842 6.218a1.977 1.977 0 0 0-.424-.628A1.99 1.99 0 0 0 20 5H8c-.297 0-.578.132-.769.359l-5 6c-.309.371-.309.91 0 1.281l5 6c.191.228.472.36.769.36h12a1.977 1.977 0 0 0 1.41-.582A1.99 1.99 0 0 0 22 17V7c0-.266-.052-.525-.158-.782z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTaxi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.772 10.155-1.368-4.104A2.995 2.995 0 0 0 16.559 4H14V2h-4v2H7.441a2.995 2.995 0 0 0-2.845 2.051l-1.368 4.104A2 2 0 0 0 2 12v5c0 .738.404 1.376 1 1.723V21a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2h12v2a1 1 0 0 0 1 1h1a1 1 0 0 0 1-1v-2.277A1.99 1.99 0 0 0 22 17v-5a2 2 0 0 0-1.228-1.845zM7.441 6h9.117c.431 0 .813.274.949.684L18.613 10H5.387l1.105-3.316A1 1 0 0 1 7.441 6zM5.5 16a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 5.5 16zm13 0a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 18.5 16z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTennisBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 19.071a9.953 9.953 0 0 0 6.692 2.906c-.463-2.773.365-5.721 2.5-7.856 2.136-2.135 5.083-2.963 7.856-2.5-.092-2.433-1.053-4.839-2.906-6.692s-4.26-2.814-6.692-2.906c.463 2.773-.365 5.721-2.5 7.856-2.136 2.135-5.083 2.963-7.856 2.5a9.944 9.944 0 0 0 2.906 6.692z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.535 15.535a6.996 6.996 0 0 0-1.911 6.318 9.929 9.929 0 0 0 8.229-8.229 6.999 6.999 0 0 0-6.318 1.911zm-7.07-7.07a6.996 6.996 0 0 0 1.911-6.318 9.929 9.929 0 0 0-8.23 8.229 7 7 0 0 0 6.319-1.911z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTerminal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4H4a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2zM6.414 15.707 5 14.293 7.293 12 5 9.707l1.414-1.414L10.121 12l-3.707 3.707zM19 16h-7v-2h7v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidThermometer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16a3.001 3.001 0 0 0 6 0c0-.353-.072-.686-.184-1H9.184A2.962 2.962 0 0 0 9 16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6V4h-3.185A2.995 2.995 0 0 0 12 2c-1.654 0-3 1.346-3 3v5.8A6.027 6.027 0 0 0 6 16c0 3.309 2.691 6 6 6s6-2.691 6-6a6.027 6.027 0 0 0-3-5.2V10h3V8h-3V6h3zm-4.405 6.324A4.033 4.033 0 0 1 16 16c0 2.206-1.794 4-4 4s-4-1.794-4-4c0-1.585.944-3.027 2.405-3.676l.595-.263V5a1 1 0 0 1 2 0v7.061l.595.263z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTimeFive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm3.293 14.707L11 12.414V6h2v5.586l3.707 3.707-1.414 1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTime (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.25 2c-5.514 0-10 4.486-10 10s4.486 10 10 10 10-4.486 10-10-4.486-10-10-10zM18 13h-6.75V6h2v5H18v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTimer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3h4v2h-4zM3 8h4v2H3zm0 8h4v2H3zm-1-4h3.99v2H2zm19.707-5.293-1.414-1.414L18.586 7A6.937 6.937 0 0 0 15 6c-3.859 0-7 3.141-7 7s3.141 7 7 7 7-3.141 7-7a6.968 6.968 0 0 0-1.855-4.73l1.562-1.563zM16 14h-2V8.958h2V14z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTired (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-6.447 9.105 2.459-1.229-1.567-1.044 1.109-1.664 3 2a1 1 0 0 1-.108 1.727l-4 2-.893-1.79zM8 17s1-3 4-3 4 3 4 3H8zm9.553-4.105-4-2a1 1 0 0 1-.108-1.727l3-2 1.109 1.664-1.566 1.044 2.459 1.229-.894 1.79z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidToTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h4v6h6v-6h4l-7-8zM4 3h16v2H4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidToggleLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H8c-3.296 0-5.982 2.682-6 5.986v.042A6.01 6.01 0 0 0 8 18h8a6.01 6.01 0 0 0 6-5.994v-.018C21.985 8.685 19.297 6 16 6zm-8 9c-1.627 0-3-1.373-3-3s1.373-3 3-3 3 1.373 3 3-1.373 3-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidToggleRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H8c-3.296 0-5.982 2.682-6 5.986v.042A6.01 6.01 0 0 0 8 18h8c3.309 0 6-2.691 6-6s-2.691-6-6-6zm0 9c-1.627 0-3-1.373-3-3s1.373-3 3-3 3 1.373 3 3-1.373 3-3 3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm-1 9H4.069a7.965 7.965 0 0 1 .52-2H11v2zm0 4H4.589a7.965 7.965 0 0 1-.52-2H11v2zm0-10.931V7H5.765A7.996 7.996 0 0 1 11 4.069zM5.765 17H11v2.931A7.996 7.996 0 0 1 5.765 17z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTorch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11.648V20a2 2 0 0 0 2 2h4a2 2 0 0 0 2-2v-8.352c1.067-.552 3-1.928 3-4.648V5H5v2c0 2.72 1.933 4.096 3 4.648zM11 11h2v3h-2v-3zM5 2h14v2H5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTrafficBarrier (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-2V3h-2v3H7V3H5v3H3a1 1 0 0 0-1 1v7a1 1 0 0 0 1 1h2v6h2v-6h10v6h2v-6h2a1 1 0 0 0 1-1V7a1 1 0 0 0-1-1zM4.42 13l2.857-5H9.58l-2.857 5H4.42zm7.857-5h2.303l-2.857 5H9.42l2.857-5zm5 0h2.303l-2.857 5H14.42l2.857-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTrafficCone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.649 16H5.352l-1.06 3H2v2h20v-2h-2.292zM6.057 14h11.886l-1.412-4H7.469zM13 2h-2a1 1 0 0 0-.943.667L8.175 8h7.65l-1.882-5.333A1 1 0 0 0 13 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTraffic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2.958 16 .043 1.042c.005.12.142 2.255 2.999 3.338v1.12a.5.5 0 0 0 .5.5h11a.5.5 0 0 0 .5-.5v-1.12c2.857-1.083 2.994-3.218 2.999-3.338L21.043 16H18v-1.62c2.857-1.083 2.994-3.218 2.999-3.338L21.043 10H18V8.38c2.857-1.083 2.994-3.218 2.999-3.338L21.043 4H18V2.5a.5.5 0 0 0-.5-.5h-11a.5.5 0 0 0-.5.5V4H2.958l.043 1.042c.005.12.142 2.255 2.999 3.338V10H2.958l.043 1.042c.005.12.142 2.255 2.999 3.338V16H2.958zM12 4a2 2 0 1 1-.001 4.001A2 2 0 0 1 12 4zm0 6a2 2 0 1 1-.001 4.001A2 2 0 0 1 12 10zm0 6a2 2 0 1 1-.001 4.001A2 2 0 0 1 12 16z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.375 2H7.621c-.224 0-1.399.065-2.503 1.351C4.031 4.616 4 5.862 4 6v11a2 2 0 0 0 2 2h1l-2 3h2.353l.667-1h8l.677 1H19l-2-3h1a2 2 0 0 0 2-2V6c.001-.188-.032-1.434-1.129-2.665C17.715 2.037 16.509 2 16.375 2zM10 4h4v2h-4V4zM7.5 17a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 7.5 17zm9 0a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 16.5 17zm1.5-5H6V8h12v4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTrashAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7H5v13a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2V7H6zm10.618-3L15 2H9L7.382 4H3v2h18V4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTrash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7H5v13a2 2 0 0 0 2 2h10a2 2 0 0 0 2-2V7H6zm4 12H8v-9h2v9zm6 0h-2v-9h2v9zm.618-15L15 2H9L7.382 4H3v2h18V4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTreeAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18 10-6-8-6 8h3l-5 8h7v4h2v-4h7l-5-8h3z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20 18-4-5h3l-4-5h2l-5-6-5 6h2l-4 5h3l-4 5h7v4h2v-4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTrophy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4h-3V3a1 1 0 0 0-1-1H7a1 1 0 0 0-1 1v1H3a1 1 0 0 0-1 1v3c0 4.31 1.8 6.91 4.82 7A6 6 0 0 0 11 17.91V20H9v2h6v-2h-2v-2.09A6 6 0 0 0 17.18 15c3-.1 4.82-2.7 4.82-7V5a1 1 0 0 0-1-1zM4 8V6h2v6.83C4.22 12.08 4 9.3 4 8zm14 4.83V6h2v2c0 1.3-.22 4.08-2 4.83z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTruck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.15 8a2 2 0 0 0-1.72-1H15V5a1 1 0 0 0-1-1H4a2 2 0 0 0-2 2v10a2 2 0 0 0 1 1.73 3.49 3.49 0 0 0 7 .27h3.1a3.48 3.48 0 0 0 6.9 0 2 2 0 0 0 2-2v-3a1.07 1.07 0 0 0-.14-.52zM15 9h2.43l1.8 3H15zM6.5 19A1.5 1.5 0 1 1 8 17.5 1.5 1.5 0 0 1 6.5 19zm10 0a1.5 1.5 0 1 1 1.5-1.5 1.5 1.5 0 0 1-1.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidTv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-5.586l2.293-2.293-1.414-1.414L12 5.586 8.707 2.293 7.293 3.707 9.586 6H4c-1.103 0-2 .897-2 2v11c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V8c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUniversalAccess (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm0 3.33A1.67 1.67 0 1 1 10.33 7 1.67 1.67 0 0 1 12 5.33zm3.33 12.5-1.66.84-1.39-3.89h-.56l-1.39 3.89-1.66-.84 1.66-4.72v-1.66L7 10.33l.56-1.66 3.33 1.11h2.22l3.33-1.11.56 1.66-3.33 1.12v1.66z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUpArrowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18v-6h5l-6-7-6 7h5v6z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUpArrowCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.514 0 10-4.486 10-10S17.514 2 12 2 2 6.486 2 12s4.486 10 10 10zm0-15 5 5h-4v5h-2v-5H7l5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUpArrowSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2zm7-14 5 5h-4v5h-2v-5H7l5-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUpArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h18a1.002 1.002 0 0 0 .823-1.569l-9-13c-.373-.539-1.271-.539-1.645 0l-9 13A.999.999 0 0 0 3 19z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUpsideDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM8.507 15a1.494 1.494 0 1 1 .001-2.987A1.494 1.494 0 0 1 8.507 15zm4.301-6.919a4.108 4.108 0 0 0-1.616 0 4.12 4.12 0 0 0-.751.233c-.234.1-.463.224-.678.368a4.077 4.077 0 0 0-1.08 1.082L7.024 8.646a6.026 6.026 0 0 1 2.639-2.175 6.097 6.097 0 0 1 1.128-.35 6.061 6.061 0 0 1 2.415 0 5.919 5.919 0 0 1 2.148.903 6.078 6.078 0 0 1 1.621 1.622l-1.658 1.117a3.994 3.994 0 0 0-.488-.59 3.988 3.988 0 0 0-2.021-1.092zM15.5 15a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 15.5 15z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUpvote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h4v7a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1v-7h4a1.001 1.001 0 0 0 .781-1.625l-8-10c-.381-.475-1.181-.475-1.562 0l-8 10A1.001 1.001 0 0 0 4 14z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserAccount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2zm-6 2.5a2.5 2.5 0 1 1 0 5 2.5 2.5 0 0 1 0-5zM19 15H9v-.25C9 12.901 11.254 11 14 11s5 1.901 5 3.75V15z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8H2v12c0 1.103.897 2 2 2h12v-2H4V8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserBadge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.988 22a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h11.988zM9 5h6v2H9V5zm5.25 6.25A2.26 2.26 0 0 1 12 13.501c-1.235 0-2.25-1.015-2.25-2.251S10.765 9 12 9a2.259 2.259 0 0 1 2.25 2.25zM7.5 18.188c0-1.664 2.028-3.375 4.5-3.375s4.5 1.711 4.5 3.375v.563h-9v-.563z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12.052c1.995 0 3.5-1.505 3.5-3.5s-1.505-3.5-3.5-3.5-3.5 1.505-3.5 3.5 1.505 3.5 3.5 3.5zM9 13H7c-2.757 0-5 2.243-5 5v1h12v-1c0-2.757-2.243-5-5-5zm11.294-4.708-4.3 4.292-1.292-1.292-1.414 1.414 2.706 2.704 5.712-5.702z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.579 2 2 6.579 2 12s4.579 10 10 10 10-4.579 10-10S17.421 2 12 2zm0 5c1.727 0 3 1.272 3 3s-1.273 3-3 3c-1.726 0-3-1.272-3-3s1.274-3 3-3zm-5.106 9.772c.897-1.32 2.393-2.2 4.106-2.2h2c1.714 0 3.209.88 4.106 2.2C15.828 18.14 14.015 19 12 19s-3.828-.86-5.106-2.228z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserDetail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h7v2h-7zm1 4h6v2h-6zm-2-8h8v2h-8zM4 19h10v-1c0-2.757-2.243-5-5-5H7c-2.757 0-5 2.243-5 5v1h2zm4-7c1.995 0 3.5-1.505 3.5-3.5S9.995 5 8 5 4.5 6.505 4.5 8.5 6.005 12 8 12z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h8v2h-8zM4.5 8.552c0 1.995 1.505 3.5 3.5 3.5s3.5-1.505 3.5-3.5-1.505-3.5-3.5-3.5-3.5 1.505-3.5 3.5zM4 19h10v-1c0-2.757-2.243-5-5-5H7c-2.757 0-5 2.243-5 5v1h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2H5a2 2 0 0 0-2 2v13a2 2 0 0 0 2 2h4l3 3 3-3h4a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2zm-7 3c1.727 0 3 1.272 3 3s-1.273 3-3 3c-1.726 0-3-1.272-3-3s1.274-3 3-3zM7.177 16c.558-1.723 2.496-3 4.823-3s4.266 1.277 4.823 3H7.177z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 8.552c0 1.995 1.505 3.5 3.5 3.5s3.5-1.505 3.5-3.5-1.505-3.5-3.5-3.5-3.5 1.505-3.5 3.5zM19 8h-2v3h-3v2h3v3h2v-3h3v-2h-3zM4 19h10v-1c0-2.757-2.243-5-5-5H7c-2.757 0-5 2.243-5 5v1h2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserRectangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22h13a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h1zm6-17.001c1.647 0 3 1.351 3 3C15 9.647 13.647 11 12 11S9 9.647 9 7.999c0-1.649 1.353-3 3-3zM6 17.25c0-2.219 2.705-4.5 6-4.5s6 2.281 6 4.5V18H6v-.75z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserVoice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12.052c1.995 0 3.5-1.505 3.5-3.5s-1.505-3.5-3.5-3.5-3.5 1.505-3.5 3.5 1.505 3.5 3.5 3.5zM9 13H7c-2.757 0-5 2.243-5 5v1h12v-1c0-2.757-2.243-5-5-5zm9.364-10.364L16.95 4.05C18.271 5.373 19 7.131 19 9s-.729 3.627-2.05 4.95l1.414 1.414C20.064 13.663 21 11.403 21 9s-.936-4.663-2.636-6.364z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.535 5.464 14.121 6.88C14.688 7.445 15 8.198 15 9s-.312 1.555-.879 2.12l1.414 1.416C16.479 11.592 17 10.337 17 9s-.521-2.592-1.465-3.536z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUserX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12.052c1.995 0 3.5-1.505 3.5-3.5s-1.505-3.5-3.5-3.5-3.5 1.505-3.5 3.5 1.505 3.5 3.5 3.5zM9 13H7c-2.757 0-5 2.243-5 5v1h12v-1c0-2.757-2.243-5-5-5zm11.293-4.707L18 10.586l-2.293-2.293-1.414 1.414 2.292 2.292-2.293 2.293 1.414 1.414 2.293-2.293 2.294 2.294 1.414-1.414L19.414 12l2.293-2.293z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidUser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 6.5C7.5 8.981 9.519 11 12 11s4.5-2.019 4.5-4.5S14.481 2 12 2 7.5 4.019 7.5 6.5zM20 21h1v-1c0-3.859-3.141-7-7-7h-4c-3.86 0-7 3.141-7 7v1h17z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.277 8c.347.596.985 1 1.723 1a2 2 0 0 0 0-4c-.738 0-1.376.404-1.723 1H16V4a1 1 0 0 0-1-1H9a1 1 0 0 0-1 1v2H5.723C5.376 5.404 4.738 5 4 5a2 2 0 0 0 0 4c.738 0 1.376-.404 1.723-1H8v.368C5.134 9.839 4.319 12.534 4.092 14H3a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-4a1 1 0 0 0-1-1h-.877c.197-.959.718-2.406 2.085-3.418A.984.984 0 0 0 9 11h6a.98.98 0 0 0 .792-.419c1.373 1.013 1.895 2.458 2.089 3.419H17a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h4a1 1 0 0 0 1-1v-4a1 1 0 0 0-1-1h-1.092c-.227-1.466-1.042-4.161-3.908-5.632V8h2.277zM14 9h-4V5h4v4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVial (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22a4.965 4.965 0 0 0 3.535-1.465l9.193-9.193.707.708 1.414-1.414-8.485-8.486-1.414 1.414.708.707-9.193 9.193C2.521 14.408 2 15.664 2 17s.521 2.592 1.465 3.535A4.965 4.965 0 0 0 7 22zM18.314 9.928 15.242 13H6.758l7.314-7.314 4.242 4.242z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVideoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19h10.879L2.145 6.265A1.977 1.977 0 0 0 2 7v10c0 1.103.897 2 2 2zM18 7c0-1.103-.897-2-2-2H6.414L3.707 2.293 2.293 3.707l18 18 1.414-1.414L18 16.586v-2.919L22 17V7l-4 3.333V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVideoPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-3.333L22 17V7l-4 3.333V7zm-4 6h-3v3H9v-3H6v-2h3V8h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVideoRecording (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9c0-1.103-.897-2-2-2h-1.434l-2.418-4.029A2.008 2.008 0 0 0 10.434 2H5v2h5.434l1.8 3H4c-1.103 0-2 .897-2 2v9c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-3l4 2v-7l-4 2V9zm-7 8H5v-2h6v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVideo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7c0-1.103-.897-2-2-2H4c-1.103 0-2 .897-2 2v10c0 1.103.897 2 2 2h12c1.103 0 2-.897 2-2v-3.333L22 17V7l-4 3.333V7z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVideos (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8H2v12a2 2 0 0 0 2 2h12v-2H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2H8a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2zm-9 12V6l7 4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVirusBlock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.952 17.538c-.749-.749-.908-1.869-.5-2.846l.021-.049c.399-.974 1.309-1.643 2.362-1.643h.08c.638 0 1.085-.447 1.085-1s-.447-1-1-1h-.17c-1.053 0-1.958-.669-2.357-1.644l-.021-.049c-.408-.977-.249-2.097.5-2.846l.119-.119a.999.999 0 1 0-1.414-1.414l-.119.119c-.749.749-1.869.908-2.846.5l-.049-.021C13.669 5.128 13 4.218 13 3.165v-.081C13 2.447 12.553 2 12 2s-1 .447-1 1v.036c0 1.096-.66 2.084-1.673 2.503l-.006.003a2.71 2.71 0 0 1-2.953-.588l-.025-.025-2.636-2.636-1.414 1.414 18 18 1.414-1.414-2.636-2.636-.119-.119zM12 10a2 2 0 1 1 2 2c-.257 0-.501-.053-.728-.142l-1.131-1.131A1.998 1.998 0 0 1 12 10zm-4 3a1 1 0 0 1-1-1 .99.99 0 0 1 .244-.635L5.431 9.552A2.634 2.634 0 0 1 3.085 11h-.001C2.447 11 2 11.447 2 12s.447 1 1 1h.068a2.66 2.66 0 0 1 2.459 1.644l.021.049a2.69 2.69 0 0 1-.583 2.929l-.036.036a.999.999 0 1 0 1.414 1.414l.036-.036a2.689 2.689 0 0 1 2.929-.583l.143.06A2.505 2.505 0 0 1 11 20.83v.085c0 .638.447 1.085 1 1.085s1-.448 1-1v-.17c0-.976.568-1.853 1.443-2.266l-5.809-5.809A.98.98 0 0 1 8 13z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVirus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11h-.17c-1.053 0-1.958-.669-2.357-1.644l-.021-.049c-.408-.977-.249-2.097.5-2.846l.119-.119a.999.999 0 1 0-1.414-1.414l-.119.119c-.749.749-1.869.908-2.846.5l-.049-.021C13.669 5.128 13 4.218 13 3.165v-.081C13 2.447 12.553 2 12 2s-1 .447-1 1v.036c0 1.096-.66 2.084-1.673 2.503l-.006.003a2.71 2.71 0 0 1-2.953-.588l-.025-.025a.999.999 0 1 0-1.414 1.414l.036.036a2.69 2.69 0 0 1 .583 2.929l-.027.064A2.638 2.638 0 0 1 3.085 11h-.001C2.447 11 2 11.447 2 12s.447 1 1 1h.068a2.66 2.66 0 0 1 2.459 1.644l.021.049a2.69 2.69 0 0 1-.583 2.929l-.036.036a.999.999 0 1 0 1.414 1.414l.036-.036a2.689 2.689 0 0 1 2.929-.583l.143.06A2.505 2.505 0 0 1 11 20.83v.085c0 .638.447 1.085 1 1.085s1-.448 1-1v-.17c0-1.015.611-1.93 1.55-2.318l.252-.104a2.508 2.508 0 0 1 2.736.545l.119.119a.999.999 0 1 0 1.414-1.414l-.119-.119c-.749-.749-.908-1.869-.5-2.846l.021-.049c.399-.975 1.309-1.644 2.362-1.644h.08c.638 0 1.085-.447 1.085-1s-.447-1-1-1zM8 13a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm5 3.5a1 1 0 1 1 0-2 1 1 0 0 1 0 2zm1-4.5a2 2 0 1 1 .001-4.001A2 2 0 0 1 14 12z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVolumeFull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21c3.527-1.547 5.999-4.909 5.999-9S19.527 4.547 16 3v2c2.387 1.386 3.999 4.047 3.999 7S18.387 17.614 16 19v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v10c1.225-1.1 2-3.229 2-5s-.775-3.9-2-5zM4 17h2.697L14 21.868V2.132L6.697 7H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVolumeLow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h2.697L14 21.868V2.132L6.697 7H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2zM16 7v10c1.225-1.1 2-3.229 2-5s-.775-3.9-2-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVolumeMute (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7.727 6.313-4.02-4.02-1.414 1.414 18 18 1.414-1.414-2.02-2.02A9.578 9.578 0 0 0 21.999 12c0-4.091-2.472-7.453-5.999-9v2c2.387 1.386 3.999 4.047 3.999 7a8.13 8.13 0 0 1-1.671 4.914l-1.286-1.286C17.644 14.536 18 13.19 18 12c0-1.771-.775-3.9-2-5v7.586l-2-2V2.132L7.727 6.313zM4 17h2.697L14 21.868v-3.747L3.102 7.223A1.995 1.995 0 0 0 2 9v6c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidVolume (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h2.697L14 21.868V2.132L6.697 7H4c-1.103 0-2 .897-2 2v6c0 1.103.897 2 2 2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWalletAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h8v6h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H5C3.346 3 2 4.346 2 6v12c0 1.654 1.346 3 3 3h15c1.103 0 2-.897 2-2v-2h-8c-1.103 0-2-.897-2-2V9c0-1.103.897-2 2-2h8V5c0-1.103-.897-2-2-2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWallet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7V5c0-1.103-.897-2-2-2H5C3.346 3 2 4.346 2 6v12c0 2.201 1.794 3 3 3h15c1.103 0 2-.897 2-2V9c0-1.103-.897-2-2-2zm-2 9h-2v-4h2v4zM5 7a1.001 1.001 0 0 1 0-2h13v2H5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWasher (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h16a1 1 0 0 0 1-1V5c0-1.654-1.346-3-3-3H6C4.346 2 3 3.346 3 5v16a1 1 0 0 0 1 1zM18 3.924a1 1 0 1 1 0 2 1 1 0 0 1 0-2zm-3 0a1 1 0 1 1 0 2 1 1 0 0 1 0-2zM12 7c3.309 0 6 2.691 6 6s-2.691 6-6 6-6-2.691-6-6 2.691-6 6-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.766 16.929c1.399-.261 2.571-1.315 3.023-2.665a3.853 3.853 0 0 0-.153-2.893.482.482 0 0 0-.544-.266c-.604.149-1.019.448-1.5.801-.786.577-1.765 1.294-3.592 1.294-.813 0-1.45-.146-1.984-.354l-.013.009a4.006 4.006 0 0 0 4.763 4.074z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWatchAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8c0-.909-.613-1.67-1.445-1.912l-1.31-3.443A1 1 0 0 0 14.311 2H8.689a1 1 0 0 0-.934.645l-1.31 3.443A1.996 1.996 0 0 0 5 8v8c0 .909.613 1.67 1.445 1.912l1.31 3.443a1 1 0 0 0 .934.645h5.621c.415 0 .787-.257.935-.645l1.31-3.443A1.996 1.996 0 0 0 18 16v-2h1v-4h-1V8zm-1.998 8H7V8h9l.002 8z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWatch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h-2v5h5v-2h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.999 12c0-2.953-1.612-5.53-3.999-6.916V3a1 1 0 0 0-1-1H9a1 1 0 0 0-1 1v2.083C5.613 6.469 4.001 9.047 4.001 12a8.003 8.003 0 0 0 4.136 7H8v2.041a1 1 0 0 0 1 1h6a1 1 0 0 0 1-1V19h-.139a8 8 0 0 0 4.138-7zm-8 5.999A6.005 6.005 0 0 1 6.001 12a6.005 6.005 0 0 1 5.998-5.999c3.31 0 6 2.691 6 5.999a6.005 6.005 0 0 1-6 5.999z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWebcam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-4.963 0-9 4.037-9 9 0 3.328 1.82 6.232 4.513 7.79l-2.067 1.378A1 1 0 0 0 6 22h12a1 1 0 0 0 .555-1.832l-2.067-1.378C19.18 17.232 21 14.328 21 11c0-4.963-4.037-9-9-9zm0 16c-3.859 0-7-3.141-7-7s3.141-7 7-7 7 3.141 7 7-3.141 7-7 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c-2.757 0-5 2.243-5 5s2.243 5 5 5 5-2.243 5-5-2.243-5-5-5zm-1.5 5a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 10.5 11z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWidget (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h6a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm0 10h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1H4a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm10 0h6a1 1 0 0 0 1-1v-6a1 1 0 0 0-1-1h-6a1 1 0 0 0-1 1v6a1 1 0 0 0 1 1zm7.293-14.707-3.586-3.586a.999.999 0 0 0-1.414 0l-3.586 3.586a.999.999 0 0 0 0 1.414l3.586 3.586a.999.999 0 0 0 1.414 0l3.586-3.586a.999.999 0 0 0 0-1.414z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWindowAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4c-1.103 0-2 .897-2 2v14c0 1.103.897 2 2 2h16c1.103 0 2-.897 2-2V5c0-1.103-.897-2-2-2zm-3 3h2v2h-2V6zm-3 0h2v2h-2V6zM4 19v-9h16.001l.001 9H4z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17.916V20H9v2h6v-2h-2v-2.084c3.162-.402 5.849-2.66 6.713-5.793.264-.952.312-2.03.143-3.206l-.866-6.059A1 1 0 0 0 18 2H6a1 1 0 0 0-.99.858l-.865 6.058c-.169 1.177-.121 2.255.143 3.206.863 3.134 3.55 5.392 6.712 5.794zM17.133 4l.57 4H6.296l.571-4h10.266z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWinkSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zM8.5 9a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 8.5 9zm7.741 7.244a5.982 5.982 0 0 1-3.034 1.634 6.042 6.042 0 0 1-3.541-.349 5.997 5.997 0 0 1-2.642-2.176l1.658-1.117c.143.211.307.41.488.59a3.988 3.988 0 0 0 1.273.86c.243.102.495.181.749.232a4.108 4.108 0 0 0 1.616 0c.253-.052.505-.131.75-.233.234-.1.464-.224.679-.368.208-.142.407-.306.591-.489.183-.182.347-.381.489-.592l1.658 1.117c-.214.32-.461.62-.734.891zM13 12s.5-2 2.5-2c1.999 0 2.5 2 2.5 2h-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWinkTongue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19v-4h-4v4c0 1.103.897 2 2 2s2-.897 2-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12c0 4.434 2.903 8.198 6.906 9.505A3.969 3.969 0 0 1 8 19v-2.499C6.412 15.027 6 13 6 13h12s-.411 2.027-2 3.501V19c0 .953-.349 1.816-.906 2.504C19.097 20.197 22 16.434 22 12c0-5.514-4.486-10-10-10zm-3.5 9a1.5 1.5 0 1 1 .001-3.001A1.5 1.5 0 0 1 8.5 11zm4.5 0s.5-2 2.5-2c1.999 0 2.5 2 2.5 2h-5z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidWrench (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.512 6.112-3.89 3.889-3.535-3.536 3.889-3.889a6.501 6.501 0 0 0-8.484 8.486l-6.276 6.275a.999.999 0 0 0 0 1.414l2.122 2.122a.999.999 0 0 0 1.414 0l6.275-6.276a6.501 6.501 0 0 0 7.071-1.414 6.504 6.504 0 0 0 1.414-7.071z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidXCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.486 2 2 6.486 2 12s4.486 10 10 10 10-4.486 10-10S17.514 2 12 2zm4.207 12.793-1.414 1.414L12 13.414l-2.793 2.793-1.414-1.414L10.586 12 7.793 9.207l1.414-1.414L12 10.586l2.793-2.793 1.414 1.414L13.414 12l2.793 2.793z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidXSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V5zm-4.793 9.793-1.414 1.414L12 13.414l-2.793 2.793-1.414-1.414L10.586 12 7.793 9.207l1.414-1.414L12 10.586l2.793-2.793 1.414 1.414L13.414 12l2.793 2.793z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidYinYang (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.062 4.938A9.942 9.942 0 0 0 12.016 2h-.026a9.94 9.94 0 0 0-7.071 2.938c-3.898 3.898-3.898 10.243 0 14.143 1.895 1.895 4.405 2.938 7.071 2.938s5.177-1.043 7.071-2.938c3.9-3.899 3.9-10.243.001-14.143zM13.5 15a1.5 1.5 0 1 1-.001 3.001A1.5 1.5 0 0 1 13.5 15zM6.333 6.353A7.953 7.953 0 0 1 11.99 4l.026.001c1.652.008 3.242 1.066 3.55 2.371.366 1.552-1.098 3.278-4.018 4.737-5.113 2.555-5.312 5.333-4.975 6.762l.008.021c-.082-.075-.169-.146-.249-.226-3.118-3.119-3.118-8.194.001-11.313z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.5\",\"cy\":\"7.5\",\"r\":\"1.5\"},\"child\":[]}]})(props);\n};\nexport function BiSolidZap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.98 11.802a.995.995 0 0 0-.738-.771l-6.86-1.716 2.537-5.921a.998.998 0 0 0-.317-1.192.996.996 0 0 0-1.234.024l-11 9a1 1 0 0 0 .39 1.744l6.719 1.681-3.345 5.854A1.001 1.001 0 0 0 8 22a.995.995 0 0 0 .6-.2l12-9a1 1 0 0 0 .38-.998z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidZoomIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2c-4.411 0-8 3.589-8 8s3.589 8 8 8a7.952 7.952 0 0 0 4.897-1.688l4.396 4.396 1.414-1.414-4.396-4.396A7.952 7.952 0 0 0 18 10c0-4.411-3.589-8-8-8zm4 9h-3v3H9v-3H6V9h3V6h2v3h3v2z\"},\"child\":[]}]})(props);\n};\nexport function BiSolidZoomOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18a7.952 7.952 0 0 0 4.897-1.688l4.396 4.396 1.414-1.414-4.396-4.396A7.952 7.952 0 0 0 18 10c0-4.411-3.589-8-8-8s-8 3.589-8 8 3.589 8 8 8zM6 9h8v2H6V9z\"},\"child\":[]}]})(props);\n};\nexport function BiLogo500Px (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.379 14.791c.004.01.098.269.151.396a5.859 5.859 0 0 0 1.258 1.865 5.86 5.86 0 0 0 6.437 1.259 5.876 5.876 0 0 0 3.124-3.125 5.802 5.802 0 0 0 .461-2.285c0-.793-.153-1.563-.461-2.287a5.862 5.862 0 0 0-1.257-1.864 5.86 5.86 0 0 0-4.152-1.72 6 6 0 0 0-2.318.461c-.57.241-1.536.862-2.102 1.446l-.002.002V4.107h8.117c.295-.003.295-.417.295-.55 0-.137 0-.549-.296-.553H7.857a.387.387 0 0 0-.388.384v6.801c0 .221.273.379.527.434.496.104.61-.053.732-.222l.015-.021c.187-.275.767-.858.771-.863a4.776 4.776 0 0 1 3.406-1.403 4.76 4.76 0 0 1 3.394 1.403 4.75 4.75 0 0 1 1.408 3.385c0 1.28-.498 2.483-1.4 3.385a4.858 4.858 0 0 1-3.416 1.403 4.656 4.656 0 0 1-2.42-.668l.004-4.137c0-.552.238-1.151.64-1.604a2.351 2.351 0 0 1 1.778-.803c.667 0 1.291.254 1.754.714.46.455.714 1.064.714 1.711a2.443 2.443 0 0 1-2.474 2.466c-.268 0-.753-.117-.773-.123-.281-.084-.4.305-.439.435-.151.492.077.59.122.604.448.138.741.164 1.124.164a3.555 3.555 0 0 0 3.551-3.553c0-1.943-1.592-3.526-3.548-3.526a3.59 3.59 0 0 0-2.536 1.033c-.644.632-1.013 1.478-1.013 2.317v.021c-.004.105-.004 2.583-.005 3.398l-.005-.006c-.368-.407-.731-1.029-.973-1.668-.097-.25-.309-.206-.601-.116-.127.04-.514.159-.429.438l.002.004zm4.172-.972c.002.118.111.223.175.286l.021.019c.111.11.217.164.315.164.081 0 .13-.037.148-.054.05-.046.604-.608.658-.662l.618.618c.058.064.12.097.192.098.1 0 .207-.058.32-.174.267-.272.134-.42.069-.49l-.628-.63.655-.658c.144-.155.017-.32-.111-.446-.183-.184-.359-.23-.474-.127l-.65.652-.657-.658a.183.183 0 0 0-.128-.051c-.087 0-.191.06-.308.177-.202.201-.246.341-.141.453l.657.656-.653.655a.247.247 0 0 0-.078.172m1.434-8.891c-1.053 0-2.171.211-2.992.566a.23.23 0 0 0-.151.205c-.011.093.013.216.076.377.051.131.187.478.449.376a7.566 7.566 0 0 1 2.618-.498c.96 0 1.892.188 2.768.558.696.296 1.354.721 2.068 1.341a.243.243 0 0 0 .165.068c.138 0 .271-.137.386-.266.191-.214.321-.392.135-.569a7.674 7.674 0 0 0-2.354-1.52 8.088 8.088 0 0 0-3.168-.638m5.668 12.986c-.127-.126-.235-.199-.33-.228a.24.24 0 0 0-.247.059l-.063.062a7.07 7.07 0 0 1-5.018 2.081 7.09 7.09 0 0 1-5.02-2.081 6.794 6.794 0 0 1-1.521-2.255 7.618 7.618 0 0 1-.462-1.596c-.004-.018-.005-.031-.009-.041-.044-.232-.257-.249-.564-.202-.127.019-.517.078-.479.358l.002.006a8.104 8.104 0 0 0 2.288 4.478 8.089 8.089 0 0 0 2.59 1.747 8.093 8.093 0 0 0 3.175.642 8.062 8.062 0 0 0 3.172-.643 8.129 8.129 0 0 0 2.658-1.813c.083-.09.154-.248-.172-.574\"},\"child\":[]}]})(props);\n};\nexport function BiLogo99Designs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.93 10.61A2.7 2.7 0 0 0 19 10a2.74 2.74 0 0 0-1.1-.19 3.28 3.28 0 0 0-2.16.76v-.05a3.67 3.67 0 0 0-5.09-3.39 3.61 3.61 0 0 0-1.78 1.56 3.67 3.67 0 0 0-3.12-1.86 3.74 3.74 0 0 0-1.82.44 3.66 3.66 0 0 0-1.37 1.28A3.77 3.77 0 0 0 2 10.34a3.67 3.67 0 0 0 3.42 3.83l-1.6 2.76h2.39l2.65-4.59a3.63 3.63 0 0 0 2.93 1.84l-1.59 2.76h2.4l1.86-3.23a3.53 3.53 0 0 0 2.07 3.19 3.52 3.52 0 0 0 2.61.05 2.58 2.58 0 0 0 .9-.74v.73h2V7.06h-2.11zm-12.78.72a1.69 1.69 0 0 1-1.46.83 1.72 1.72 0 0 1-.86-.16 1.75 1.75 0 0 1-.62-.62 1.78 1.78 0 0 1-.21-.87 1.69 1.69 0 0 1 1.67-1.69 1.63 1.63 0 0 1 .84.23 1.58 1.58 0 0 1 .62.61 1.62 1.62 0 0 1 .23.83 1.72 1.72 0 0 1-.21.84zm6.38 0a1.58 1.58 0 0 1-.62.61 1.53 1.53 0 0 1-.84.22 1.78 1.78 0 0 1-.84-.22 1.65 1.65 0 0 1-.61-.62 1.67 1.67 0 0 1 0-1.69 1.73 1.73 0 0 1 .62-.61 1.63 1.63 0 0 1 .84-.23 1.69 1.69 0 0 1 .84.23 1.69 1.69 0 0 1 .63 2.28zm6.3 3a1.72 1.72 0 0 1-2 .85 1.72 1.72 0 0 1-1-.7 1.75 1.75 0 0 1-.29-1.15 1.77 1.77 0 0 1 .51-1.07 1.75 1.75 0 0 1 2.35-.11 1.73 1.73 0 0 1 .43 2.18z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAdobe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19.966V4.034h-6.654zM3 4.034v15.932L9.658 4.034zM9.092 16.76h3.104l1.268 3.205h2.778L12.003 9.904z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAirbnb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.001 16.709c-1.013-1.271-1.609-2.386-1.808-3.34-.197-.769-.12-1.385.218-1.848.357-.532.89-.791 1.589-.791s1.231.259 1.589.796c.335.458.419 1.075.215 1.848-.218.974-.813 2.087-1.808 3.341l.005-.006zm7.196.855c-.14.934-.775 1.708-1.65 2.085-1.687.734-3.359-.437-4.789-2.026 2.365-2.961 2.803-5.268 1.787-6.758-.596-.855-1.449-1.271-2.544-1.271-2.206 0-3.419 1.867-2.942 4.034.276 1.173 1.013 2.506 2.186 3.996-.735.813-1.432 1.391-2.047 1.748-.478.258-.934.418-1.37.456-2.008.299-3.582-1.647-2.867-3.656.1-.259.297-.734.634-1.471l.019-.039c1.097-2.382 2.43-5.088 3.961-8.09l.039-.1.435-.836c.338-.616.477-.892 1.014-1.231.258-.157.576-.235.934-.235.715 0 1.271.418 1.511.753.118.18.259.419.436.716l.419.815.06.119c1.53 3.001 2.863 5.702 3.955 8.089l.02.019.401.915.237.573c.183.459.221.915.16 1.393l.001.002zm.913-1.791c-.139-.438-.378-.953-.675-1.569v-.022a262.99 262.99 0 0 0-3.976-8.128l-.084-.121C14.486 4.109 13.849 3.014 12 3.014c-1.827 0-2.604 1.27-3.397 2.922l-.061.119c-1.251 2.426-2.564 5.128-3.975 8.13v.039l-.418.914c-.158.378-.237.575-.259.636C2.878 18.556 4.964 21 7.489 21c.021 0 .099 0 .198-.021h.278c1.313-.159 2.664-.993 4.035-2.485 1.371 1.49 2.725 2.326 4.033 2.485h.279c.1.021.18.021.2.021 2.525.002 4.61-2.444 3.598-5.227z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAlgolia (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.177 9.059a3.582 3.582 0 0 0-3.576 3.584 3.584 3.584 0 0 0 3.576 3.585 3.578 3.578 0 0 0 3.575-3.585 3.582 3.582 0 0 0-3.575-3.584zm2.518 2.268-2.366 1.229c-.07.039-.153-.017-.153-.093V9.791h.001c0-.06.054-.104.109-.104a2.943 2.943 0 0 1 2.452 1.492c.028.055.011.121-.043.148z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.578 3H5.361A2.363 2.363 0 0 0 3 5.366v13.277a2.368 2.368 0 0 0 2.361 2.371h13.217a2.367 2.367 0 0 0 2.361-2.372V5.372A2.368 2.368 0 0 0 18.578 3zm-8.112 3.404a.78.78 0 0 1 .779-.781h1.815c.43 0 .778.35.778.781v.618a.106.106 0 0 1-.131.104 5.677 5.677 0 0 0-3.106.017c-.07.016-.136-.033-.136-.104v-.635zM7.08 8.993a.78.78 0 0 1 .001-1.103l.371-.371.002-.002a.776.776 0 0 1 1.099.002l.31.311c.043.05.038.127-.017.159a5.82 5.82 0 0 0-1.296 1.3c-.044.049-.114.06-.163.011l-.306-.306-.001-.001zm5.097 8.737a5.078 5.078 0 0 1-5.074-5.087c0-2.813 2.272-5.092 5.074-5.092a5.074 5.074 0 0 1 5.074 5.086c0 2.815-2.272 5.093-5.074 5.093z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAmazon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.027 17.023c.061-.098.156-.105.289-.02 3.035 1.76 6.338 2.645 9.906 2.645 2.381 0 4.73-.447 7.051-1.332l.262-.117c.115-.051.195-.084.244-.109.189-.074.326-.037.438.109.102.143.076.279-.1.4-.213.158-.5.342-.84.545-1.037.619-2.203 1.098-3.492 1.441a14.815 14.815 0 0 1-3.77.508c-1.889 0-3.68-.33-5.369-.99a14.875 14.875 0 0 1-4.531-2.797c-.084-.061-.125-.123-.125-.184 0-.039.016-.074.041-.109l-.004.01zm5.479-5.189c0-.84.207-1.555.619-2.152.414-.592.977-1.041 1.703-1.346.666-.281 1.465-.48 2.43-.602.326-.037.861-.086 1.6-.145v-.31c0-.773-.084-1.299-.248-1.564-.252-.359-.65-.541-1.203-.541h-.15c-.4.039-.746.162-1.039.383a1.51 1.51 0 0 0-.564.916c-.049.25-.172.387-.361.426l-2.105-.264c-.209-.051-.311-.15-.311-.326 0-.037.006-.074.018-.123.209-1.078.715-1.881 1.52-2.404.814-.514 1.752-.814 2.828-.875h.451c1.379 0 2.469.361 3.244 1.076.115.123.227.25.34.398.1.139.188.264.234.377.063.111.127.275.164.475.051.213.088.352.113.426.023.086.051.25.064.514.006.262.016.41.016.461v4.406c0 .314.049.602.137.865.088.26.174.451.262.563l.428.561a.598.598 0 0 1 .111.303c0 .102-.049.188-.148.26-1.002.877-1.553 1.352-1.639 1.428-.137.113-.313.125-.525.039a5.397 5.397 0 0 1-.439-.414l-.258-.291c-.051-.063-.141-.174-.266-.352l-.25-.363c-.676.74-1.338 1.205-2.002 1.393-.414.125-.914.188-1.529.188-.926 0-1.701-.285-2.303-.863-.598-.576-.9-1.389-.9-2.453l-.043-.063.001-.007zm3.131-.367c0 .475.117.852.355 1.139.236.285.563.428.965.428.035 0 .088-.008.16-.018.078-.012.111-.02.141-.02.512-.133.902-.461 1.189-.982a2.62 2.62 0 0 0 .299-.758c.076-.268.1-.494.111-.666.016-.166.016-.453.016-.84v-.451c-.703 0-1.24.049-1.604.148-1.063.303-1.604.977-1.604 2.029l-.029-.016v.007zm7.646 5.865c.025-.051.063-.092.109-.143.301-.201.596-.342.877-.416a6.597 6.597 0 0 1 1.344-.201c.117-.01.234 0 .346.025.539.051.873.141.977.273.053.078.074.191.074.328v.125c0 .426-.117.926-.348 1.502s-.553 1.041-.963 1.402c-.061.049-.115.074-.164.074-.025 0-.051 0-.074-.01-.076-.037-.09-.1-.055-.201.451-1.051.672-1.787.672-2.201 0-.127-.025-.227-.074-.289-.119-.137-.457-.217-1.02-.217a9.42 9.42 0 0 0-.727.039c-.303.041-.582.078-.834.115-.074 0-.123-.014-.15-.037-.025-.025-.031-.039-.018-.064 0-.014.006-.025.018-.053v-.049l.01-.002z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAndroid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.344 8.71c-.707 0-1.279.572-1.279 1.278v4.53a1.278 1.278 0 1 0 2.558 0v-4.53c0-.706-.572-1.278-1.279-1.278zm-12.688 0c-.707 0-1.279.572-1.279 1.278v4.53a1.278 1.278 0 1 0 2.558 0v-4.53A1.277 1.277 0 0 0 5.656 8.71zm8.889-3.809.768-1.388a.343.343 0 0 0-.133-.47.344.344 0 0 0-.471.135l-.789 1.42c-.588-.235-1.236-.368-1.92-.368s-1.332.132-1.92.367l-.789-1.418a.344.344 0 0 0-.471-.135.345.345 0 0 0-.133.47l.768 1.388C8.072 5.69 7.148 7.099 7.148 8.71c0 .011 0 .021.002.034-.002.006-.002.013-.002.019v.001h9.703V8.71c.001-1.611-.923-3.019-2.306-3.809zM9.76 6.869a.346.346 0 1 1 .005-.693.346.346 0 0 1-.005.693zm4.48 0a.347.347 0 0 1 0-.692.346.346 0 0 1 0 .692zM7.148 16.12c0 .646.525 1.171 1.174 1.171h.586v2.401a1.279 1.279 0 0 0 2.558 0v-2.401h1.066v2.401c0 .707.572 1.278 1.277 1.278.709 0 1.281-.571 1.281-1.278v-2.401h.586c.648 0 1.174-.524 1.174-1.171V9.028H7.148v7.092z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAngular (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.483 12.482h3.034L12 8.831z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3.074 3.689 6.038l1.268 10.987 7.043 3.9 7.043-3.9 1.268-10.987L12 3.074zm5.187 13.621H15.25l-1.045-2.606h-4.41L8.75 16.695H6.813L12 5.047l5.187 11.648z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoApple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.665 16.811a10.316 10.316 0 0 1-1.021 1.837c-.537.767-.978 1.297-1.316 1.592-.525.482-1.089.73-1.692.744-.432 0-.954-.123-1.562-.373-.61-.249-1.17-.371-1.683-.371-.537 0-1.113.122-1.73.371-.616.25-1.114.381-1.495.393-.577.025-1.154-.229-1.729-.764-.367-.32-.826-.87-1.377-1.648-.59-.829-1.075-1.794-1.455-2.891-.407-1.187-.611-2.335-.611-3.447 0-1.273.275-2.372.826-3.292a4.857 4.857 0 0 1 1.73-1.751 4.65 4.65 0 0 1 2.34-.662c.46 0 1.063.142 1.81.422s1.227.422 1.436.422c.158 0 .689-.167 1.593-.498.853-.307 1.573-.434 2.163-.384 1.6.129 2.801.759 3.6 1.895-1.43.867-2.137 2.08-2.123 3.637.012 1.213.453 2.222 1.317 3.023a4.33 4.33 0 0 0 1.315.863c-.106.307-.218.6-.336.882zM15.998 2.38c0 .95-.348 1.838-1.039 2.659-.836.976-1.846 1.541-2.941 1.452a2.955 2.955 0 0 1-.021-.36c0-.913.396-1.889 1.103-2.688.352-.404.8-.741 1.343-1.009.542-.264 1.054-.41 1.536-.435.013.128.019.255.019.381z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAudible (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.005 10.238v1.69l10.002 6.254 9.988-6.254v-1.69l-9.988 6.229z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.938 12.469 1.465-.938c-1.161-1.701-3.153-2.876-5.396-2.876-2.257 0-4.236 1.135-5.371 2.89.093-.093.146-.146.238-.211 2.811-2.336 6.86-1.808 9.064 1.135z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.051 13.063a2.99 2.99 0 0 1 1.78-.58c1.083 0 2.047.554 2.692 1.49l1.399-.871c-.607-.963-1.688-1.557-2.916-1.557-1.226 0-2.309.62-2.955 1.518zM5.25 9.012c4.117-3.246 9.937-2.362 13.037 1.953l.026.026 1.517-.938a9.337 9.337 0 0 0-7.823-4.235 9.35 9.35 0 0 0-7.825 4.235c.304-.342.686-.751 1.068-1.041z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoAws (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.75 11.35a4.32 4.32 0 0 1-.79-.08 3.9 3.9 0 0 1-.73-.23l-.17-.04h-.12q-.15 0-.15.21v.33a.43.43 0 0 0 0 .19.5.5 0 0 0 .21.19 3 3 0 0 0 .76.26 4.38 4.38 0 0 0 1 .12 3 3 0 0 0 1-.14 1.94 1.94 0 0 0 .73-.37 1.81 1.81 0 0 0 .49-.58 1.79 1.79 0 0 0 .17-.78 1.54 1.54 0 0 0-.3-.93 2.15 2.15 0 0 0-1-.64l-.95-.3a2 2 0 0 1-.73-.36.65.65 0 0 1-.2-.47.66.66 0 0 1 .31-.6 1.82 1.82 0 0 1 .89-.18 2.89 2.89 0 0 1 1.27.26.79.79 0 0 0 .26.08c.1 0 .15-.08.15-.22v-.36a.38.38 0 0 0-.06-.22.56.56 0 0 0-.2-.16 1.55 1.55 0 0 0-.28-.12 3.44 3.44 0 0 0-.38-.11l-.44-.1A3.4 3.4 0 0 0 19 6a2.82 2.82 0 0 0-.83.11 2.19 2.19 0 0 0-.7.35A1.61 1.61 0 0 0 17 7a1.5 1.5 0 0 0-.18.74 1.6 1.6 0 0 0 .33 1 2.08 2.08 0 0 0 1.06.68l1 .3a1.58 1.58 0 0 1 .67.34.66.66 0 0 1 .18.47.72.72 0 0 1-.35.63 1.83 1.83 0 0 1-.96.19zM12.94 6.5a.48.48 0 0 0-.13-.26.37.37 0 0 0-.26-.07H12a.43.43 0 0 0-.26.07.42.42 0 0 0-.13.26l-1 4.4-1.14-4.4a.48.48 0 0 0-.14-.26s-.13-.07-.26-.07h-.65c-.11 0-.16.06-.16.17a1.22 1.22 0 0 0 .06.27l1.56 5.14A.61.61 0 0 0 10 12a.45.45 0 0 0 .26.06h.57a.49.49 0 0 0 .27-.06.57.57 0 0 0 .12-.27l1-4.28 1 4.29a.42.42 0 0 0 .12.26.4.4 0 0 0 .27.07h.57a.41.41 0 0 0 .25-.07.44.44 0 0 0 .14-.26l1.61-5.14a.73.73 0 0 0 0-.16.52.52 0 0 0 0-.11.15.15 0 0 0-.17-.17h-.62a.45.45 0 0 0-.26.07.68.68 0 0 0-.13.26L14 11zM5.77 8.63a5.92 5.92 0 0 0-.71-.05 2.42 2.42 0 0 0-1.63.52 1.72 1.72 0 0 0-.6 1.37 1.7 1.7 0 0 0 .49 1.28 1.82 1.82 0 0 0 1.33.48 2.48 2.48 0 0 0 2-.92 3.5 3.5 0 0 0 .2.39 2.34 2.34 0 0 0 .24.31.26.26 0 0 0 .37 0l.42-.28a.28.28 0 0 0 .13-.2.27.27 0 0 0 0-.16 3.63 3.63 0 0 1-.21-.47 2 2 0 0 1-.07-.6V8.19a2.2 2.2 0 0 0-.55-1.64A2.42 2.42 0 0 0 5.33 6a3.9 3.9 0 0 0-1 .13 3.9 3.9 0 0 0-.84.3.47.47 0 0 0-.18.14.37.37 0 0 0 0 .23v.33c0 .14 0 .2.14.2a.26.26 0 0 0 .11 0l.23-.08A4.32 4.32 0 0 1 4.42 7a3 3 0 0 1 .72-.09 1.5 1.5 0 0 1 1.08.31 1.46 1.46 0 0 1 .31 1.06v.49zm.78.9v.27a2.36 2.36 0 0 1-.07.58 1.06 1.06 0 0 1-.23.43 1.38 1.38 0 0 1-.63.42 2.12 2.12 0 0 1-.68.12.94.94 0 0 1-.7-.24.92.92 0 0 1-.24-.71.92.92 0 0 1 .33-.76 1.52 1.52 0 0 1 1-.27h.62a5.67 5.67 0 0 1 .6.16zM19.76 15a19.68 19.68 0 0 1-7.55 1.54 20 20 0 0 1-9.9-2.62c-.24-.15-.43.1-.22.29A14.68 14.68 0 0 0 12 18a14.4 14.4 0 0 0 8.1-2.47c.34-.31.03-.72-.34-.53z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.38 14.06c-.19.14-.16.33.05.3.71-.09 2.28-.27 2.56.09s-.31 1.83-.58 2.49c-.08.2.1.28.28.13a3.9 3.9 0 0 0 1.23-3.34 3.94 3.94 0 0 0-3.54.33z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoBaidu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.217 12.486c1.964-.422 1.693-2.772 1.637-3.287-.096-.788-1.028-2.172-2.291-2.061-1.588.141-1.821 2.44-1.821 2.44-.216 1.06.515 3.33 2.475 2.908m3.646-3.944c1.084 0 1.959-1.251 1.959-2.792 0-1.537-.871-2.785-1.955-2.785-1.086 0-1.966 1.242-1.966 2.785s.88 2.792 1.965 2.792m4.671.186c1.454.195 2.38-1.355 2.568-2.53.188-1.166-.754-2.528-1.776-2.763-1.031-.237-2.303 1.411-2.431 2.484-.136 1.318.188 2.627 1.634 2.813m5.751 1.973c0-.562-.46-2.253-2.19-2.253-1.729 0-1.965 1.596-1.965 2.726 0 1.077.089 2.573 2.247 2.528 2.148-.052 1.913-2.438 1.913-3.002M18.1 15.626s-2.247-1.739-3.557-3.613c-1.776-2.768-4.304-1.64-5.144-.239-.845 1.418-2.153 2.306-2.339 2.544-.188.232-2.709 1.596-2.147 4.079.563 2.483 2.528 2.439 2.528 2.439s1.446.142 3.133-.234c1.686-.375 3.13.091 3.13.091s3.92 1.317 5.005-1.212c1.072-2.537-.61-3.847-.61-3.847\"},\"child\":[]}]})(props);\n};\nexport function BiLogoBehance (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.803 5.731c.589 0 1.119.051 1.605.155.483.103.895.273 1.243.508.343.235.611.547.804.939.187.387.28.871.28 1.443 0 .62-.14 1.138-.421 1.551-.283.414-.7.753-1.256 1.015.757.219 1.318.602 1.69 1.146.374.549.557 1.206.557 1.976 0 .625-.119 1.162-.358 1.613a3.11 3.11 0 0 1-.974 1.114 4.315 4.315 0 0 1-1.399.64 6.287 6.287 0 0 1-1.609.206H2V5.731h5.803zm-.351 4.972c.48 0 .878-.114 1.192-.345.312-.228.463-.604.463-1.119 0-.286-.051-.522-.151-.707a1.114 1.114 0 0 0-.417-.428 1.683 1.683 0 0 0-.597-.215 3.609 3.609 0 0 0-.697-.061H4.71v2.875h2.742zm.151 5.239c.267 0 .521-.023.76-.077.241-.052.455-.136.637-.261.182-.12.332-.283.44-.491.109-.206.162-.475.162-.798 0-.634-.179-1.085-.533-1.358-.355-.27-.831-.404-1.414-.404H4.71v3.39h2.893zm8.565-.041c.367.358.896.538 1.584.538.493 0 .919-.125 1.278-.373.354-.249.57-.515.653-.79h2.155c-.346 1.072-.871 1.838-1.589 2.299-.709.463-1.572.693-2.58.693-.702 0-1.334-.113-1.9-.337a4.033 4.033 0 0 1-1.439-.958 4.37 4.37 0 0 1-.905-1.485 5.433 5.433 0 0 1-.32-1.899c0-.666.111-1.289.329-1.864a4.376 4.376 0 0 1 .934-1.493c.405-.42.885-.751 1.444-.994a4.634 4.634 0 0 1 1.858-.362c.754 0 1.413.146 1.979.44a3.967 3.967 0 0 1 1.39 1.182c.363.493.622 1.058.783 1.691.161.632.217 1.292.171 1.983h-6.431c.001.704.238 1.371.606 1.729zm2.812-4.681c-.291-.322-.783-.496-1.385-.496-.391 0-.714.065-.974.199a1.97 1.97 0 0 0-.62.491 1.772 1.772 0 0 0-.328.628 2.82 2.82 0 0 0-.111.587h3.982c-.058-.624-.272-1.085-.564-1.409zm-3.918-4.663h4.989v1.215h-4.989z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoBing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5.71 3 3.593 1.264v12.645l5.061-2.919-2.48-1.165-1.566-3.897 7.974 2.802v4.073l-8.984 5.183-3.595-2L5.71 3z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoBitcoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11.953 8.819-.547 2.19c.619.154 2.529.784 2.838-.456.322-1.291-1.673-1.579-2.291-1.734zm-.822 3.296-.603 2.415c.743.185 3.037.921 3.376-.441.355-1.422-2.029-1.789-2.773-1.974z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.421 2.299C9.064.964 3.641 4.224 2.306 9.581.97 14.936 4.23 20.361 9.583 21.697c5.357 1.335 10.783-1.924 12.117-7.281 1.336-5.356-1.924-10.781-7.279-12.117zm1.991 8.275c-.145.974-.686 1.445-1.402 1.611.985.512 1.485 1.298 1.009 2.661-.592 1.691-1.998 1.834-3.87 1.48l-.454 1.82-1.096-.273.447-1.794a44.624 44.624 0 0 1-.875-.228l-.449 1.804-1.095-.275.454-1.823c-.257-.066-.517-.136-.782-.202L6.87 15l.546-1.256s.808.215.797.199c.311.077.448-.125.502-.261l.719-2.875.115.029a.864.864 0 0 0-.114-.037l.512-2.053c.013-.234-.066-.528-.511-.639.018-.011-.797-.198-.797-.198l.291-1.172 1.514.378-.001.005c.227.057.461.111.7.165l.449-1.802 1.097.273-.44 1.766c.294.067.591.135.879.207l.438-1.755 1.097.273-.449 1.802c1.384.479 2.396 1.195 2.198 2.525z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoBlender (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.427 13.011c.037-.667.363-1.254.856-1.671a2.855 2.855 0 0 1 1.844-.66c.71 0 1.36.25 1.845.66.492.417.819 1.005.856 1.671.038.686-.237 1.323-.721 1.795a2.829 2.829 0 0 1-1.979.782 2.83 2.83 0 0 1-1.981-.782c-.483-.472-.759-1.109-.72-1.795z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.124 14.361c.005.26.089.767.213 1.164a6.156 6.156 0 0 0 1.328 2.299 6.833 6.833 0 0 0 2.323 1.667 7.465 7.465 0 0 0 3.05.635 7.495 7.495 0 0 0 3.051-.645 6.913 6.913 0 0 0 2.321-1.675 6.196 6.196 0 0 0 1.326-2.303 5.76 5.76 0 0 0 .25-1.285 5.942 5.942 0 0 0-.888-3.594 6.496 6.496 0 0 0-1.545-1.703l.001-.001-6.249-4.799-.016-.014c-.411-.314-1.101-.313-1.551.002-.457.319-.508.846-.104 1.18l-.001.001 2.606 2.121-7.943.009h-.012c-.656 0-1.287.432-1.412.976-.128.555.318 1.015 1.001 1.017l-.001.003 4.027-.008-7.188 5.516-.027.021c-.677.519-.896 1.382-.47 1.929.434.556 1.354.556 2.04.002l3.922-3.209c.001 0-.056.433-.052.694zm10.078 1.45c-.808.824-1.938 1.291-3.163 1.293-1.226.002-2.356-.461-3.165-1.283a3.739 3.739 0 0 1-.864-1.352 3.503 3.503 0 0 1-.199-1.511c.044-.505.193-.987.434-1.422.236-.429.562-.815.962-1.144a4.477 4.477 0 0 1 2.832-.988 4.478 4.478 0 0 1 2.832.98c.399.326.725.711.961 1.139.24.436.39.916.434 1.421a3.52 3.52 0 0 1-.198 1.511 3.804 3.804 0 0 1-.866 1.356z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoBlogger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.954 10.667c-.072-.322-.272-.621-.502-.745-.07-.039-.522-.088-1.004-.109-.809-.036-.898-.052-1.152-.201-.405-.237-.516-.493-.518-1.187-.002-1.327-.554-2.559-1.646-3.67-.776-.793-1.645-1.329-2.634-1.629-.236-.072-.768-.097-2.545-.118-2.787-.033-3.405.024-4.356.402-1.748.697-3.008 2.166-3.465 4.05-.087.353-.103.92-.124 4.177-.025 4.08.004 4.68.258 5.488.212.668.425 1.077.861 1.657.835 1.108 2.083 1.907 3.334 2.133.595.107 7.931.135 8.683.032 1.306-.178 2.331-.702 3.293-1.684.694-.71 1.129-1.479 1.414-2.499.117-.424.127-.63.149-3.117.017-1.878.002-2.758-.046-2.98zM8.007 8.108c.313-.316.399-.329 2.364-.329 1.764 0 1.822.004 2.081.134.375.189.538.456.538.88 0 .384-.153.653-.493.869-.184.115-.293.123-2.021.133-1.067.007-1.916-.013-2.043-.048-.669-.184-.918-1.143-.426-1.639zm7.706 8.037-.597.098-3.114.035c-2.736.033-3.511-.018-3.652-.08-.288-.124-.554-.472-.602-.78-.042-.292.104-.696.33-.9.285-.257.409-.266 3.911-.27 3.602-.002 3.583-.003 3.925.315.482.45.381 1.251-.201 1.582z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoBootstrap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.002 2.997H5.999A3.011 3.011 0 0 0 2.997 6v12.002a3.012 3.012 0 0 0 3.002 3.001h12.003a3.012 3.012 0 0 0 3.001-3.001V6a3.012 3.012 0 0 0-3.001-3.003zm-1.64 12.647c-.152.36-.389.68-.693.927a3.594 3.594 0 0 1-1.206.614c-.49.151-1.074.229-1.75.229H7.888V6.909h5.103c.943 0 1.7.213 2.267.646.569.436.854 1.082.854 1.958 0 .528-.13.983-.389 1.357-.259.373-.63.664-1.111.868v.034c.642.135 1.137.438 1.464.912.327.473.493 1.069.493 1.789 0 .405-.078.788-.225 1.147l.02.021v.003zm-2.084-2.589c-.309-.282-.739-.419-1.297-.419H9.737v3.276h3.253c.554 0 .991-.144 1.298-.435.308-.289.464-.701.464-1.229-.005-.518-.156-.919-.464-1.193h-.014.004zm-.451-2.119c.299-.251.444-.613.444-1.084 0-.526-.131-.902-.397-1.116-.264-.214-.646-.326-1.146-.326H9.727v2.898h3.001c.436.001.81-.127 1.099-.372z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoCPlusPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.66 7a1.51 1.51 0 0 0-.55-.57l-7.34-4.24a1.67 1.67 0 0 0-1.54 0L3.89 6.43a1.68 1.68 0 0 0-.77 1.33v8.48a1.57 1.57 0 0 0 .22.76 1.51 1.51 0 0 0 .55.57l7.34 4.24a1.67 1.67 0 0 0 1.54 0l7.34-4.24a1.51 1.51 0 0 0 .55-.57 1.57 1.57 0 0 0 .22-.76V7.76a1.57 1.57 0 0 0-.22-.76zM12 17.92A5.92 5.92 0 1 1 17.13 9L16 9.71l-.36.2-1 .61A3 3 0 0 0 9 12a2.88 2.88 0 0 0 .4 1.48 3 3 0 0 0 5.13 0l2.6 1.52A5.94 5.94 0 0 1 12 17.92zm5.92-5.59h-.66V13h-.65v-.66H16v-.66h.66V11h.65v.66h.66zm2.47 0h-.66V13h-.66v-.66h-.65v-.66h.65V11h.66v.66h.66z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoChrome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.742 2.04c-1.404.183-3.06.808-4.281 1.626-1.01.664-2.397 2.02-2.309 2.251.193.501 3.28 5.658 3.33 5.562.038-.067.095-.279.123-.49.25-1.385 1.425-2.704 2.897-3.253.568-.221.683-.221 5.495-.27l4.917-.047-.395-.646c-1.385-2.26-3.522-3.819-6.197-4.512-.731-.193-2.81-.318-3.58-.22z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.2 7.217c-.453.799-.983 2.415-1.107 3.358-.588 4.273 1.568 8.4 5.379 10.315.894.452 2.174.885 2.732.933l.356.029 1.674-2.838c.915-1.559 1.655-2.849 1.636-2.868-.02-.019-.231.039-.481.125-1.569.53-3.387.086-4.57-1.116-.424-.424-1.002-1.357-2.84-4.542C4.71 8.41 3.642 6.601 3.603 6.601c-.028 0-.211.279-.403.616z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.15 8.804c1.222 1.242 1.655 3.003 1.116 4.59-.086.26-1.212 2.271-2.501 4.485-1.29 2.203-2.349 4.031-2.349 4.06 0 .115 1.328.057 2.175-.087 4.32-.74 7.573-4.002 8.265-8.276.26-1.558.164-2.925-.307-4.503l-.25-.837h-6.707l.557.568z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.608 8.563C9.598 8.987 8.905 9.7 8.53 10.71c-.173.453-.202.713-.173 1.424.03.75.068.963.347 1.511.366.75.962 1.329 1.751 1.703.462.221.654.25 1.54.25.895 0 1.077-.029 1.559-.26.712-.326 1.462-1.077 1.79-1.79.23-.48.259-.663.259-1.558 0-.886-.029-1.078-.25-1.54-.375-.788-.952-1.386-1.703-1.75-.568-.28-.742-.318-1.56-.348-.788-.019-.99.01-1.48.212z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoCodepen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.838 8.445c0-.001-.001-.001 0 0l-.003-.004-.001-.001v-.001a.809.809 0 0 0-.235-.228l-9.164-6.08a.834.834 0 0 0-.898 0L2.371 8.214A.786.786 0 0 0 2 8.897v6.16a.789.789 0 0 0 .131.448v.001l.002.002.01.015v.002h.001l.001.001.001.001c.063.088.14.16.226.215l9.165 6.082a.787.787 0 0 0 .448.139.784.784 0 0 0 .45-.139l9.165-6.082a.794.794 0 0 0 .371-.685v-6.16a.793.793 0 0 0-.133-.452zm-9.057-4.172 6.953 4.613-3.183 2.112-3.771-2.536V4.273zm-1.592 0v4.189l-3.771 2.536-3.181-2.111 6.952-4.614zm-7.595 6.098 2.395 1.59-2.395 1.611v-3.201zm7.595 9.311-6.96-4.617 3.195-2.15 3.765 2.498v4.269zm.795-5.653-3.128-2.078 3.128-2.105 3.131 2.105-3.131 2.078zm.797 5.653v-4.27l3.766-2.498 3.193 2.15-6.959 4.618zm7.597-6.11-2.396-1.611 2.396-1.59v3.201z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoCreativeCommons (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.354 6.479a10.021 10.021 0 0 0-7.421-4.429c-3.108-.294-6.031.771-8.123 2.963C3.533 6.35 2.699 7.839 2.21 9.66c-.217.805-.247 1.104-.244 2.396.002 1.293.034 1.599.255 2.432a10.232 10.232 0 0 0 7.451 7.332c.315.078.702.16.859.182.696.097 2.381.056 3.131-.075 3.088-.538 5.832-2.531 7.24-5.258 1.644-3.181 1.426-7.222-.548-10.19zm-.41 7.688c-.808 2.99-3.263 5.272-6.361 5.912-4.831.997-9.538-2.658-9.839-7.641-.194-3.217 1.755-6.446 4.745-7.863 1.133-.536 2.045-.733 3.425-.738 1.327-.004 2.064.132 3.223.596 2.324.931 4.146 3.04 4.816 5.573.281 1.06.276 3.103-.009 4.161z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.042 14.955c-.915-.324-1.616-1.275-1.74-2.36-.183-1.607.422-2.856 1.654-3.415.669-.303 1.882-.296 2.603.016.438.19 1.261.933 1.261 1.139 0 .033-.284.201-.631.372l-.632.312-.337-.337c-.187-.188-.475-.363-.649-.396-.433-.082-.952.111-1.187.44-.389.546-.415 1.972-.048 2.533.191.291.512.494.813.518.635.05.796-.006 1.172-.401l.379-.398.488.269c.269.148.527.305.575.347.164.148-.592.92-1.199 1.224-.517.259-.679.293-1.358.286-.425-.006-.949-.074-1.164-.149zm5.816 0c-.901-.32-1.591-1.241-1.739-2.325-.215-1.569.419-2.888 1.654-3.45.717-.324 1.934-.3 2.661.056.45.221 1.201.911 1.201 1.104 0 .034-.295.203-.654.377l-.654.317-.341-.37c-.304-.332-.385-.369-.802-.369-.576 0-.945.225-1.145.698-.18.423-.201 1.461-.043 1.934.324.961 1.505 1.188 2.175.419l.304-.346.58.294c.32.161.582.319.582.352 0 .219-.75.918-1.256 1.17-.517.259-.679.293-1.358.287-.425-.005-.949-.073-1.165-.148z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoCss3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.192 3.143h15.615l-1.42 16.034-6.404 1.812-6.369-1.813L4.192 3.143zM16.9 6.424l-9.8-.002.158 1.949 7.529.002-.189 2.02H9.66l.179 1.913h4.597l-.272 2.62-2.164.598-2.197-.603-.141-1.569h-1.94l.216 2.867L12 17.484l3.995-1.137.905-9.923z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDailymotion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.551 11.485a2.327 2.327 0 0 0-2.328 2.332c0 1.314 1.013 2.313 2.441 2.313l-.012.002c1.192 0 2.193-.983 2.193-2.28.001-1.349-1.001-2.367-2.294-2.367z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18V3H3zm15.52 15.605h-2.682v-1.058c-.825.81-1.667 1.103-2.786 1.103-1.142 0-2.124-.371-2.947-1.114-1.086-.956-1.648-2.227-1.648-3.701 0-1.351.524-2.561 1.507-3.506.878-.859 1.946-1.298 3.139-1.298 1.14 0 2.018.385 2.647 1.192V6.118l2.77-.574v-.002l.002.003h-.002v13.06z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDeezer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.68 5.47H22V8h-4.32zm0 3.51H22v2.53h-4.32zm0 3.51H22v2.53h-4.32zM2 16h4.32v2.53H2zm5.22 0h4.32v2.53H7.22zm5.23 0h4.32v2.53h-4.32zm5.23 0H22v2.53h-4.32zm-5.23-3.51h4.32v2.53h-4.32zm-5.23 0h4.32v2.53H7.22zm0-3.51h4.32v2.53H7.22z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDevTo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.826 10.083a.784.784 0 0 0-.468-.175h-.701v4.198h.701a.786.786 0 0 0 .469-.175c.155-.117.233-.292.233-.525v-2.798c.001-.233-.079-.408-.234-.525zM19.236 3H4.764C3.791 3 3.002 3.787 3 4.76v14.48c.002.973.791 1.76 1.764 1.76h14.473c.973 0 1.762-.787 1.764-1.76V4.76A1.765 1.765 0 0 0 19.236 3zM9.195 13.414c0 .755-.466 1.901-1.942 1.898H5.389V8.665h1.903c1.424 0 1.902 1.144 1.903 1.899v2.85zm4.045-3.562H11.1v1.544h1.309v1.188H11.1v1.543h2.142v1.188h-2.498a.813.813 0 0 1-.833-.792V9.497a.813.813 0 0 1 .792-.832h2.539l-.002 1.187zm4.165 4.632c-.531 1.235-1.481.99-1.906 0l-1.548-5.818h1.309l1.193 4.569 1.188-4.569h1.31l-1.546 5.818z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDeviantart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.57 3h-3.271l-.326.33-1.544 2.942-.486.327H6.432v4.495h3.03l.27.327-3.3 6.305v3.273h3.272l.327-.33 1.543-2.943.486-.326h5.511v-4.495h-3.03l-.269-.329 3.299-6.303L17.57 3z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDigg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.803 8.8v6.801h3.2v.799h-3.2v1.602h5.2V8.8h-5.2zm-6 0v6.801h3.199v.799h-3.199v1.602h5.199V8.8h-5.199zM5.2 5.999V8.8H2v6.801h5.201V5.999H5.2zM10.001 8.8h-2v6.801h2V8.8zM20.003 14h-1.2v-3.601h1.2V14zM5.2 14H4v-3.601h1.2V14zm8.802 0h-1.2v-3.601h1.2V14zm-4.001-8.001h-2v2h2v-2z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDigitalocean (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.005 21.992v-3.877c4.104 0 7.288-4.068 5.714-8.388a5.81 5.81 0 0 0-3.457-3.446c-4.319-1.563-8.389 1.61-8.389 5.714H2.008c0-6.541 6.325-11.642 13.184-9.499 2.991.94 5.383 3.321 6.313 6.313 2.141 6.858-2.96 13.183-9.5 13.183z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.017 18.139H8.152v-3.866h3.865zm-3.865 2.959H5.193v-2.959h2.959zm-2.959-2.959H2.711v-2.483h2.482v2.483z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDiscordAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.82 4.26a10.14 10.14 0 0 0-.53 1.1 14.66 14.66 0 0 0-4.58 0 10.14 10.14 0 0 0-.53-1.1 16 16 0 0 0-4.13 1.3 17.33 17.33 0 0 0-3 11.59 16.6 16.6 0 0 0 5.07 2.59A12.89 12.89 0 0 0 8.23 18a9.65 9.65 0 0 1-1.71-.83 3.39 3.39 0 0 0 .42-.33 11.66 11.66 0 0 0 10.12 0q.21.18.42.33a10.84 10.84 0 0 1-1.71.84 12.41 12.41 0 0 0 1.08 1.78 16.44 16.44 0 0 0 5.06-2.59 17.22 17.22 0 0 0-3-11.59 16.09 16.09 0 0 0-4.09-1.35zM8.68 14.81a1.94 1.94 0 0 1-1.8-2 1.93 1.93 0 0 1 1.8-2 1.93 1.93 0 0 1 1.8 2 1.93 1.93 0 0 1-1.8 2zm6.64 0a1.94 1.94 0 0 1-1.8-2 1.93 1.93 0 0 1 1.8-2 1.92 1.92 0 0 1 1.8 2 1.92 1.92 0 0 1-1.8 2z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDiscord (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.593 10.971c-.542 0-.969.475-.969 1.055 0 .578.437 1.055.969 1.055.541 0 .968-.477.968-1.055.011-.581-.427-1.055-.968-1.055zm3.468 0c-.542 0-.969.475-.969 1.055 0 .578.437 1.055.969 1.055.541 0 .968-.477.968-1.055-.001-.581-.427-1.055-.968-1.055z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.678 3H4.947A1.952 1.952 0 0 0 3 4.957v12.844c0 1.083.874 1.957 1.947 1.957H15.72l-.505-1.759 1.217 1.131 1.149 1.064L19.625 22V4.957A1.952 1.952 0 0 0 17.678 3zM14.01 15.407s-.342-.408-.626-.771c1.244-.352 1.719-1.13 1.719-1.13-.39.256-.76.438-1.093.562a6.679 6.679 0 0 1-3.838.398 7.944 7.944 0 0 1-1.396-.41 5.402 5.402 0 0 1-.693-.321c-.029-.021-.057-.029-.085-.048a.117.117 0 0 1-.039-.03c-.171-.094-.266-.16-.266-.16s.456.76 1.663 1.121c-.285.36-.637.789-.637.789-2.099-.067-2.896-1.444-2.896-1.444 0-3.059 1.368-5.538 1.368-5.538 1.368-1.027 2.669-.998 2.669-.998l.095.114c-1.71.495-2.499 1.245-2.499 1.245s.21-.114.561-.275c1.016-.446 1.823-.57 2.156-.599.057-.009.105-.019.162-.019a7.756 7.756 0 0 1 4.778.893s-.751-.712-2.366-1.206l.133-.152s1.302-.029 2.669.998c0 0 1.368 2.479 1.368 5.538 0-.001-.807 1.376-2.907 1.443z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDiscourse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.077 3C7.149 3 3 6.96 3 11.843V21l9.075-.01c4.928 0 8.925-4.11 8.925-8.993C21 7.113 17 3 12.077 3zm3.92 12.859a5.568 5.568 0 0 1-6.102 1.043l-3.595.805 1.001-3.192a5.435 5.435 0 0 1 .11-5.415 5.55 5.55 0 0 1 4.753-2.678v.001h.006a5.533 5.533 0 0 1 5.131 3.438 5.442 5.442 0 0 1-1.304 5.998z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDjango (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.533 12.249c-.011 1.985 1.445 3.168 3.768 2.63V9.618c-2.352-.716-3.758.733-3.768 2.631m3.839-10.238h3.199v15.143c-3.066.501-6.004.819-8.104-.355-2.705-1.513-2.923-6.319-.782-8.46 1.085-1.085 3.271-1.85 5.616-1.351V2.225c-.006-.101-.012-.202.071-.214m8.389 3.342h-3.199V2.011h3.199v3.342z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.761 7.044c-.003 2.356-.003 4.048-.003 6.911-.136 2.813-.104 5.052-1.135 6.398-.203.266-.634.652-.995.924-.303.228-.881.691-1.208.711-.331.021-1.18-.459-1.564-.64-.505-.237-.971-.553-1.493-.71 1.218-.754 2.372-1.32 2.844-2.844.41-1.326.355-3.247.355-5.119 0-1.849.009-3.998.009-5.63l3.19-.001z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDocker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.17 9.82a4.76 4.76 0 0 0-.84.07 3.12 3.12 0 0 0-1.43-2.14l-.28-.16-.19.27a3.7 3.7 0 0 0-.51 1.19 2.84 2.84 0 0 0 .33 2.22 4.11 4.11 0 0 1-1.45.35H2.63a.63.63 0 0 0-.63.62 9.6 9.6 0 0 0 .58 3.39 5 5 0 0 0 2 2.6 8.86 8.86 0 0 0 4.42.95 13.27 13.27 0 0 0 2.42-.18 10.09 10.09 0 0 0 3.19-1.15A8.9 8.9 0 0 0 16.78 16a11.94 11.94 0 0 0 2.13-3.67h.19a3.08 3.08 0 0 0 2.23-.84 2.36 2.36 0 0 0 .59-.87l.08-.22-.2-.16a2.69 2.69 0 0 0-1.63-.42z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.61 9.35H3.85a.16.16 0 0 0-.16.15v1.58a.16.16 0 0 0 .16.15h1.76a.16.16 0 0 0 .16-.15V9.5a.16.16 0 0 0-.16-.15zm2.44 0H6.28a.16.16 0 0 0-.16.15v1.58a.16.16 0 0 0 .16.15h1.77a.15.15 0 0 0 .15-.15V9.5a.15.15 0 0 0-.15-.15zm2.47 0H8.75a.15.15 0 0 0-.15.15v1.58a.15.15 0 0 0 .15.15h1.77a.15.15 0 0 0 .15-.15V9.5a.15.15 0 0 0-.15-.15zm.67 0a.15.15 0 0 0-.19.15v1.58a.15.15 0 0 0 .15.15H13a.15.15 0 0 0 .15-.15V9.5a.15.15 0 0 0-.15-.15zM6.28 7.09H8a.16.16 0 0 1 .16.16v1.56A.16.16 0 0 1 8 9H6.28a.15.15 0 0 1-.15-.15V7.24a.15.15 0 0 1 .15-.15zm2.47 0h1.77a.15.15 0 0 1 .15.15v1.57a.16.16 0 0 1-.16.16H8.75a.15.15 0 0 1-.15-.15V7.24a.15.15 0 0 1 .15-.15zm2.44 0H13a.15.15 0 0 1 .15.15v1.57A.15.15 0 0 1 13 9h-1.81a.16.16 0 0 1-.19-.19V7.24a.15.15 0 0 1 .19-.15z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"11.04\",\"y\":\"4.82\",\"width\":\"2.07\",\"height\":\"1.88\",\"rx\":\".15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.65 9.35a.15.15 0 0 0-.15.15v1.58a.15.15 0 0 0 .15.15h1.77a.15.15 0 0 0 .15-.15V9.5a.15.15 0 0 0-.15-.15z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDribbble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.66 6.98a9.932 9.932 0 0 0-3.641-3.64C15.486 2.447 13.813 2 12 2s-3.486.447-5.02 1.34c-1.533.893-2.747 2.107-3.64 3.64S2 10.187 2 12s.446 3.487 1.34 5.02a9.924 9.924 0 0 0 3.641 3.64C8.514 21.553 10.187 22 12 22s3.486-.447 5.02-1.34a9.932 9.932 0 0 0 3.641-3.64C21.554 15.487 22 13.813 22 12s-.446-3.487-1.34-5.02zM12 3.66c2 0 3.772.64 5.32 1.919-.92 1.174-2.286 2.14-4.1 2.9-1.002-1.813-2.088-3.327-3.261-4.54A7.715 7.715 0 0 1 12 3.66zM5.51 6.8a8.116 8.116 0 0 1 2.711-2.22c1.212 1.201 2.325 2.7 3.34 4.5-2 .6-4.114.9-6.341.9-.573 0-1.006-.013-1.3-.04A8.549 8.549 0 0 1 5.51 6.8zM3.66 12c0-.054.003-.12.01-.2.007-.08.01-.146.01-.2.254.014.641.02 1.161.02 2.666 0 5.146-.367 7.439-1.1.187.373.381.793.58 1.26-1.32.293-2.674 1.006-4.061 2.14S6.4 16.247 5.76 17.5c-1.4-1.587-2.1-3.42-2.1-5.5zM12 20.34c-1.894 0-3.594-.587-5.101-1.759.601-1.187 1.524-2.322 2.771-3.401 1.246-1.08 2.483-1.753 3.71-2.02a29.441 29.441 0 0 1 1.56 6.62 8.166 8.166 0 0 1-2.94.56zm7.08-3.96a8.351 8.351 0 0 1-2.58 2.621c-.24-2.08-.7-4.107-1.379-6.081.932-.066 1.765-.1 2.5-.1.799 0 1.686.034 2.659.1a8.098 8.098 0 0 1-1.2 3.46zm-1.24-5c-1.16 0-2.233.047-3.22.14a27.053 27.053 0 0 0-.68-1.62c2.066-.906 3.532-2.006 4.399-3.3 1.2 1.414 1.854 3.027 1.96 4.84-.812-.04-1.632-.06-2.459-.06z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDropbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.004 3.5 2 6.689l5.004 3.186 5.002-3.186zm10.005 0-5.003 3.189 5.003 3.186 5.003-3.186zM2 13.062l5.004 3.187 5.002-3.187-5.002-3.187zm15.009-3.187-5.003 3.187 5.003 3.187 5.003-3.187zM7.004 17.311l5.002 3.189 5.003-3.189-5.003-3.186z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoDrupal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.474 14.42a3.162 3.162 0 1 0 0 6.324 3.162 3.162 0 0 0 0-6.324zm3.936-.606a5.433 5.433 0 0 1 1.513 3.769 5.441 5.441 0 0 1-2.335 4.47c2.609-.803 4.771-2.767 5.737-5.142 1.338-3.288.09-5.761-1.999-8.005.066.288.103.592.103.898a4.175 4.175 0 0 1-3.019 4.01zm-3.577-4.003c0 1.34 1.087 2.419 2.42 2.419s2.423-1.087 2.423-2.419a2.418 2.418 0 0 0-2.417-2.417 2.425 2.425 0 0 0-2.426 2.417z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.303 21.093a5.435 5.435 0 0 1-1.276-3.51 5.441 5.441 0 0 1 4.8-5.408 4.162 4.162 0 0 1 3.99-6.492c-1.361-1.176-2.724-2.369-3.799-3.672.547 5.714-5.2 3.638-7.332 8.904-1.422 3.527-.138 7.892 3.617 10.178z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoEbay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.021 12.111V8.004h1.001v2.525c.493-.586 1.17-.756 1.838-.756 1.118 0 2.361.754 2.361 2.383 0 .195-.014.381-.051.559.2-.797 1.063-1.09 2.418-1.123.426-.016.914-.016 1.302-.016v-.111c0-.738-.464-1.039-1.276-1.039-.602 0-1.04.25-1.09.676H12.46c.113-1.076 1.253-1.352 2.242-1.352.889 0 1.666.225 2.017.852l-.365-.702h1.177l1.716 3.443 1.716-3.445H22l-3.105 6.098h-1.124l.894-1.703-1.945-3.656c.108.213.167.465.167.777v2.055c0 .289.009.574.034.838h-.931a5.478 5.478 0 0 1-.038-.639c-.503.613-1.103.803-1.937.803-1.236 0-1.897-.652-1.897-1.416 0-.125.013-.236.031-.338-.251 1.041-1.135 1.74-2.31 1.74-.727 0-1.414-.262-1.837-.775 0 .201-.013.412-.033.611h-.986c.018-.324.034-.713.034-1.037v-.877H2.985c.054.914.683 1.451 1.547 1.451.599 0 1.131-.25 1.309-.775h1.035c-.2 1.078-1.344 1.439-2.329 1.439-1.789.018-2.582-.973-2.582-2.301 0-1.463.819-2.428 2.602-2.428 1.409 0 2.445.738 2.454 2.342v.004zm7.627.153c-.915.027-1.479.193-1.479.793 0 .389.301.809 1.09.809 1.052 0 1.615-.574 1.615-1.514v-.109c-.376 0-.826.006-1.239.02h.011l.002.001zm-5.059 1.564c.927 0 1.566-.672 1.566-1.686s-.642-1.686-1.58-1.686c-.928 0-1.578.672-1.578 1.686s.639 1.686 1.565 1.686h.027zM5.958 11.73c-.037-.939-.714-1.291-1.44-1.291-.788 0-1.414.395-1.516 1.291h2.956z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoEdge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.349 13.684H8.874c0 .433.063.825.195 1.178a3.334 3.334 0 0 0 1.381 1.634c.309.196.646.359 1.005.484.356.13.729.226 1.107.288.821.13 1.658.123 2.477-.021a9.79 9.79 0 0 0 2.421-.783c.393-.18.79-.376 1.201-.594v3.805a13.453 13.453 0 0 1-2.711.978c-.457.112-.92.196-1.396.246-.486.054-.975.079-1.464.079a8.185 8.185 0 0 1-1.95-.232 7.679 7.679 0 0 1-1.762-.668 7.29 7.29 0 0 1-1.51-1.062 6.67 6.67 0 0 1-1.941-3.103 6.978 6.978 0 0 1-.267-1.953c0-.737.101-1.439.303-2.11a6.718 6.718 0 0 1 2.264-3.342 7.38 7.38 0 0 1 1.847-1.066 3.891 3.891 0 0 0-.869 1.329 5.682 5.682 0 0 0-.401 1.538h6.391c0-.646-.063-1.207-.196-1.689-.131-.484-.342-.885-.637-1.201A2.64 2.64 0 0 0 13.23 6.7c-.462-.16-1.021-.241-1.675-.241-.771 0-1.543.111-2.314.344a9.804 9.804 0 0 0-2.2.955c-.695.412-1.335.897-1.919 1.452a9.167 9.167 0 0 0-1.474 1.819c.084-.742.247-1.474.485-2.18a9.304 9.304 0 0 1 .912-1.938 8.622 8.622 0 0 1 1.303-1.609 7.735 7.735 0 0 1 1.655-1.235 8.45 8.45 0 0 1 1.955-.792 10.042 10.042 0 0 1 2.233-.254c.46 0 .917.041 1.375.124.457.084.903.196 1.339.342a8.012 8.012 0 0 1 2.315 1.239 7.95 7.95 0 0 1 1.711 1.833c.463.692.813 1.459 1.055 2.292s.365 1.701.365 2.602v2.23h-.002z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoEtsy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.139 14.058c-.438 0-.693-.294-.693-1.058v-2.696l1.456.112.074-.694-1.496.074v-1.53h-.438c-.216 1.201-.759 1.638-1.488 1.712v.364h.869v3.062c0 .836.588 1.271 1.313 1.271.585 0 1.202-.255 1.418-.763l-.217-.255c-.108.18-.402.401-.798.401zm-3.494-.803c-.294.69-.767.729-1.24.729h-1.53c-.508 0-.729-.186-.729-.62v-2.258s1.092 0 1.457.039c.291.035.438.109.546.473l.108.511h.438l-.039-1.309.074-1.278h-.434l-.147.585c-.073.399-.147.438-.546.508-.508.038-1.457.038-1.457.038V7.979c0-.112 0-.147.185-.147h2.291c.402 0 .62.326.763.98l.147.512h.399c.039-1.457.074-2.074.074-2.074s-.981.105-1.562.105H3.53L2 7.324v.399l.508.107c.367.074.475.147.475.473 0 0 .039.984.039 2.619 0 1.639-.039 2.623-.039 2.623 0 .291-.108.399-.475.473L2 14.131v.396l1.565-.035h2.623c.581 0 1.965.035 1.965.035.034-.361.216-1.964.255-2.146H8.04l-.395.874zm6.04-2.622c0-.474.437-.655.875-.655.36 0 .653.147.729.325l.255.729.361-.035c0-.364.038-.838.111-1.201-.328-.147-.983-.221-1.421-.221-.984 0-1.747.441-1.747 1.387 0 1.674 2.44 1.31 2.44 2.549 0 .399-.256.729-.876.729-.581 0-.837-.294-.945-.585l-.29-.693h-.369c.04.476.074.948 0 1.382 0 0 .767.294 1.531.294 1.022 0 1.858-.507 1.858-1.457 0-1.674-2.512-1.421-2.512-2.548zm6.369-.945v.364l.259.074c.252.07.36.178.36.363 0 .108-.034.144-.073.291-.108.291-.802 2.003-1.162 2.767a75.566 75.566 0 0 1-1.093-2.949c-.035-.073-.035-.108-.035-.146 0-.148.105-.292.361-.326l.329-.074v-.364l-1.346.073-1.096-.039v.368l.187.069c.251.074.287.11.469.512.693 1.53 1.457 3.529 1.716 4.15-.584 1.019-1.204 1.309-1.677 1.309-.291 0-.399-.147-.435-.329l-.146-.763-.4.035c-.073.477-.147.984-.255 1.383.287.182.616.294 1.053.293.691 0 1.675-.182 2.623-2.332l1.601-3.747c.145-.293.182-.329.546-.476l.183-.144v-.362l-.945.034-1.024-.034z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFacebookCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.001 2.002c-5.522 0-9.999 4.477-9.999 9.999 0 4.99 3.656 9.126 8.437 9.879v-6.988h-2.54v-2.891h2.54V9.798c0-2.508 1.493-3.891 3.776-3.891 1.094 0 2.24.195 2.24.195v2.459h-1.264c-1.24 0-1.628.772-1.628 1.563v1.875h2.771l-.443 2.891h-2.328v6.988C18.344 21.129 22 16.992 22 12.001c0-5.522-4.477-9.999-9.999-9.999z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFacebookSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h8.615v-6.96h-2.338v-2.725h2.338v-2c0-2.325 1.42-3.592 3.5-3.592.699-.002 1.399.034 2.095.107v2.42h-1.435c-1.128 0-1.348.538-1.348 1.325v1.735h2.697l-.35 2.725h-2.348V21H20a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFacebook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.397 20.997v-8.196h2.765l.411-3.209h-3.176V7.548c0-.926.258-1.56 1.587-1.56h1.684V3.127A22.336 22.336 0 0 0 14.201 3c-2.444 0-4.122 1.492-4.122 4.231v2.355H7.332v3.209h2.753v8.202h3.312z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFigma (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.332 8.668a3.333 3.333 0 0 0 0-6.663H8.668a3.333 3.333 0 0 0 0 6.663 3.333 3.333 0 0 0 0 6.665 3.333 3.333 0 0 0 0 6.664A3.334 3.334 0 0 0 12 18.664V8.668h3.332z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.332\",\"cy\":\"12\",\"r\":\"3.332\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFirebase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.239 15.063 7.21 2.381a.453.453 0 0 1 .847-.145l2.12 3.979-4.938 8.848zM19.24 18.14 17.363 6.469a.454.454 0 0 0-.766-.246L4.76 18.14l6.55 3.691c.411.23.912.23 1.323 0l6.607-3.691zM13.917 7.955 12.4 5.052a.452.452 0 0 0-.8 0L4.939 16.989l8.978-9.034z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFirefox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.634 11.138a2.16 2.16 0 0 0-.06-.286l-.187.236a6.354 6.354 0 0 0-.228-1.274 8.66 8.66 0 0 0-.591-1.511 5.33 5.33 0 0 0-.54-.92c-.109-.17-.203-.296-.221-.322-.362-.589-.767-.947-1.249-1.621a4.942 4.942 0 0 1-.615-1.679 6.091 6.091 0 0 0-.303 1.097c-.483-.489-.913-.846-1.168-1.08-1.267-1.183-1.113-1.79-1.113-1.79s-2.364 2.635-1.342 5.377a5.278 5.278 0 0 0 1.764 2.347c.989.813 2.052 1.452 2.609 3.101a5.2 5.2 0 0 0-1.967-2.087c.253.602.38 1.232.371 1.884a4.468 4.468 0 0 1-4.476 4.465 4.28 4.28 0 0 1-.985-.109 4.117 4.117 0 0 1-1.107-.379 4.4 4.4 0 0 1-1.334-1.226v-.007a.372.372 0 0 0 .075.024c.187.067.372.118.567.153a3.558 3.558 0 0 0 2.245-.263c.708-.396 1.139-.685 1.484-.574.348.109.608-.22.373-.565a1.853 1.853 0 0 0-1.79-.692c-.711.101-1.36.599-2.28.117-.067-.032-.117-.066-.176-.101-.06-.042.202.051.134.009a4.138 4.138 0 0 1-.582-.347c-.009-.009.145.043.126.033a2.235 2.235 0 0 1-.608-.614 1.118 1.118 0 0 1-.04-.973.844.844 0 0 1 .38-.354l.192.1s-.059-.1-.085-.151c.01-.008.019 0 .035-.008.102.042.329.159.455.236a.6.6 0 0 1 .212.186s.043-.017.009-.108a.511.511 0 0 0-.221-.263h.018c.093.051.178.102.261.167.076-.186.119-.368.112-.563a.825.825 0 0 0-.043-.313c-.035-.067.017-.093.075-.025a.545.545 0 0 0-.051-.152s.034-.051.051-.062c.043-.04.084-.082.136-.107.293-.185.599-.347.92-.474a6.52 6.52 0 0 0 .515-.228c.067-.042.127-.084.186-.136.22-.185.364-.438.413-.718.01-.04.01-.074.01-.107v-.069c-.034-.144-.279-.245-1.553-.372a1.104 1.104 0 0 1-.911-.852v.007a2.035 2.035 0 0 0-.051.146c.016-.053.033-.094.051-.146v-.007a3.257 3.257 0 0 1 1.249-1.553c.031-.025-.126.007-.093-.017.102-.051.211-.103.329-.145.059-.018-.245-.134-.515-.11a1.545 1.545 0 0 0-.473.11c.067-.049.252-.118.21-.118a2.837 2.837 0 0 0-.97.363c0-.033.01-.06.018-.083a1.474 1.474 0 0 0-.6.464v-.112a2.706 2.706 0 0 0-.295.28h-.008a3.92 3.92 0 0 0-2.205-.184l-.008-.009h.008a1.684 1.684 0 0 1-.387-.439l-.01.007-.015-.016c-.053-.068-.093-.152-.147-.246-.04-.06-.074-.136-.116-.21 0 0 0-.008-.008-.008-.01 0-.026.077-.033.049a2.668 2.668 0 0 1-.179-1.053H5.28a1.12 1.12 0 0 0-.448.589c-.041.086-.067.128-.092.179v-.028l.024-.125c-.006.009-.006.017-.017.025a1.06 1.06 0 0 0-.15.23 1.117 1.117 0 0 0-.103.243v-.042c0-.034.009-.085 0-.067l-.009.023a5.968 5.968 0 0 0-.506 1.902c-.016.118-.016.228-.016.337v.018a4.422 4.422 0 0 0-.514.683 9.703 9.703 0 0 0-1.082 2.659c.161-.354.354-.701.583-1.021a9.332 9.332 0 0 0-.659 3.454c.076-.346.169-.684.278-1.022a8.737 8.737 0 0 0 .787 4.062 9.397 9.397 0 0 0 3.738 4.229 8.052 8.052 0 0 0 2.169 1.048c.102.033.213.074.313.109-.034-.018-.059-.035-.092-.042a9.912 9.912 0 0 0 2.818.413c3.387 0 4.501-1.292 4.61-1.418.16-.151.303-.331.396-.541.068-.024.127-.05.195-.084l.041-.016c.051-.026.075-.034.075-.034a7.11 7.11 0 0 0 1.437-.896 3.475 3.475 0 0 0 1.326-1.951c.125-.286.125-.6.024-.894.05-.084.094-.161.101-.178a7.954 7.954 0 0 0 1.199-3.876v-.116a4.918 4.918 0 0 0-.072-.872z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFlask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.78 18.29c0 .12.15 0 0 0zm-3.35-2.7c.39.17-.31-.6 0 0zm-5.03.28c.15.26 0-.12 0 0zm5.6.39c-.27-.42-.2.06 0 .32.48.47.18-.26 0-.32z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.24 19.06c-.53.63-1-.07-1.63.13-1.1 0 .09-.5.06-1-.58-.45-1.24.61-1.62.9a10.75 10.75 0 0 1-2.67-.83 8 8 0 0 1-2.6-1.94 8.36 8.36 0 0 1-1.87-2.84 21.36 21.36 0 0 1-.84-5.74c.07-1.3 1.44.14 2-.33-.21-.67-1.29-.88-1.89-1.16-.58 0-.79-.55-1.4-.59 0-.73-1.13-.33-1.56-.84.42-1.08-1.37 0-1.23-.91.58-1.2-1.78-1-.8.06.95.54-.93.93-.38 1.29a.59.59 0 0 1-.53.86c-.74.07-.67 1.09.06.55.81-.25.14.11-.25.18a1.3 1.3 0 0 0-.95 1.9 35.32 35.32 0 0 1 1 3.42A16.25 16.25 0 0 0 5.84 17 9.78 9.78 0 0 0 8 19a9.89 9.89 0 0 0 2.43 1.22 18 18 0 0 0 4.73.73 16.53 16.53 0 0 0 2.9-.24c.87.09 1.49-.65 2.26-.26.48-.42 1.16-.23 1.63-.54.32-1.22-1.22-.27-1.71-.85zm-1.74-.74c-.22 0 .05-.34 0 0zm-7.63-11c-.1 0-.08-.12-.16-.15-.8-.37.39-.23.16.19zm6.76 11.39c-.35-.08.28-.25 0 0zm-2.81.11c-.23 0 .18-.14 0 0zm-1.88-1.18c-.13 0 0-.13 0 0zm-.22-.17c-.36 0-.09-.23 0 0zm-.42-.36c-.33.08 0-.34 0 0zm-6-11.66c-.53-.28.11.33-.34.32-.24 0-.69-.52-.54-.78.3.16.83.21.92.5zm-.92-.81h-.11c-.03-.27.87-.06.15.04zm-1.02-.79c-.42-.19 0-.93.44-.58.51.73-.95-.08-.44.58zm.49.69c-.27.11.16-.21 0 0zm.48.92c.6.46-.34 0-.72.29-.61.06.66-.34.72-.29zm.17.6c.35 0 1.88-.69 1.37-.07.47.55.1.37-.21 0 .28.19.06 1-.37.45s-.5-.18-.17.21C5.8 6 4.88 6.28 4.21 6.5c-.43-.04 1.13-.37 1.29-.44zM3.58 7.51c-.16.4.24.53.62.6.62-.17-.41-.28.06-.44.26 0-.82-.26.08-.38S6.09 6.77 7 6.58a8.71 8.71 0 0 1 2.35-.19c.3.35-.23.94-.77 1a8.61 8.61 0 0 1-2 .39c-.8-.1-1.37.61-2.19.7.22-.4-.18-.14-.59-.16.21.82-1.47-.21-.48-.41-.74-.26-.75.52-.67 1-.65-.91.07-1.29.93-1.4zm1.88 1.08c-.22-.05.17-.2 0 0zm-.72.88s-.34-.08-.2-.17c1 .13-.9-.33.13-.22.66-.02.44.36.07.39zm.33.17c-.12.12 0-.24 0 0zm-.71 0c-.44-.09.21-.22 0 0zm-.06 1.43c-.07.1-.1-.08 0 0zM4 10.9c-.55-.19 0-.21 0 0zm-.12 1.28c-.26-.09-.25-.5-.37-.72-.38-1.03.41.4.33.72zm.23-1.49c-.69-.08-.05-.49 0 0zm0-.34h-.09c-.76-.35.45-.17-.02 0zM4 9.56c-.61-.31.58-.36 0 0zm.21.26c-.34.06.05-.32 0 0zm-1.3.07c-.12.08 0-.23 0 0zM3 9.75c-.16 0 0-.27 0 0zm.08-1c-.4-.1.33-.25 0 0zm.26 2c-.44-.1-.12-.65 0 0zm.3 1.25c.42.51.26.79.69 1.41-.56-.35-.67-1.2-1-1.75-.41-.9.23-.01.31.34zm4.09 6.38c-.34-.07-.57-.43-.81-.66a4.88 4.88 0 0 1-1.57-1.94 12.26 12.26 0 0 1-1.46-2.38c-.41-.92.25.07.35.42.21.68.88 1.07.94 1.52.74.42.36 1.12 1 1.31.27-.14.3.84.89.64-.33.15.13.33.3.61.35-.05 1.17.82.36.52zM4.62 12c-.31-.07.33-.11 0 0zm.2.47c-.55-.14.52-.2 0 0zm1.39.47c.4-.17.16.78.55.9.76 1-.53 0-1-.07.06 0-.38-.12-.19-.16-.14-.15-.45-.66.26-.32.6.24.65.06.38-.39zm1.31 1.76c0 .15-.72.13-.06.42-.38 0-.5 0 0 .3-.22.17.43.31.21.57.66.52-.12.13-.4-.08s-.85-.35-.75-.5c.81.42.21 0-.15-.3.65.16-.51-.48.1-.31.19.05-.27-.38.33-.07-.54-.52.47-.12.72-.07zm.48-.17c-.61-.14 0-.34 0 0zm-.17 2c-.61-.26.39 0 0 0zm-.45-.17c-.71-.23.52 0 0 0zm-.09.11c-.22 0 0-.17 0 0zm-1.08-1.55c-.72-.3-.2-.33 0 0zm-.54-.35c-.58-.33-.08-.33 0 0zm0 .65c-.57-.44-.07-.25 0 0zm-.15-1.34c-.35 0 .13-.2 0 0zM8 17.38c-.51-.15 0-.14 0 0zM7.63 17h-.16c-.68-.54.31-.38.75-.14.97.14-.22.39-.59.14zm2.7 1.65c-.68-.16.16-.27 0 0zm-.17-.52c-.05 0-.1-.11 0 0zm-.86-1.34c-.59-.14.21-.19.36 0 1.02.21-.06.26-.36 0zM9.51 18H9.4l-.13-.06c-.56-.59 1.19.28.24.06zm-.28.69c-.15.06 0-.14 0 0zm.29-1.19-.16-.05c-.66-.45.84.09.16 0zm.16 1.72c-.4-.16.26-.25 0 0zm.1-.74c-.58-.14.36-.13 0 0zm.13-.61c-.44-.06.05-.21 0 0zm-.72-.88c.46.06.47.27 0 .14-.09 0-.24 0-.28-.17-.76-.5.03-.25.28-.02zm-.06 2c-.2.12 0-.22 0 0zm-.31-.51c-.53 0 .4-.26 0 0zm-.12.35c-.26-.1.17-.08 0 0zm.3-.89c-.52-.14.25-.18 0 0zm-.16-.57c-.28 0-.06-.16 0 0zm-.54-.95c-.57-.46 1.18.38 0 0zm-.06.19c0 .06-.11-.09 0 0zm3.65 3.78a9.4 9.4 0 0 1-2.56-.85l-.33-.2c-.57-.31-.5-.38.06-.07.19.16 1.59.73.93.35-.29-.07-1.9-.95-.84-.57a20.92 20.92 0 0 0 2.74 1.21 7.14 7.14 0 0 0 2.43.15c.65.33 1.33-.08 2 .21a13.05 13.05 0 0 1-4.45-.23zm-1.25-.7c-.51-.09.16-.23 0 0zm.73-1c-.58-.11.6-.23 0 0zm.84 1.31c1 .37-.6.12-.93-.09-.92-.38.72.09.93.09zm-1.06-1.1c-.81-.12.9 0 0 0zm1.52.85c-.29.23 0-.3 0 0zm.29.05c-.15.06 0-.17 0 0zm.27-.38c-.16.2 0-.23 0 0zm.31 0c-.45.17.47-.39 0 0zm.14 0c.49-.42.51-.22.5.13-.43.48-.17-.2-.44.06s-.19-.1-.06-.17zm.83.45c-.5 0 .51-.54 0 0zm0 .18c-.2.05 0-.13 0 0zm-.16-.35c-.39-.26.94-.61 0 0zm.57.09h-.08c-.03-.23.54-.27.08.02zm.15.19c-.3-.08.47-.4 0 0zm.36-.1c-.51.18.48-.4 0 0zm.22.11-.12.08c-.23-.14.71-.48.12-.07zm-.43-.53c-.54-.18-1.4-.76-2-.37-.8.51.41-.56-.24-.08-.66.79-.45-.5-1.09-.11 0-.12-.57-.16-.17-.37-.36 0-.13-.05 0-.34-.16 0-1 0-1.36-.29-.4-.52.07-.3.48-.23-.67.12.24.5.68.29-.2-.09-.43-.52-1-.9a18.83 18.83 0 0 0-2.13-1c-.84-.5.18-.18.47.08.84.37.51-.51 0-.74-.54 0-.67-.59-1.1-.56.67.11 1.14-.17.39-.85s.3.63-.11.44c-.19-.25-.05-.6-.65-.73 0 .23-.08.58-.21.1-.17 0-.36.21-.5-.28.13-.57-.56-.7-.67-1.06.6.38.87.08.45-.33s-.33-.11-.49 0c-.59.6-.06-1-.32-.56-.26 0-.11.29-.1.65-.86-.21.2.45.45.81-.39-.4-.32.31-.65 0-.11-.3-.12-.77-.67-.85.62-.22-.36-.65-.28-.67.35-.32.4-.76.82-.07-.53 0 0 .88.12.6 0-.47.22-1-.59-1.19-.24.32-.65-.63-.18-.43-.06-.63.74-.26.69-.53.42-.18-.5-.77 0-1 .38.24 1.36-.36.7-.44.42 0 .75.18.79-.24.33.07 1.24.36.42-.09a3.45 3.45 0 0 1 1.13-.25c.79-.61.63 1 .45 1.45.3-.21.24.94.14 1.37.16-.22.23.36 0 .77 0-.07.4-.13.12.35-.22-.47-.31 1-.17.32.37-.61.28 0 .39.24.08.55.32 1.06.4 1.56.35.35-.15.11-.15.59.11-.14.58.19.57.68 0-.78.09-.18.47 0a5.48 5.48 0 0 0 1 1.42c.37.43 0 .13.14.39a3.19 3.19 0 0 0 2.09 1.28 1.46 1.46 0 0 0 1.57.7c-.6.37 1.68.47.91.67-.23.16-.62-.2-1.01-.18zM8.7 15.89c-.24 0 0-.2 0 0zm.38.22c-.18 0-.12-.24 0 0zm-2.61-3.65c-.24-.07.1-.09 0 0zm-.59 0c-.26 0 0-.34 0 0zm-.3-.08c-.1.05 0-.11 0 0zm11 8.25c-.39-.07.32-.22 0 0zm.73-1.05h-.3c.06-.45 1.33-.1 1.85-.09-.16.34-1.13.04-1.55.13zm2 0c-.27 0 .12-.32 0 0zm1-.09c-.25.13 0-.3 0 0zm.48.11c-.52-.12.24-.12.4-.14.94.02 0 .16-.47.16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.51 7.11c1-.2-.38-.33-.69 0 .18.13.41-.21.69 0zm-.82.13c0 .19.18-.22 0 0zm2.62-.48c.67-.11.11-.41-.09.1.07.14.11-.05.09-.1zm-.62.1c.93.36-.05-1 0 0zm-2.92.93a.51.51 0 0 1 .33.1c.75.52-.1-.84-.33-.1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.81 7.43c.16.13.29-.21 0 0zm.37.01.14.12c.68.06-.11-.46-.14-.12zM10.69 16c.09.3 0-.39 0 0zm-4.78-5c.1.18.18-.18 0 0zm.09.61c.19.34 0-.51 0 0zm.21-.49c.33.21-.28-.52 0 0zm-.41-.82c.07.15.24.16.37.2 1.32.61-.95-1-.37-.2zm.54 1.43c.31.53.18-.37 0 0zm.48-.16c.37.92-.23-.12-.3-.43a2.11 2.11 0 0 0 .28 1c.34.51.19-.28.55.23-.2-.45.1-.2.06-.4s-1-1.17-.59-.4zm.36 1.78c.09.31.18 0 0 0zM5.85 9c.06.14.11 0 0 0zm.67.42c.32.41.17-.36 0 0zm-.89 1.14.14.15c.99.29-1.05-.95-.14-.15zm.7-.37c1 .41-.09-.27-.44-.2.11.13.32.07.44.2zm-.18-.44c.18.18.82.51.31.06.32-.06 0-.16-.24-.32-.44-.67.07.06-.07.26z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.51 10.64c-.53-.44.16.21-.06.2-.55-.4-.32-.11 0 .2.33.5.6-.3.06-.4zm2.14.64c.12.11-.06-.68 0 0zm1.02-3.5c0 .12.07-.1 0 0zM9 12.47c0 .21 0-.18 0 0zm-.29-1.22c.08.46.1-.78 0 0zm.14.22v.1c.21-.51.04-.79 0-.1zm-.1-2.7c-.14.7-.27.41-.08-.11-.05-.67-.45.41-.27.72.29.47.6-1.16.35-.61zm1.01 5.98c.13.35.11-.42 0 0zm-1.35-4.28c0 .2 0-.14 0 0zm.64 1.7c0 .26.11-.38 0 0zM9.5 14l.07.11c.14-.49-.36-.79-.07-.11zm-1.56-.52c.27.22-.13-.23 0 0zm1.23-.85c.08.29 0-.42 0 0zM8.14 9.81c.15.33-.05-.27 0 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.3 10.23c.24.34-.26-.64 0 0zm-.06 1.15c-.13-1-.42.45 0 .69.13.34.25.2.3-.19-.32 0 .08-.62-.3-.5z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFlickrSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM8.747 14.746A2.745 2.745 0 1 1 11.494 12a2.744 2.744 0 0 1-2.747 2.746zm6.506 0a2.746 2.746 0 1 1-.001-5.493 2.746 2.746 0 0 1 .001 5.493z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFlickr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.157 12a4.573 4.573 0 1 1-9.147 0 4.573 4.573 0 0 1 9.147 0zm10.833 0a4.573 4.573 0 1 1-9.147 0 4.573 4.573 0 0 1 9.147 0z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFlutter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.9 2.01 3.9 12l3.09 3.09 2.71-2.7L20.09 2l-6.19.01zm.82 14.6 5.39-5.38h-5.93c-.11 0-.26 0-.34.07l-2.23 2.23-3.09 3.07 3.09 3.1 2.15 2.15c.07.07.14.17.26.15h6.07z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoFoursquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17.549 5.557-.403 2.113c-.049.229-.337.47-.605.47h-3.76c-.425 0-.729.296-.729.714v.463c0 .43.307.725.73.725h3.194c.298 0 .591.33.524.646l-.404 2.083c-.037.181-.237.475-.592.475h-2.609c-.477 0-.617.069-.936.454-.316.395-3.175 3.827-3.175 3.827-.026.033-.054.022-.054-.011V5.522c0-.271.234-.588.586-.588h7.756c.283 0 .549.269.477.618v.005zm.341 8.288c.111-.437 1.319-6.63 1.722-8.593m-1.489-2.311H8.457c-1.33 0-1.723 1.002-1.723 1.635v15.353c0 .71.381.975.596 1.062.214.087.807.161 1.163-.247 0 0 4.563-5.296 4.64-5.373.125-.118.125-.118.238-.118h2.954c1.245 0 1.438-.885 1.574-1.405.114-.429 1.325-6.622 1.721-8.595.307-1.496-.079-2.311-1.495-2.311h-.002z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.62 11.108-8.731-8.729a1.292 1.292 0 0 0-1.823 0L9.257 4.19l2.299 2.3a1.532 1.532 0 0 1 1.939 1.95l2.214 2.217a1.53 1.53 0 0 1 1.583 2.531c-.599.6-1.566.6-2.166 0a1.536 1.536 0 0 1-.337-1.662l-2.074-2.063V14.9c.146.071.286.169.407.29a1.537 1.537 0 0 1 0 2.166 1.536 1.536 0 0 1-2.174 0 1.528 1.528 0 0 1 0-2.164c.152-.15.322-.264.504-.339v-5.49a1.529 1.529 0 0 1-.83-2.008l-2.26-2.271-5.987 5.982c-.5.504-.5 1.32 0 1.824l8.731 8.729a1.286 1.286 0 0 0 1.821 0l8.69-8.689a1.284 1.284 0 0 0 .003-1.822\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGithub (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"fillRule\":\"evenodd\",\"clipRule\":\"evenodd\",\"d\":\"M12.026 2c-5.509 0-9.974 4.465-9.974 9.974 0 4.406 2.857 8.145 6.821 9.465.499.09.679-.217.679-.481 0-.237-.008-.865-.011-1.696-2.775.602-3.361-1.338-3.361-1.338-.452-1.152-1.107-1.459-1.107-1.459-.905-.619.069-.605.069-.605 1.002.07 1.527 1.028 1.527 1.028.89 1.524 2.336 1.084 2.902.829.091-.645.351-1.085.635-1.334-2.214-.251-4.542-1.107-4.542-4.93 0-1.087.389-1.979 1.024-2.675-.101-.253-.446-1.268.099-2.64 0 0 .837-.269 2.742 1.021a9.582 9.582 0 0 1 2.496-.336 9.554 9.554 0 0 1 2.496.336c1.906-1.291 2.742-1.021 2.742-1.021.545 1.372.203 2.387.099 2.64.64.696 1.024 1.587 1.024 2.675 0 3.833-2.33 4.675-4.552 4.922.355.308.675.916.675 1.846 0 1.334-.012 2.41-.012 2.737 0 .267.178.577.687.479C19.146 20.115 22 16.379 22 11.974 22 6.465 17.535 2 12.026 2z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGitlab (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.892 9.889a.664.664 0 0 0-.025-.087l-2.104-6.479a.84.84 0 0 0-.8-.57.822.822 0 0 0-.789.575l-2.006 6.175H8.834L6.826 3.327a.823.823 0 0 0-.786-.575h-.006a.837.837 0 0 0-.795.575L3.133 9.815c0 .005-.005.01-.007.016l-1.067 3.281a1.195 1.195 0 0 0 .435 1.34l9.227 6.706c.167.121.393.12.558-.003l9.229-6.703a1.2 1.2 0 0 0 .435-1.34l-1.051-3.223zM17.97 3.936l1.809 5.566H16.16l1.81-5.566zm-11.94 0 1.812 5.566H4.228L6.03 3.936zm-2.982 9.752a.253.253 0 0 1-.093-.284l.793-2.437 5.817 7.456-6.517-4.735zm1.499-3.239h3.601l2.573 7.916-6.174-7.916zm7.452 8.794-2.856-8.798h5.718l-1.792 5.515-1.07 3.283zm1.282-.877 2.467-7.588.106-.329h3.604l-5.586 7.156-.591.761zm7.671-4.678-6.519 4.733.022-.029 5.794-7.425.792 2.436a.25.25 0 0 1-.089.285z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGmail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18.73 5.41-1.28 1L12 10.46 6.55 6.37l-1.28-1A2 2 0 0 0 2 7.05v11.59A1.36 1.36 0 0 0 3.36 20h3.19v-7.72L12 16.37l5.45-4.09V20h3.19A1.36 1.36 0 0 0 22 18.64V7.05a2 2 0 0 0-3.27-1.64z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGoLang (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.79 10.17a.18.18 0 0 0-.11 0l-.2.27H7a.15.15 0 0 0 .1-.06l.17-.26v-.05zm-1.47.89a.14.14 0 0 0-.11 0l-.2.26v.05h4.6a.09.09 0 0 0 .09-.06l.08-.23v-.06zM4.6 12a.13.13 0 0 0-.1.06l-.13.24v.06h2.15a.08.08 0 0 0 .07-.07v-.23s0-.07-.06-.07zm15.99-3.07a3.62 3.62 0 0 0-2.78-.5 4.09 4.09 0 0 0-2.72 1.63 4 4 0 0 0-.67 1.26h-3.14a.25.25 0 0 0-.24.16c-.14.25-.37.76-.5 1.06s0 .29.18.29h1.88a2.8 2.8 0 0 1-.26.36 1.81 1.81 0 0 1-1.65.65 1.53 1.53 0 0 1-1.32-1.53 2.07 2.07 0 0 1 1-1.85 1.71 1.71 0 0 1 1.77-.15 1.36 1.36 0 0 1 .45.37c.13.15.14.14.29.1l1.63-.43c.12 0 .16-.08.1-.16a3 3 0 0 0-1.13-1.38 3.35 3.35 0 0 0-2.58-.47A4.31 4.31 0 0 0 8.16 10a3.81 3.81 0 0 0-.82 2.85A3 3 0 0 0 8.57 15a3.46 3.46 0 0 0 2.62.65A4.06 4.06 0 0 0 14 14a4.33 4.33 0 0 0 .41-.69 3 3 0 0 0 1 1.55 3.68 3.68 0 0 0 2.38.86c.25 0 .51 0 .78-.09a4.51 4.51 0 0 0 2.33-1.25A3.72 3.72 0 0 0 22 11.1a3 3 0 0 0-1.41-2.17zm-1.78 4.73a1.81 1.81 0 0 1-1.59.06 1.61 1.61 0 0 1-.9-1.84A2.12 2.12 0 0 1 18 10.19a1.59 1.59 0 0 1 2 1.29 2.91 2.91 0 0 1 0 .32 2.11 2.11 0 0 1-1.19 1.86z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGoogleCloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.511 9.722a7.833 7.833 0 0 0-2.359-3.804l-.035.035.005-.042A7.81 7.81 0 0 0 4.418 9.722c.031-.013.066-.013.099-.023a5.643 5.643 0 0 0-.306 9.166l.006-.006-.006.024a5.612 5.612 0 0 0 3.407 1.134h4.321l.024.024h4.341a5.644 5.644 0 0 0 3.207-10.319zm-3.206 6.845h-4.341l-.006.006v-.031h-4.34c-.308 0-.611-.066-.892-.193l.002-.001a2.17 2.17 0 1 1 2.87-2.871l2.518-2.518a5.634 5.634 0 0 0-3.396-2.1c.018-.009.035-.024.05-.021a4.334 4.334 0 0 1 5.931-.451h.046a4.334 4.334 0 0 1 1.558 3.407v.433a2.17 2.17 0 1 1 0 4.34z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGooglePlusCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22.014 11.974C21.996 6.462 17.521 2 12.008 2 6.493 2 2.019 6.462 2.001 11.974L2 11.948v.112l.001-.023c.017 5.513 4.491 9.977 10.007 9.977 5.514 0 9.988-4.462 10.006-9.974l.001.026v-.118l-.001.026zM9.281 16.557c-2.509 0-4.548-2.039-4.548-4.549s2.039-4.549 4.548-4.549c1.23 0 2.258.451 3.046 1.188l-1.295 1.255c-.325-.309-.899-.673-1.751-.673-1.505 0-2.733 1.251-2.733 2.785 0 1.533 1.229 2.784 2.733 2.784 1.742 0 2.384-1.206 2.502-1.92H9.279V11.18h4.255c.066.286.115.554.115.932 0 2.597-1.742 4.445-4.368 4.445zm10.458-4.095H17.92v1.819h-1.364v-1.819h-1.82v-1.364h1.82v-1.82h1.364v1.82h1.819v1.364z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGooglePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.545 15.025v-2.421h2.422v-1.815h-2.422V8.368H17.73v2.421h-2.421v1.815h2.421v2.421zM8.052 8.302c1.133 0 1.896.484 2.33.896l1.722-1.67c-1.048-.98-2.415-1.58-4.052-1.58C4.714 5.947 2 8.659 2 12s2.714 6.053 6.052 6.053c3.493 0 5.812-2.457 5.812-5.913 0-.503-.064-.86-.153-1.24H8.049v2.256h3.332c-.158.951-1.011 2.556-3.329 2.556-2.003 0-3.637-1.665-3.637-3.704 0-2.042 1.634-3.706 3.637-3.706z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGoogle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.283 10.356h-8.327v3.451h4.792c-.446 2.193-2.313 3.453-4.792 3.453a5.27 5.27 0 0 1-5.279-5.28 5.27 5.27 0 0 1 5.279-5.279c1.259 0 2.397.447 3.29 1.178l2.6-2.599c-1.584-1.381-3.615-2.233-5.89-2.233a8.908 8.908 0 0 0-8.934 8.934 8.907 8.907 0 0 0 8.934 8.934c4.467 0 8.529-3.249 8.529-8.934 0-.528-.081-1.097-.202-1.625z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoGraphql (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.1 14.56a2.07 2.07 0 0 0-.47-.18V9.62a1.64 1.64 0 0 0 .48-.18 1.78 1.78 0 0 0-1.78-3.09 1.62 1.62 0 0 0-.41.32l-4.11-2.38a1.7 1.7 0 0 0 .07-.51 1.78 1.78 0 0 0-3.56 0 1.7 1.7 0 0 0 .07.51L6.28 6.66a1.58 1.58 0 0 0-.41-.31 1.78 1.78 0 0 0-1.78 3.09 1.64 1.64 0 0 0 .48.18v4.76a2.07 2.07 0 0 0-.47.18 1.78 1.78 0 1 0 1.78 3.09 1.72 1.72 0 0 0 .4-.31l4.11 2.37a1.7 1.7 0 0 0-.07.51 1.78 1.78 0 0 0 3.56 0 1.69 1.69 0 0 0-.09-.56l4.09-2.36a1.7 1.7 0 0 0 .44.35 1.78 1.78 0 1 0 1.78-3.09zM6.72 15.69a1.72 1.72 0 0 0-.19-.47 1.53 1.53 0 0 0-.31-.4l5.38-9.33a1.82 1.82 0 0 0 1 0l5.4 9.33a1.53 1.53 0 0 0-.31.4 1.72 1.72 0 0 0-.19.47zM17.5 7.4a1.81 1.81 0 0 0 .17 1.38 1.75 1.75 0 0 0 1.12.84v4.76h-.07l-5.39-9.31.05-.07zM10.82 5a.12.12 0 0 0 0 .05L5.48 14.4h-.07V9.62a1.75 1.75 0 0 0 1.12-.84A1.81 1.81 0 0 0 6.7 7.4zm2.6 14a1.78 1.78 0 0 0-1.32-.58 1.75 1.75 0 0 0-1.28.54L6.7 16.6v-.06h10.78v.11z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoHeroku (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.17 2H4.83A1.79 1.79 0 0 0 3 3.8v16.4A1.79 1.79 0 0 0 4.83 22h14.34A1.8 1.8 0 0 0 21 20.2V3.8A1.8 1.8 0 0 0 19.17 2zM20 20.2a.8.8 0 0 1-.81.8H4.83a.79.79 0 0 1-.8-.8V3.8a.79.79 0 0 1 .8-.8h14.34a.8.8 0 0 1 .81.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7.53 19 2.25-2-2.25-2v4zm5.69-9a12 12 0 0 0-3.75.7V5h-2v8.65L8.88 13a12.3 12.3 0 0 1 4.29-1c1 0 1.25.55 1.25 1.05v6h2V13a2.68 2.68 0 0 0-.8-2.1 3.27 3.27 0 0 0-2.4-.9zM13 8.25h2A5.89 5.89 0 0 0 16.47 5h-2A7.17 7.17 0 0 1 13 8.25z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoHtml5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.136 3.012h15.729l-1.431 16.15-6.451 1.826-6.414-1.826-1.433-16.15zm5.266 7.302-.173-2.035 7.533.002.173-1.963-9.87-.002.522 5.998h6.835l-.243 2.566-2.179.602-2.214-.605-.141-1.58H7.691l.247 3.123L12 17.506l4.028-1.08.558-6.111H9.402v-.001z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoImdb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.646 10.237c-.057-.032-.16-.048-.313-.048v3.542c.201 0 .324-.041.371-.122s.07-.301.07-.66v-2.092c0-.244-.008-.4-.023-.469a.223.223 0 0 0-.105-.151zm3.499 1.182c-.082 0-.137.031-.162.091-.025.061-.037.214-.037.46v1.426c0 .237.014.389.041.456.029.066.086.1.168.1.086 0 .199-.035.225-.103.027-.069.039-.234.039-.495V11.97c0-.228-.014-.377-.043-.447-.032-.069-.147-.104-.231-.104z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM6.631 14.663H5.229V9.266h1.402v5.397zm4.822 0H10.23l-.006-3.643-.49 3.643h-.875L8.342 11.1l-.004 3.563H7.111V9.266H8.93c.051.327.107.71.166 1.15l.201 1.371.324-2.521h1.832v5.397zm3.664-1.601c0 .484-.027.808-.072.97a.728.728 0 0 1-.238.383.996.996 0 0 1-.422.193c-.166.037-.418.055-.754.055h-1.699V9.266h1.047c.678 0 1.07.031 1.309.093.24.062.422.164.545.306.125.142.203.3.234.475.031.174.051.516.051 1.026v1.896zm3.654.362c0 .324-.023.565-.066.723a.757.757 0 0 1-.309.413.947.947 0 0 1-.572.174c-.158 0-.365-.035-.502-.104a1.144 1.144 0 0 1-.377-.312l-.088.344h-1.262V9.266h1.35v1.755a1.09 1.09 0 0 1 .375-.289c.137-.064.344-.096.504-.096.186 0 .348.029.484.087a.716.716 0 0 1 .44.549c.016.1.023.313.023.638v1.514z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoInstagramAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.947 8.305a6.53 6.53 0 0 0-.419-2.216 4.61 4.61 0 0 0-2.633-2.633 6.606 6.606 0 0 0-2.186-.42c-.962-.043-1.267-.055-3.709-.055s-2.755 0-3.71.055a6.606 6.606 0 0 0-2.185.42 4.607 4.607 0 0 0-2.633 2.633 6.554 6.554 0 0 0-.419 2.185c-.043.963-.056 1.268-.056 3.71s0 2.754.056 3.71c.015.748.156 1.486.419 2.187a4.61 4.61 0 0 0 2.634 2.632 6.584 6.584 0 0 0 2.185.45c.963.043 1.268.056 3.71.056s2.755 0 3.71-.056a6.59 6.59 0 0 0 2.186-.419 4.615 4.615 0 0 0 2.633-2.633c.263-.7.404-1.438.419-2.187.043-.962.056-1.267.056-3.71-.002-2.442-.002-2.752-.058-3.709zm-8.953 8.297c-2.554 0-4.623-2.069-4.623-4.623s2.069-4.623 4.623-4.623a4.623 4.623 0 0 1 0 9.246zm4.807-8.339a1.077 1.077 0 0 1-1.078-1.078 1.077 1.077 0 1 1 2.155 0c0 .596-.482 1.078-1.077 1.078z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.994\",\"cy\":\"11.979\",\"r\":\"3.003\"},\"child\":[]}]})(props);\n};\nexport function BiLogoInstagram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.999 7.377a4.623 4.623 0 1 0 0 9.248 4.623 4.623 0 0 0 0-9.248zm0 7.627a3.004 3.004 0 1 1 0-6.008 3.004 3.004 0 0 1 0 6.008z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.806\",\"cy\":\"7.207\",\"r\":\"1.078\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.533 6.111A4.605 4.605 0 0 0 17.9 3.479a6.606 6.606 0 0 0-2.186-.42c-.963-.042-1.268-.054-3.71-.054s-2.755 0-3.71.054a6.554 6.554 0 0 0-2.184.42 4.6 4.6 0 0 0-2.633 2.632 6.585 6.585 0 0 0-.419 2.186c-.043.962-.056 1.267-.056 3.71 0 2.442 0 2.753.056 3.71.015.748.156 1.486.419 2.187a4.61 4.61 0 0 0 2.634 2.632 6.584 6.584 0 0 0 2.185.45c.963.042 1.268.055 3.71.055s2.755 0 3.71-.055a6.615 6.615 0 0 0 2.186-.419 4.613 4.613 0 0 0 2.633-2.633c.263-.7.404-1.438.419-2.186.043-.962.056-1.267.056-3.71s0-2.753-.056-3.71a6.581 6.581 0 0 0-.421-2.217zm-1.218 9.532a5.043 5.043 0 0 1-.311 1.688 2.987 2.987 0 0 1-1.712 1.711 4.985 4.985 0 0 1-1.67.311c-.95.044-1.218.055-3.654.055-2.438 0-2.687 0-3.655-.055a4.96 4.96 0 0 1-1.669-.311 2.985 2.985 0 0 1-1.719-1.711 5.08 5.08 0 0 1-.311-1.669c-.043-.95-.053-1.218-.053-3.654 0-2.437 0-2.686.053-3.655a5.038 5.038 0 0 1 .311-1.687c.305-.789.93-1.41 1.719-1.712a5.01 5.01 0 0 1 1.669-.311c.951-.043 1.218-.055 3.655-.055s2.687 0 3.654.055a4.96 4.96 0 0 1 1.67.311 2.991 2.991 0 0 1 1.712 1.712 5.08 5.08 0 0 1 .311 1.669c.043.951.054 1.218.054 3.655 0 2.436 0 2.698-.043 3.654h-.011z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoInternetExplorer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.154 8.514c.85-2.039.906-3.738-.113-4.757-1.133-1.132-3.852-.792-6.737.565h-.341c-2.037 0-4.02.738-5.492 2.039-1.244 1.133-2.15 2.605-2.547 4.246.283-.337 1.869-2.207 3.68-3.226.058 0 .51-.283.51-.283-.057 0-.905.85-1.074 1.02-3.965 4.076-6.285 10.248-4.473 12.059 1.188 1.189 3.34.906 5.831-.452 1.075.51 2.265.735 3.565.735 1.699 0 3.285-.453 4.643-1.359 1.416-.906 2.436-2.319 3.059-3.962h-4.416c-.566 1.075-1.869 1.812-3.227 1.812-1.926 0-3.512-1.586-3.568-3.397v-.169h11.551v-.17c0-.283.057-.623.057-.848-.001-1.362-.341-2.664-.908-3.853zM4.811 19.838c-.906-.906-.621-2.662.453-4.756.51 1.416 1.359 2.662 2.435 3.567.341.282.735.565 1.133.792-1.814.963-3.285 1.133-4.021.397zm11.606-8.606H9.51v-.057c.113-1.756 1.754-3.285 3.681-3.285 1.812 0 3.284 1.416 3.397 3.285v.057h-.171zm3.454-3.171a6.948 6.948 0 0 0-1.303-1.585 8.445 8.445 0 0 0-2.718-1.699c1.813-.849 3.341-.961 4.134-.169.623.736.567 1.981-.113 3.453 0 .057 0 .057 0 0 0 .057 0 .057 0 0z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoInvision (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.361 3.008H4.638c-.901 0-1.63.729-1.63 1.63v14.724c0 .9.729 1.631 1.63 1.631h14.724c.9 0 1.631-.73 1.631-1.631V4.638a1.63 1.63 0 0 0-1.632-1.63zM9.018 6.505c.597 0 1.098.472 1.098 1.078 0 .616-.501 1.08-1.098 1.08v.022c-.604 0-1.09-.486-1.09-1.088 0-.605.486-1.092 1.09-1.092zm7.354 10.352c-1.112 0-1.651-.662-1.651-1.566 0-.26.033-.533.114-.812l.528-1.906c.068-.208.086-.405.086-.581 0-.615-.375-.984-.971-.984-.761 0-1.26.543-1.52 1.598l-1.033 4.146h-1.811l.327-1.303c-.534.873-1.271 1.412-2.183 1.412-1.102 0-1.617-.632-1.617-1.584a4.02 4.02 0 0 1 .096-.811l.826-3.366H6.285l.388-1.43h3.075l-1.216 4.804c-.08.309-.11.559-.11.738 0 .307.148.396.385.452.146.03 1.295.011 1.918-1.376l.798-3.188h-1.294l.391-1.404h2.787l-.359 1.617c.484-.899 1.452-1.762 2.406-1.762 1.012 0 1.855.728 1.855 2.111 0 .4-.063.796-.18 1.18l-.52 1.858a2.286 2.286 0 0 0-.075.492c0 .326.136.484.368.484.238 0 .553-.181.899-1.172l.708.272c-.414 1.473-1.182 2.081-2.137 2.081z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoJava (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.37 17.51c-3.09.86 1.87 2.64 5.8 1a7.18 7.18 0 0 1-1.1-.54 11.59 11.59 0 0 1-4.16.18c-1.31-.15-.54-.64-.54-.64zm5.33-1.68a16.27 16.27 0 0 1-5.36.26c-1.31-.14-.45-.77-.45-.77-3.4 1.12 1.89 2.4 6.64 1a2.25 2.25 0 0 1-.83-.49zm1.82-9.73s-6.87 1.71-3.59 5.49a1.47 1.47 0 0 1-.25 2.12s2.46-1.27 1.33-2.86-1.86-2.22 2.51-4.75z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.13 19.14s.56.46-.63.82c-2.27.69-9.43.9-11.42 0-.72-.31.62-.74 1-.83a2.54 2.54 0 0 1 .69-.08c-.8-.56-5.15 1.1-2.21 1.58 8.05 1.37 14.64-.56 12.57-1.49zm-2.76-4.88a7 7 0 0 1 .92-.49s-1.51.27-3 .4a28.11 28.11 0 0 1-4.82.05C6.09 13.91 9.74 13 9.74 13a6.81 6.81 0 0 0-3.16.75c-2.06 1.03 5.09 1.48 8.79.51zm.91 2.44a.39.39 0 0 1-.08.09c5-1.32 3.18-4.65.78-3.81a.71.71 0 0 0-.33.25s.14-.05.43-.12c1.22-.25 2.92 1.63-.8 3.59zM12 13c-.74-1.67-3.26-3.14 0-5.71C16.09 4.08 14 2 14 2c.84 3.31-3 4.31-4.34 6.37-.93 1.41.47 2.92 2.34 4.63z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.41 21.32a29.75 29.75 0 0 1-9.14.14s.46.38 2.84.53c3.61.23 9.15-.12 9.29-1.83 0 0-.26.64-2.99 1.16z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoJavascript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3h18v18H3V3zm16.525 13.707c-.131-.821-.666-1.511-2.252-2.155-.552-.259-1.165-.438-1.349-.854-.068-.248-.078-.382-.034-.529.113-.484.687-.629 1.137-.495.293.09.563.315.732.676.775-.507.775-.507 1.316-.844-.203-.314-.304-.451-.439-.586-.473-.528-1.103-.798-2.126-.775l-.528.067c-.507.124-.991.395-1.283.754-.855.968-.608 2.655.427 3.354 1.023.765 2.521.933 2.712 1.653.18.878-.652 1.159-1.475 1.058-.607-.136-.945-.439-1.316-1.002l-1.372.788c.157.359.337.517.607.832 1.305 1.316 4.568 1.249 5.153-.754.021-.067.18-.528.056-1.237l.034.049zm-6.737-5.434h-1.686c0 1.453-.007 2.898-.007 4.354 0 .924.047 1.772-.104 2.033-.247.517-.886.451-1.175.359-.297-.146-.448-.349-.623-.641-.047-.078-.082-.146-.095-.146l-1.368.844c.229.473.563.879.994 1.137.641.383 1.502.507 2.404.305.588-.17 1.095-.519 1.358-1.059.384-.697.302-1.553.299-2.509.008-1.541 0-3.083 0-4.635l.003-.042z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoJoomla (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15.539 14.059-1.874 1.875-1.777 1.777-.347.35a3.993 3.993 0 0 1-3.785 1.048A2.41 2.41 0 0 1 3 18.567c0-1.138.792-2.092 1.852-2.342a3.993 3.993 0 0 1 1.047-3.811l.135-.135 1.777 1.778-.138.135a1.48 1.48 0 0 0 0 2.092 1.462 1.462 0 0 0 2.09 0l.349-.349 1.775-1.778 1.877-1.879 1.775 1.781zm.693 4.988a3.986 3.986 0 0 1-3.996-.988l-.135-.139 1.773-1.777.135.139a1.48 1.48 0 0 0 2.09 0 1.474 1.474 0 0 0-.002-2.086l-.35-.349-1.773-1.777-1.877-1.878 1.777-1.776 1.875 1.879 1.774 1.777.349.349a3.962 3.962 0 0 1 1.058 3.766 2.407 2.407 0 0 1-.336 4.79 2.392 2.392 0 0 1-2.352-1.924l-.01-.006zm-8.001-8.962 1.881-1.879 1.777-1.777.347-.346a3.972 3.972 0 0 1 3.949-1.002 2.408 2.408 0 1 1 2.699 2.716 3.98 3.98 0 0 1-1.012 3.925l-.137.139-1.777-1.777.139-.138a1.474 1.474 0 1 0-2.086-2.085l-.347.346-1.777 1.776-1.879 1.876-1.777-1.774zm-1.99 1.984-.346-.347a3.984 3.984 0 0 1-.999-3.965 2.414 2.414 0 0 1-1.874-2.35A2.41 2.41 0 0 1 5.43 3c1.197 0 2.19.875 2.378 2.019a3.99 3.99 0 0 1 3.734 1.061l.138.14-1.778 1.776-.137-.136a1.481 1.481 0 0 0-2.088 0 1.481 1.481 0 0 0-.004 2.092l.349.35 1.777 1.777 1.879 1.879-1.775 1.777-1.883-1.879-1.778-1.777v-.01h-.001z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoJquery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.345 6.935c-1.756 2.523-1.538 5.806-.196 8.487l.098.19c.021.04.041.082.063.121.012.024.026.047.039.069a7.842 7.842 0 0 0 .198.344l.073.12a9.14 9.14 0 0 0 .211.33 10.179 10.179 0 0 0 .221.319l.036.049c.063.088.129.175.196.261l.074.094.182.223.069.082c.082.097.167.194.252.289l.005.005c.004.004.007.006.01.011.083.091.17.181.256.271l.083.083.205.201.084.08c.092.087.186.172.281.256l.004.004.049.041c.083.073.169.145.255.215l.105.084a11.03 11.03 0 0 0 .565.424c.029.021.057.042.087.062l.024.017c.076.053.154.103.231.153.033.022.066.045.101.067a10.975 10.975 0 0 0 .886.509c.065.034.129.068.195.101l.045.022.08.039c.102.048.205.097.308.143l.066.029c.119.052.239.102.36.149l.088.035a13.895 13.895 0 0 0 .382.142c.125.044.252.085.38.124l.092.028c.128.039.256.085.39.11 8.492 1.548 10.958-5.102 10.958-5.102-2.072 2.698-5.748 3.41-9.232 2.618-.132-.03-.261-.071-.39-.109l-.097-.029a10.929 10.929 0 0 1-.755-.264l-.093-.036c-.12-.047-.239-.097-.356-.148l-.071-.031a11.932 11.932 0 0 1-.301-.14l-.087-.042c-.078-.038-.155-.079-.232-.118-.051-.027-.104-.053-.155-.082a8.294 8.294 0 0 1-.278-.156l-.094-.052a11.4 11.4 0 0 1-.363-.223l-.098-.065a10.557 10.557 0 0 1-.259-.172l-.083-.059c-.082-.058-.164-.116-.244-.176a11.921 11.921 0 0 1-.328-.255l-.099-.079c-.092-.076-.184-.152-.274-.231a12.01 12.01 0 0 1-.319-.288c-.028-.026-.055-.051-.081-.078a7.985 7.985 0 0 1-.208-.203l-.081-.081a10.76 10.76 0 0 1-.254-.269l-.012-.012a11.75 11.75 0 0 1-.258-.293l-.067-.081a10.313 10.313 0 0 1-.254-.313 11.855 11.855 0 0 1-.215-.286C3.864 11.825 3.17 8.186 4.715 5.198\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.794 4.809c-1.27 1.827-1.2 4.273-.21 6.205.166.324.353.639.561.938.191.273.401.597.654.816.092.101.187.199.284.295l.076.074c.094.092.19.182.291.271l.011.01.003.002c.111.097.224.19.34.281l.078.06a8.281 8.281 0 0 0 .366.268c.053.037.108.072.161.107.026.017.051.035.078.051a7.14 7.14 0 0 0 .301.184c.076.044.155.087.233.13.026.015.055.029.082.043.055.028.108.057.163.083a9.645 9.645 0 0 0 .365.171l.074.031c.093.039.186.077.281.113l.117.044c.086.032.171.06.256.089l.117.037c.121.038.243.085.37.107 6.556 1.086 8.068-3.961 8.068-3.961-1.364 1.964-4.006 2.902-6.825 2.17a7.866 7.866 0 0 1-.743-.232l-.118-.043a7.629 7.629 0 0 1-.353-.145 8.79 8.79 0 0 1-.344-.159c-.057-.028-.113-.058-.17-.087l-.099-.051a9.352 9.352 0 0 1-.533-.313l-.08-.053a7.65 7.65 0 0 1-.602-.435c-1.234-.974-2.213-2.306-2.678-3.815-.488-1.566-.382-3.323.462-4.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.379 3.221c-.749 1.102-.823 2.469-.304 3.686.548 1.292 1.671 2.304 2.981 2.785a3.85 3.85 0 0 0 .234.079c.077.024.152.053.233.067 3.62.699 4.601-1.857 4.862-2.234-.86 1.239-2.306 1.536-4.078 1.105a5.403 5.403 0 0 1-.939-.344 5.39 5.39 0 0 1-.895-.545c-1.585-1.204-2.573-3.501-1.536-5.372\"},\"child\":[]}]})(props);\n};\nexport function BiLogoJsfiddle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.58 11.375c.008-.117.018-.231.018-.35 0-3.243-2.668-5.871-5.959-5.871A5.966 5.966 0 0 0 8.26 8.502a2.732 2.732 0 0 0-1.674-.568c-1.521 0-2.752 1.21-2.752 2.704 0 .283.043.554.125.808a3.957 3.957 0 0 0-1.98 3.418c0 2.173 1.773 3.938 3.975 3.972h11.898c.074 0 .15.012.227.012 2.178 0 3.943-1.739 3.943-3.893a3.885 3.885 0 0 0-2.432-3.579h-.01v-.001zM7.355 15.842a2.329 2.329 0 0 1-.748-1.318 2.865 2.865 0 0 1-.053-.544c0-.74.27-1.347.809-1.827.537-.48 1.221-.722 2.049-.722.854 0 1.654.32 2.363.957.176.158.352.338.514.536l.912 1.071c.158.217.324.399.5.56.471.422.977.632 1.408.632.365 0 .67-.112.912-.336.209-.19.336-.45.363-.73l.008-.123a1.054 1.054 0 0 0-.055-.347 1.275 1.275 0 0 0-1.238-.838c-.453 0-.902.153-1.396.631l-.807-.95-.088-.108c.713-.691 1.414-.95 2.367-.95.838 0 1.525.237 2.037.712l.014.014c.539.474.801 1.089.801 1.842a2.6 2.6 0 0 1-.049.528 2.38 2.38 0 0 1-.74 1.301 2.685 2.685 0 0 1-1.428.677 4.505 4.505 0 0 1-.588.038c-.863 0-1.676-.313-2.365-.938a4.866 4.866 0 0 1-.537-.576l-.914-1.065a3.58 3.58 0 0 0-.488-.563c-.463-.425-.977-.626-1.428-.626-.129 0-.26.018-.389.051a1.19 1.19 0 0 0-.523.289c-.232.216-.365.52-.365.839 0 .324.113.6.352.825l.014.013c.25.227.563.338.926.338.463 0 .914-.162 1.4-.639l.801.938.088.099c-.725.703-1.428.965-2.342.965-.838 0-1.525-.238-2.051-.713l-.046.057z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoKickstarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10.198 9.127 3.309-4.799c.628-.902 1.434-1.354 2.427-1.354.806 0 1.506.287 2.097.861.59.574.885 1.26.885 2.059 0 .59-.155 1.113-.469 1.563l-2.983 4.34 3.649 4.627c.363.461.547 1 .547 1.617 0 .816-.286 1.518-.86 2.105a2.81 2.81 0 0 1-2.084.879c-.895 0-1.577-.291-2.047-.873L10.2 14.574v3.074c0 .879-.152 1.561-.457 2.049-.555.885-1.356 1.328-2.417 1.328-.963 0-1.711-.328-2.24-.977-.496-.602-.744-1.396-.744-2.387V6.258c0-.936.253-1.709.755-2.318.525-.643 1.255-.965 2.186-.965.887 0 1.624.322 2.216.965.329.357.537.717.625 1.082.053.227.079.646.079 1.266v2.84h-.005z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoKubernetes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10.013 13.738-2.254.387a4.475 4.475 0 0 0 1.753 2.231l.865-2.095a.27.27 0 0 0 .022-.228c-.022-.18-.204-.295-.386-.295zm.865-2.914c.205 0 .387-.159.387-.364l.114-2.277-.456.091a4.516 4.516 0 0 0-2.118 1.162l1.89 1.343c.069.022.115.045.183.045zm-1.002 1.48a.366.366 0 0 0-.045-.524l-1.685-1.503a4.797 4.797 0 0 0-.661 2.778l2.209-.637c.091-.023.137-.046.182-.114zm1.457.797.616.296.614-.296.16-.661-.433-.546h-.683l-.433.546zm1.344-2.436c.114.159.341.182.5.091l1.867-1.32a4.286 4.286 0 0 0-2.573-1.23l.137 2.3a.215.215 0 0 0 .069.159z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21.944 14.103-1.73-7.446c-.113-.364-.387-.66-.729-.842L12.541 2.49c-.182-.091-.387-.114-.569-.114s-.387 0-.569.045L4.457 5.769a1.22 1.22 0 0 0-.683.842l-1.708 7.492c-.068.387.023.774.25 1.093l4.805 5.943c.273.273.66.456 1.047.479h7.651c.41.045.797-.137 1.048-.479l4.805-5.943c.227-.319.318-.706.272-1.093zm-2.845.501c-.046 0-.068 0-.114-.023-.022-.023-.022-.023-.045-.023-.046 0-.068-.022-.092-.022-.091-.023-.159-.068-.25-.114a.32.32 0 0 1-.137-.045h-.022a3.91 3.91 0 0 0-.729-.205h-.022a.26.26 0 0 0-.182.068s0 .023-.023.023l-.183-.024a5.628 5.628 0 0 1-2.46 3.097l.068.182s-.022 0-.022.022a.264.264 0 0 0-.022.228c.091.228.205.455.364.66v.045a.396.396 0 0 1 .091.114.81.81 0 0 1 .159.228c.023.022.046.045.046.068 0 0 .022 0 .022.022a.582.582 0 0 1 .023.342.38.38 0 0 1-.205.25c-.068.022-.114.045-.183.045a.511.511 0 0 1-.433-.273c-.022 0-.022-.022-.022-.022-.022-.023-.022-.045-.046-.068-.045-.068-.068-.159-.091-.25l-.046-.137v-.022a3.816 3.816 0 0 0-.296-.706.353.353 0 0 0-.182-.137c0-.023 0-.023-.023-.023l-.091-.159c-.228.068-.479.159-.729.205-.41.114-.82.159-1.229.159a5.368 5.368 0 0 1-1.981-.364l-.091.182c0 .023 0 .023-.023.023a.35.35 0 0 0-.182.137c-.114.228-.228.455-.296.706l-.045.137c-.023.091-.068.159-.091.25-.022.023-.045.045-.045.068-.023 0-.023.022-.023.022a.508.508 0 0 1-.433.273.434.434 0 0 1-.159-.045.469.469 0 0 1-.182-.615c.023 0 .023-.023.023-.023.022-.023.022-.045.045-.068.068-.091.114-.182.159-.228s.068-.068.091-.114v-.023a3.73 3.73 0 0 0 .364-.66.268.268 0 0 0-.023-.228s-.022 0-.022-.022l.114-.16a3.578 3.578 0 0 1-.615-.41 5.493 5.493 0 0 1-1.867-2.664l-.205.022s0-.022-.023-.022a.256.256 0 0 0-.182-.068h-.022a4.015 4.015 0 0 0-.751.205h-.024c-.045 0-.091.023-.137.046-.068.022-.159.068-.25.091-.022 0-.091-.022-.091 0 0 .023 0 .023-.023.023-.045.023-.068.023-.114.023a.424.424 0 0 1-.456-.319.445.445 0 0 1 .364-.524c.023-.023.023-.023.046-.023.045 0 .068-.022.091-.022.091 0 .182-.023.273-.023.045-.022.091-.022.137-.022a4.2 4.2 0 0 0 .774-.137c.068-.046.137-.091.16-.16 0 0 .022 0 .022-.022l.182-.046c-.205-1.298.091-2.618.797-3.734.022-.045.045-.068.068-.114l-.131-.132a.106.106 0 0 1-.004.019v-.023l.004.004c.01-.065-.031-.145-.072-.186-.182-.182-.41-.319-.638-.455l-.136-.069a2.587 2.587 0 0 1-.251-.136c-.022 0-.068-.045-.068-.045s0-.023-.022-.023a.49.49 0 0 1-.092-.639c.068-.114.182-.159.319-.159a.54.54 0 0 1 .319.114l.023.023c.022.022.045.022.068.045.068.069.114.137.182.205.023.022.068.045.091.091.159.182.364.364.569.524.045.022.091.045.137.045.045 0 .068-.023.091-.023h.023l.137.091a5.426 5.426 0 0 1 2.801-1.594c.273-.046.523-.091.774-.114l.023-.182v-.045c.068-.045.091-.114.114-.182 0-.273 0-.524-.045-.774v-.023c0-.045 0-.091-.023-.137a1.129 1.129 0 0 1-.045-.273v-.113c0-.114.045-.228.137-.319.114-.114.25-.182.387-.159a.45.45 0 0 1 .387.478v.137c-.023.091-.023.182-.045.273 0 .045-.023.091-.023.136v.023c-.048.273-.048.524-.048.774.023.068.045.136.114.182v-.023l.023.182a5.84 5.84 0 0 1 2.96 1.184c.183.182.387.364.569.546l.183-.114h.022c.022.023.068.023.091.023.046 0 .091-.023.137-.045.205-.137.41-.319.569-.501.022-.023.068-.046.091-.091.046-.068.114-.137.183-.205.022 0 .045-.022.068-.045l.022-.023a.546.546 0 0 1 .318-.114c.114 0 .251.068.319.16.159.205.113.478-.091.637 0 .023.022.023 0 .046-.023.022-.046.022-.068.045-.092.045-.16.091-.251.137l-.137.068a4.104 4.104 0 0 0-.638.455c-.045.046-.068.137-.068.205v.023l-.136.137c.364.569.638 1.207.797 1.867.137.66.182 1.343.091 2.003l.182.046a.278.278 0 0 0 .16.159c.25.068.523.114.773.137h.023a.297.297 0 0 0 .137.022c.091 0 .182 0 .272.023.046 0 .092 0 .092.023 0 .022.022.022.045.022a.537.537 0 0 1 .41.479.49.49 0 0 1-.453.32z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.085 14.718a.352.352 0 0 0-.455.091l-1.116 2.027c.456.136.957.228 1.435.228.341 0 .66-.045.979-.114.159-.045.296-.068.433-.091l-1.093-1.981c-.069-.069-.115-.115-.183-.16zm3.644-4.441-1.708 1.548a.36.36 0 0 0-.091.16c-.046.205.068.41.273.455l2.163.615a4.375 4.375 0 0 0-.092-1.435 4.63 4.63 0 0 0-.545-1.343zm-2.073 3.484a.371.371 0 0 0-.205.433l.889 2.141a4.366 4.366 0 0 0 1.366-1.366c.182-.25.318-.547.433-.865l-2.277-.387a.634.634 0 0 0-.206.044z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoLess (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.142 10.843c0-.64.098-1.018.098-1.707 0-1.067-.393-1.411-1.263-1.411h-.641v.755h.196c.444 0 .542.147.542.689 0 .509-.049 1.018-.049 1.608 0 .755.246 1.05.739 1.165v.049c-.493.116-.739.411-.739 1.166 0 .591.049 1.067.049 1.608 0 .558-.114.705-.542.705v.017h-.196v.788h.641c.87 0 1.263-.344 1.263-1.411 0-.706-.098-1.067-.098-1.707 0-.345.213-.706.854-.739v-.853c-.642-.016-.854-.377-.854-.722zm-3.299 1.001c-.493-.196-.952-.312-.952-.64 0-.246.197-.395.558-.395.361 0 .689.148 1.05.411l.657-.87c-.409-.313-.968-.641-1.724-.641-1.115 0-1.871.641-1.871 1.544 0 .804.706 1.214 1.298 1.443.508.196 1.001.361 1.001.689 0 .246-.197.41-.641.41-.41 0-.821-.164-1.263-.509l-.657.952c.492.41 1.247.689 1.871.689 1.313 0 2.019-.689 2.019-1.592-.001-.9-.707-1.277-1.346-1.491zm-11.21 1.854c-.114 0-.262-.098-.262-.41V7.725H4.039c-.886 0-1.279.344-1.279 1.411 0 .706.099 1.101.099 1.707 0 .345-.213.706-.854.739v.853c.641.017.854.378.854.723 0 .606-.099.968-.099 1.674 0 1.067.394 1.411 1.264 1.411h.64v-.755h-.197c-.411 0-.542-.164-.542-.706 0-.541.049-1.001.049-1.607 0-.756-.245-1.05-.738-1.165v-.051c.493-.114.738-.409.738-1.165 0-.59-.049-1.066-.049-1.607 0-.542.114-.689.542-.689h.442v4.711c0 1.001.345 1.657 1.346 1.657.313 0 .559-.05.739-.115l-.165-1.066c-.098.013-.146.013-.196.013zm7.238-1.854c-.509-.196-.969-.312-.969-.64 0-.246.197-.395.558-.395.361 0 .689.148 1.051.411l.656-.87c-.41-.313-.968-.641-1.723-.641-1.116 0-1.872.641-1.872 1.544 0 .804.707 1.214 1.297 1.443.51.196 1.002.361 1.002.689 0 .246-.197.41-.641.41-.41 0-.82-.164-1.264-.509l-.64.952c.492.41 1.247.689 1.871.689 1.313 0 2.019-.689 2.019-1.592.001-.9-.705-1.277-1.345-1.491zm-4.842-2.15c-1.198 0-2.347 1.001-2.314 2.577 0 1.624 1.066 2.576 2.479 2.576.591 0 1.247-.214 1.756-.558l-.492-.87c-.36.213-.706.312-1.066.312-.657 0-1.165-.312-1.297-1.066h2.971c.017-.115.049-.345.049-.607.016-1.33-.707-2.364-2.086-2.364zm-.935 2.068c.099-.655.492-.969.951-.969.592 0 .821.411.821.969H8.094z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoLinkedinSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zM8.339 18.337H5.667v-8.59h2.672v8.59zM7.003 8.574a1.548 1.548 0 1 1 0-3.096 1.548 1.548 0 0 1 0 3.096zm11.335 9.763h-2.669V14.16c0-.996-.018-2.277-1.388-2.277-1.39 0-1.601 1.086-1.601 2.207v4.248h-2.667v-8.59h2.56v1.174h.037c.355-.675 1.227-1.387 2.524-1.387 2.704 0 3.203 1.778 3.203 4.092v4.71z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoLinkedin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"4.983\",\"cy\":\"5.009\",\"r\":\"2.188\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.237 8.855v12.139h3.769v-6.003c0-1.584.298-3.118 2.262-3.118 1.937 0 1.961 1.811 1.961 3.218v5.904H21v-6.657c0-3.27-.704-5.783-4.526-5.783-1.835 0-3.065 1.007-3.568 1.96h-.051v-1.66H9.237zm-6.142 0H6.87v12.139H3.095z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMagento (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 22.019-3.717-2.146V9.863l2.479-1.43v10.01l1.238.753 1.238-.753V8.434l2.479 1.43v10.01L12 22.019zm8.666-15.014v10.009l-2.475 1.43V8.434L12 4.861 5.807 8.434v10.01l-2.473-1.43V7.005L12 2l8.666 5.005z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMailchimp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.279 11.506c.132-.016.257-.018.373 0 .066-.154.078-.419.019-.708-.09-.429-.211-.688-.461-.646-.251.04-.261.35-.17.779.05.24.14.446.239.575zm-2.149.339c.18.078.29.129.331.086.029-.028.021-.084-.022-.154a1.05 1.05 0 0 0-.464-.371 1.26 1.26 0 0 0-1.228.146c-.119.088-.232.209-.218.283.007.023.023.042.065.05.099.011.444-.164.843-.188.282-.02.513.068.693.148zm-.361.205c-.232.037-.361.113-.443.187-.071.062-.113.128-.113.177l.018.042.037.014c.053 0 .171-.046.171-.046.324-.115.539-.102.752-.078.117.014.172.02.198-.02.007-.012.018-.035-.007-.074-.056-.091-.291-.24-.613-.202zm1.784.756c.159.078.333.046.39-.069.059-.115-.024-.272-.183-.349-.158-.079-.333-.049-.39.066-.057.115.026.274.183.352zm1.018-.891c-.128-.002-.234.138-.238.316-.003.177.1.321.229.322.129.002.235-.139.238-.315s-.099-.32-.229-.323zm-8.644 3.183c-.032-.04-.085-.029-.136-.015-.036.007-.076.017-.119.016a.265.265 0 0 1-.221-.111c-.059-.09-.056-.225.01-.378l.03-.069c.104-.231.275-.619.082-.988a.88.88 0 0 0-.671-.488.861.861 0 0 0-.739.267c-.284.313-.327.741-.273.893.021.056.053.071.075.074.048.007.119-.029.164-.15l.014-.038c.02-.064.057-.184.118-.278a.518.518 0 0 1 .717-.15c.2.131.275.375.19.608-.044.121-.115.351-.1.54.032.383.27.537.48.556.206.007.35-.108.387-.193.021-.053.003-.084-.008-.096z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.821 14.397c-.009-.029-.061-.216-.13-.44l-.144-.384c.281-.423.286-.799.249-1.013a1.284 1.284 0 0 0-.372-.724c-.222-.232-.677-.472-1.315-.651l-.335-.093c-.002-.015-.018-.79-.031-1.123-.011-.24-.031-.616-.148-.986-.14-.502-.381-.938-.684-1.221.835-.864 1.355-1.817 1.354-2.634-.003-1.571-1.933-2.049-4.312-1.063l-.503.214c-.002-.002-.911-.894-.924-.905-2.714-2.366-11.192 7.06-8.48 9.349l.593.501a2.916 2.916 0 0 0-.166 1.345c.065.631.389 1.234.915 1.701.5.442 1.159.724 1.796.723 1.055 2.432 3.465 3.922 6.291 4.007 3.032.09 5.576-1.333 6.644-3.889.069-.179.365-.987.365-1.7-.001-.718-.406-1.015-.663-1.014zM7.416 16.309a1.38 1.38 0 0 1-.28.021c-.916-.026-1.905-.85-2.003-1.827-.109-1.08.443-1.912 1.421-2.108.116-.025.258-.038.41-.031.548.032 1.354.452 1.539 1.645.164 1.055-.096 2.132-1.087 2.3zm-1.021-4.562a2.325 2.325 0 0 0-1.473.94c-.197-.164-.562-.48-.626-.604-.524-.994.571-2.928 1.337-4.02 1.889-2.698 4.851-4.739 6.223-4.371.222.064.96.921.96.921s-1.37.759-2.642 1.819c-1.711 1.32-3.006 3.236-3.779 5.315zm9.611 4.158a.05.05 0 0 0 .03-.054.05.05 0 0 0-.056-.045s-1.434.212-2.789-.283c.147-.479.541-.308 1.134-.259a8.287 8.287 0 0 0 2.735-.296c.613-.177 1.419-.524 2.045-1.018.212.465.286.975.286.975s.163-.029.3.055c.13.08.224.245.16.671-.133.798-.471 1.445-1.042 2.041a4.259 4.259 0 0 1-1.249.934 5.337 5.337 0 0 1-.814.346c-2.149.701-4.349-.07-5.058-1.727a2.761 2.761 0 0 1-.142-.392c-.302-1.092-.046-2.4.755-3.226v-.001c.051-.052.102-.113.102-.191 0-.064-.042-.133-.077-.183-.28-.406-1.253-1.099-1.057-2.44.139-.964.982-1.642 1.768-1.602l.2.012c.34.02.637.063.917.076.47.019.891-.049 1.391-.465.169-.142.304-.263.532-.301.024-.006.084-.025.203-.021a.681.681 0 0 1 .343.109c.4.266.457.912.479 1.385.012.269.045.922.055 1.108.026.428.139.489.365.563.129.044.248.074.423.125.529.147.845.3 1.043.493a.637.637 0 0 1 .188.372c.065.457-.353 1.021-1.455 1.533-1.206.559-2.669.701-3.679.588l-.354-.04c-.81-.108-1.269.936-.784 1.651.313.461 1.164.761 2.017.761 1.953.002 3.455-.832 4.015-1.554l.044-.063c.026-.042.005-.063-.03-.041-.455.312-2.483 1.552-4.651 1.18 0 0-.264-.044-.504-.138-.19-.072-.591-.258-.639-.668 1.747.543 2.85.031 2.85.03zm-2.773-.327zM9.886 8.053c.672-.776 1.499-1.452 2.241-1.83.025-.014.052.015.038.038a2.125 2.125 0 0 0-.208.508c-.006.027.023.049.046.032.462-.314 1.264-.651 1.968-.693a.03.03 0 0 1 .021.055 1.66 1.66 0 0 0-.31.311c-.014.02-.001.049.024.049.494.003 1.191.175 1.644.43.03.018.008.077-.025.069-.688-.157-1.811-.277-2.979.008-1.044.254-1.84.646-2.419 1.069-.03.02-.065-.019-.041-.046z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMarkdown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.553 18.15H3.447a1.443 1.443 0 0 1-1.442-1.441V7.291c0-.795.647-1.441 1.442-1.441h17.105c.795 0 1.442.646 1.442 1.441v9.418a1.441 1.441 0 0 1-1.441 1.441zM6.811 15.268V11.52l1.922 2.402 1.922-2.402v3.748h1.922V8.732h-1.922l-1.922 2.403-1.922-2.403H4.889v6.535h1.922zM19.688 12h-1.922V8.732h-1.923V12h-1.922l2.884 3.364L19.688 12z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMastercard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.454 17.021c.048.041.1.082.151.122a6.173 6.173 0 0 1-3.42 1.03A6.17 6.17 0 0 1 2.01 12a6.175 6.175 0 0 1 9.592-5.144c-.05.043-.1.082-.138.126A6.633 6.633 0 0 0 9.166 12c0 1.925.833 3.755 2.288 5.021zm4.361-11.195a6.14 6.14 0 0 0-3.416 1.03c.049.043.099.082.137.126 1.462 1.263 2.299 3.094 2.299 5.018s-.835 3.753-2.288 5.021c-.049.041-.101.082-.151.122a6.162 6.162 0 0 0 3.418 1.03 6.174 6.174 0 1 0 .001-12.347zM12 7.15A6.152 6.152 0 0 0 9.644 12 6.15 6.15 0 0 0 12 16.853 6.157 6.157 0 0 0 14.357 12 6.15 6.15 0 0 0 12 7.15z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMastodon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17.24c2.5-.3 4.69-1.84 5-3.25a33.59 33.59 0 0 0 .4-5.42C21.33 4.23 18.48 3 18.48 3A17.64 17.64 0 0 0 12 2a17.64 17.64 0 0 0-6.48 1S2.68 4.23 2.68 8.57v3.44c.1 4.24.78 8.42 4.7 9.46A14.73 14.73 0 0 0 12 22a9.21 9.21 0 0 0 3.54-.81l-.07-1.64A11.41 11.41 0 0 1 12 20c-1.8-.06-3.71-.19-4-2.4a4.26 4.26 0 0 1 0-.63 22.68 22.68 0 0 0 4 .54 23.6 23.6 0 0 0 4-.27zm-6.54-9.8q-1.35 0-1.35 1.62v5.1H6V8.9a3.78 3.78 0 0 1 .82-2.56 2.85 2.85 0 0 1 2.23-1 2.68 2.68 0 0 1 2.4 1.23l.52.87.52-.87a2.68 2.68 0 0 1 2.4-1.23 2.85 2.85 0 0 1 2.23 1A3.78 3.78 0 0 1 18 8.9v5.26h-2.11v-5.1q0-1.62-1.35-1.62c-1 0-1.51.64-1.51 1.92v2.79H11V9.36c0-1.28-.54-1.92-1.54-1.92z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMediumOld (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.957 6.73a.073.073 0 0 0-.019-.097c-.004-.004-.008-.008-.012-.01l-.006-.002-6.033-3.018a.729.729 0 0 0-.292-.067.692.692 0 0 0-.586.317l-3.474 5.646 4.359 7.083 6.063-9.852zm-1.064 13.543c.611.306 1.107.092 1.107-.478V8.483l-5.809 9.439 4.702 2.351zM9.622 8.213v6.426l5.711 2.855zm-7.068 9.558 5.19 2.595a.845.845 0 0 0 .374.098c.323 0 .549-.25.549-.669V6.701a.067.067 0 0 0-.035-.059L2.739 3.697a.669.669 0 0 0-.3-.079c-.258 0-.439.199-.439.534v12.723c0 .341.25.744.554.896z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMediumSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-2.046 4.265-.966.925a.28.28 0 0 0-.106.271v6.801a.28.28 0 0 0 .106.271l.942.926v.204h-4.741v-.204l.977-.948c.097-.096.097-.125.097-.271V9.742l-2.716 6.896h-.368L8.018 9.742v4.622a.644.644 0 0 0 .176.531l1.271 1.541v.203H5.861v-.203l1.271-1.541a.618.618 0 0 0 .164-.531V9.02a.468.468 0 0 0-.152-.395l-1.13-1.36v-.203H9.52l2.71 5.943 2.382-5.943h3.343v.203z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMedium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.285 7.269a.733.733 0 0 0-.24-.619l-1.77-2.133v-.32h5.498l4.25 9.32 3.736-9.32H21v.319l-1.515 1.451a.45.45 0 0 0-.168.425v10.666a.448.448 0 0 0 .168.425l1.479 1.451v.319h-7.436v-.319l1.529-1.487c.152-.15.152-.195.152-.424V8.401L10.95 19.218h-.575L5.417 8.401v7.249c-.041.305.06.612.275.833L7.684 18.9v.319H2.036V18.9l1.992-2.417a.971.971 0 0 0 .257-.833V7.269z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMessenger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-4.92 0-8.91 3.729-8.91 8.332 0 2.616 1.291 4.952 3.311 6.479V21l3.041-1.687c.811.228 1.668.35 2.559.35 4.92 0 8.91-3.73 8.91-8.331C20.91 6.729 16.92 3 12 3zm.938 11.172-2.305-2.394-4.438 2.454 4.865-5.163 2.305 2.395 4.439-2.455-4.866 5.163z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMeta (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.26 7.8a4.82 4.82 0 0 0-3.93-2.44 3.91 3.91 0 0 0-2.54 1.09 10.58 10.58 0 0 0-1.52 1.71 11 11 0 0 0-1.63-1.72 4.39 4.39 0 0 0-2.88-1.08A5 5 0 0 0 3.7 8 11.49 11.49 0 0 0 2 14a7 7 0 0 0 .18 1.64A4.44 4.44 0 0 0 2.71 17a3.23 3.23 0 0 0 3 1.6c1.25 0 2.19-.56 3.3-2a26.4 26.4 0 0 0 2.21-3.6l.63-1.12c.06-.09.11-.18.16-.27l.15.25 1.79 3A14.77 14.77 0 0 0 16 17.63a3.38 3.38 0 0 0 2.55 1 3 3 0 0 0 2.54-1.23 2.2 2.2 0 0 0 .18-.28 4.1 4.1 0 0 0 .31-.63l.12-.35A6.53 6.53 0 0 0 22 15a9 9 0 0 0 0-1 11.15 11.15 0 0 0-1.74-6.2zm-10.12 3.56c-.64 1-1.57 2.51-2.37 3.61-1 1.37-1.51 1.51-2.07 1.51a1.29 1.29 0 0 1-1.15-.66 3.3 3.3 0 0 1-.39-1.7A9.74 9.74 0 0 1 5.54 9a2.8 2.8 0 0 1 2.19-1.47A3 3 0 0 1 10 8.74a14.07 14.07 0 0 1 1 1.31zm8.42 5.12c-.48 0-.85-.19-1.38-.83A34.87 34.87 0 0 1 14.82 12l-.52-.86c-.36-.61-.71-1.16-1-1.65a2.46 2.46 0 0 1 .17-.27c.94-1.39 1.77-2.17 2.8-2.17a3.12 3.12 0 0 1 2.49 1.66 10.17 10.17 0 0 1 1.37 5.34c-.04 1.31-.34 2.43-1.57 2.43z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMicrosoftTeams (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"20.288\",\"cy\":\"8.344\",\"r\":\"1.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.581 11.513h3.413v3.656c0 .942-.765 1.706-1.707 1.706h-1.706v-5.362zM2.006 4.2v15.6l11.213 1.979V2.221L2.006 4.2zm8.288 5.411-1.95.049v5.752H6.881V9.757l-1.949.098V8.539l5.362-.292v1.364zm3.899.439v8.288h1.95c.808 0 1.463-.655 1.463-1.462V10.05h-3.413zm1.463-4.875c-.586 0-1.105.264-1.463.673v2.555c.357.409.877.673 1.463.673a1.95 1.95 0 0 0 0-3.901z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMicrosoft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.55 21H3v-8.55h8.55V21zM21 21h-8.55v-8.55H21V21zm-9.45-9.45H3V3h8.55v8.55zm9.45 0h-8.55V3H21v8.55z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoMongodb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.74 4.23c-.84-1-1.57-2-1.71-2.22H12c-.14.21-.87 1.22-1.71 2.22-7.2 9.19 1.14 15.39 1.14 15.39l.07.05c.06.95.22 2.33.22 2.33h.62s.15-1.37.21-2.33l.07-.06s8.32-6.19 1.12-15.38zM12 19.48a3.48 3.48 0 0 1-.48-.48L12 9l.45 10a3.57 3.57 0 0 1-.45.48z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoNetlify (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.13 9.68 22 11.8v-.11c-.07-.24-.28-.45-.7-.87l-2-2-2.07.87zM12.2 7.24a1.31 1.31 0 0 1 .16.47l3 1.29a1.11 1.11 0 0 1 .29-.14l.48-3-2-2-1.95 3.3s0 .05.02.08zM10.61 7a1.1 1.1 0 0 1 1-.2h.05l2.16-3.34-.66-.66c-.42-.42-.63-.63-.87-.7a1 1 0 0 0-.63 0c-.24.07-.45.28-.87.7l-3 3c.31.14 2.5 1.06 2.78 1.19zm-3.12 5.29h.27a1.45 1.45 0 0 1 .29 0l2.38-3.68v-.06a1 1 0 0 1-.27-.95L9 7l-1.7-.73L5 8.61l2.51 3.66zm7.62-2.44v-.08a.59.59 0 0 1 0-.14l-2.86-1.27h-.05a1.05 1.05 0 0 1-.88.47h-.17s-.05 0-.06.05L8.73 12.5v.05l6.33-2.7s.05.02.05 0zm1.36-.91a.83.83 0 0 1 .26.19l2-.84-1.89-1.87-.4 2.47zm-2.29 5.54A.91.91 0 0 1 15 14v-.05l.54-3.37-.09-.08L9 13.15a2.76 2.76 0 0 1 .08.27zM2 11.95zm12.14 3.18L8.92 14v.06L13.47 21l.42-.43c.15-.92.71-4.33.78-4.72a.9.9 0 0 1-.53-.72zm1.65.32a.88.88 0 0 1-.36.27l-.64 3.94L18.43 16zm1.07-5.13a.07.07 0 0 0-.06 0 .92.92 0 0 1-.61.34v.06l-.55 3.4a.88.88 0 0 1 .34.62h.06l2.95.61 2.28-2.28a4.22 4.22 0 0 0 .65-.74zM8.3 14.59a1.27 1.27 0 0 1-.86 0l-1.22 1.9 4.69 4.68a2.68 2.68 0 0 0 .87.71 1 1 0 0 0 .63 0 1.86 1.86 0 0 0 .7-.54l-4.69-6.8zm4.71 6.82zm-6.62-7.82s.06 0 .06-.05 0 0-.06 0l-4.14-.86a7.07 7.07 0 0 0 .51.54l1.36 1.36 2.27-1zm.28-.66a1.05 1.05 0 0 1 .2-.27v-.06C6.1 11.4 5.3 10.24 4.5 9.07l-1.75 1.75c-.42.42-.63.63-.7.87A.75.75 0 0 0 2 12l4.67 1zm.17 1.33a.61.61 0 0 1-.07-.1L4.59 15l1.08 1.1 1.17-1.82s.01-.02 0-.02z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoNodejs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21.985c-.275 0-.532-.074-.772-.202l-2.439-1.448c-.365-.203-.182-.277-.072-.314.496-.165.588-.201 1.101-.493.056-.037.129-.02.185.017l1.87 1.12c.074.036.166.036.221 0l7.319-4.237c.074-.036.11-.11.11-.202V7.768c0-.091-.036-.165-.11-.201l-7.319-4.219c-.073-.037-.165-.037-.221 0L4.552 7.566c-.073.036-.11.129-.11.201v8.457c0 .073.037.166.11.202l2 1.157c1.082.548 1.762-.095 1.762-.735V8.502c0-.11.091-.221.22-.221h.936c.108 0 .22.092.22.221v8.347c0 1.449-.788 2.294-2.164 2.294-.422 0-.752 0-1.688-.46l-1.925-1.099a1.55 1.55 0 0 1-.771-1.34V7.786c0-.55.293-1.064.771-1.339l7.316-4.237a1.637 1.637 0 0 1 1.544 0l7.317 4.237c.479.274.771.789.771 1.339v8.458c0 .549-.293 1.063-.771 1.34l-7.317 4.236c-.241.11-.516.165-.773.165zm2.256-5.816c-3.21 0-3.87-1.468-3.87-2.714 0-.11.092-.221.22-.221h.954c.11 0 .201.073.201.184.147.971.568 1.449 2.514 1.449 1.54 0 2.202-.35 2.202-1.175 0-.477-.185-.825-2.587-1.063-1.999-.2-3.246-.643-3.246-2.238 0-1.485 1.247-2.366 3.339-2.366 2.347 0 3.503.809 3.649 2.568a.297.297 0 0 1-.056.165c-.037.036-.091.073-.146.073h-.953a.212.212 0 0 1-.202-.164c-.221-1.012-.789-1.34-2.292-1.34-1.689 0-1.891.587-1.891 1.027 0 .531.237.696 2.514.99 2.256.293 3.32.715 3.32 2.294-.02 1.615-1.339 2.531-3.67 2.531z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoOkRu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.986 12.341c-2.825 0-5.173-2.346-5.173-5.122C6.813 4.347 9.161 2 11.987 2c2.922 0 5.173 2.346 5.173 5.219a5.142 5.142 0 0 1-5.157 5.123l-.017-.001zm0-7.324c-1.196 0-2.106 1.005-2.106 2.203 0 1.196.91 2.106 2.107 2.106 1.245 0 2.107-.91 2.107-2.106.001-1.199-.862-2.203-2.108-2.203zm2.06 11.586 2.923 2.825c.575.621.575 1.531 0 2.106-.622.621-1.581.621-2.06 0l-2.922-2.873-2.826 2.873c-.287.287-.671.43-1.103.43-.335 0-.718-.144-1.054-.43-.575-.575-.575-1.485 0-2.107l2.97-2.825a13.49 13.49 0 0 1-3.063-1.339c-.719-.383-.862-1.34-.479-2.059.479-.718 1.341-.909 2.108-.43a6.62 6.62 0 0 0 6.897 0c.767-.479 1.676-.288 2.107.43.432.719.239 1.675-.432 2.059-.909.575-1.963 1.006-3.065 1.341l-.001-.001z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoOpera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.71 6.366C7.604 7.67 6.888 9.602 6.838 11.765v.471c.05 2.165.766 4.094 1.872 5.397 1.434 1.865 3.564 3.046 5.948 3.046a7.218 7.218 0 0 0 4.006-1.225 9.943 9.943 0 0 1-7.139 2.533A9.995 9.995 0 0 1 2 12C2 6.477 6.478 2 12 2h.038a9.971 9.971 0 0 1 6.627 2.545c-1.173-.773-2.543-1.225-4.009-1.225-2.382 0-4.514 1.184-5.95 3.046h.004zM22 12a9.971 9.971 0 0 1-3.335 7.455c-2.564 1.25-4.954.375-5.747-.172 2.52-.553 4.422-3.6 4.422-7.283 0-3.686-1.901-6.73-4.422-7.283.792-.545 3.183-1.42 5.747-.172A9.971 9.971 0 0 1 22 12z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPatreon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.508\",\"cy\":\"9.831\",\"r\":\"6.496\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.996 3.335H6.17v17.33H2.996z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPaypal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.554 9.488c.121.563.106 1.246-.04 2.051-.582 2.978-2.477 4.466-5.683 4.466h-.442a.666.666 0 0 0-.444.166.72.72 0 0 0-.239.427l-.041.189-.553 3.479-.021.151a.706.706 0 0 1-.247.426.666.666 0 0 1-.447.166H8.874a.395.395 0 0 1-.331-.15.457.457 0 0 1-.09-.363c.061-.373.148-.938.267-1.689.117-.75.206-1.314.267-1.689s.15-.938.272-1.685c.121-.748.212-1.31.271-1.685.033-.248.179-.371.433-.371h1.316c.893.013 1.682-.057 2.375-.211 1.172-.262 2.134-.744 2.886-1.449.685-.637 1.203-1.462 1.56-2.473.162-.47.277-.917.352-1.338.006-.041.014-.066.025-.074.008-.011.022-.014.035-.011a.378.378 0 0 1 .062.035c.524.398.854.941.98 1.632zm-1.728-2.836c0 .717-.154 1.508-.465 2.374-.537 1.562-1.547 2.618-3.037 3.168-.758.269-1.602.408-2.535.425 0 .006-.301.007-.904.007l-.903-.007c-.672 0-1.067.32-1.187.964-.013.053-.298 1.83-.855 5.329-.008.066-.048.102-.121.102H4.854a.473.473 0 0 1-.369-.165.469.469 0 0 1-.115-.39L6.702 3.664a.784.784 0 0 1 .276-.483.785.785 0 0 1 .519-.19h6.014c.228 0 .555.044.979.131.428.084.801.194 1.123.321.718.274 1.266.688 1.645 1.237.379.552.568 1.207.568 1.972z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPeriscope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.102 21c1.406 0 6.985-6.329 6.985-10.571C19.087 6.368 15.915 3 12.102 3c-4.017 0-7.188 3.366-7.188 7.429C4.913 14.67 10.492 21 12.102 21zM10.979 5.885a4.696 4.696 0 0 1 1.143-.139c2.25 0 4.186 1.913 4.186 4.398 0 2.238-1.936 4.151-4.196 4.151-2.509 0-4.444-1.913-4.444-4.151 0-1.047.338-1.98.922-2.723v.022c0 .934.755 1.676 1.688 1.676.933.002 1.722-.764 1.722-1.697a1.68 1.68 0 0 0-1.02-1.54l-.001.003z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPhp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.15 16.78h1.57a.14.14 0 0 0 .14-.12l.35-1.82h1.22a4.88 4.88 0 0 0 1.51-.2A2.79 2.79 0 0 0 8 14a3.18 3.18 0 0 0 .67-.85 3.43 3.43 0 0 0 .36-1 2.43 2.43 0 0 0-.41-2.16 2.64 2.64 0 0 0-2.09-.78h-3a.16.16 0 0 0-.15.13L2 16.6a.19.19 0 0 0 0 .13.17.17 0 0 0 .15.05zM5 10.62h1a1.45 1.45 0 0 1 1.08.29c.17.18.2.52.11 1a1.81 1.81 0 0 1-.57 1.12 2.17 2.17 0 0 1-1.33.33h-.8zm9.8-.95a2.7 2.7 0 0 0-1.88-.51h-1.19l.33-1.76a.15.15 0 0 0 0-.13.16.16 0 0 0-.11 0h-1.57a.14.14 0 0 0-.14.12l-1.38 7.27a.13.13 0 0 0 0 .12.13.13 0 0 0 .11.06h1.54a.14.14 0 0 0 .14-.13l.77-4.07h1.11c.45 0 .61.1.66.16a.81.81 0 0 1 0 .62l-.61 3.24a.13.13 0 0 0 0 .12.14.14 0 0 0 .11.06h1.56a.16.16 0 0 0 .15-.13l.64-3.4a1.7 1.7 0 0 0-.24-1.64zm4.52-.51h-3.13a.14.14 0 0 0-.15.13l-1.46 7.31a.16.16 0 0 0 0 .13.14.14 0 0 0 .11.05h1.63a.14.14 0 0 0 .15-.12l.37-1.82h1.27a5.28 5.28 0 0 0 1.56-.2 3 3 0 0 0 1.18-.64 3.31 3.31 0 0 0 .7-.85 3.45 3.45 0 0 0 .37-1 2.38 2.38 0 0 0-.42-2.16 2.81 2.81 0 0 0-2.18-.83zm.62 2.77a1.83 1.83 0 0 1-.6 1.12 2.28 2.28 0 0 1-1.37.33h-.8l.54-2.76h1a1.6 1.6 0 0 1 1.13.29c.16.18.16.52.1 1.02z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPinterestAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.077 9.457c0-.778.136-1.513.404-2.199a5.63 5.63 0 0 1 1.121-1.802 7.614 7.614 0 0 1 1.644-1.329 7.513 7.513 0 0 1 2.002-.844 8.57 8.57 0 0 1 2.185-.281c1.139 0 2.199.241 3.182.721a6.021 6.021 0 0 1 2.391 2.094c.614.915.919 1.95.919 3.104 0 .692-.068 1.369-.207 2.031a8.28 8.28 0 0 1-.646 1.913 6.605 6.605 0 0 1-1.082 1.617 4.723 4.723 0 0 1-1.568 1.114 4.962 4.962 0 0 1-2.045.417c-.489 0-.977-.115-1.459-.346-.482-.23-.828-.546-1.036-.951-.073.281-.173.687-.306 1.218-.128.53-.214.872-.252 1.027-.04.154-.114.411-.222.767a5.183 5.183 0 0 1-.281.769l-.344.674a7.98 7.98 0 0 1-.498.838c-.181.262-.405.575-.672.935l-.149.053-.099-.108c-.107-1.133-.162-1.811-.162-2.035 0-.663.079-1.407.235-2.233.153-.825.395-1.862.72-3.109.325-1.246.511-1.979.561-2.196-.229-.467-.345-1.077-.345-1.827 0-.599.187-1.16.562-1.688.376-.526.851-.789 1.427-.789.441 0 .783.146 1.028.439.246.292.366.66.366 1.109 0 .476-.158 1.165-.476 2.066-.318.902-.476 1.575-.476 2.022 0 .453.162.832.486 1.129a1.68 1.68 0 0 0 1.179.449c.396 0 .763-.09 1.104-.271a2.46 2.46 0 0 0 .849-.733 6.123 6.123 0 0 0 1.017-2.225c.096-.422.17-.823.216-1.2.049-.379.07-.737.07-1.077 0-1.247-.396-2.219-1.183-2.915-.791-.696-1.821-1.042-3.088-1.042-1.441 0-2.646.466-3.611 1.401-.966.932-1.452 2.117-1.452 3.554 0 .317.048.623.139.919.089.295.186.53.291.704.104.171.202.338.291.492.09.154.137.264.137.33 0 .202-.053.465-.16.79-.111.325-.242.487-.4.487-.015 0-.077-.011-.185-.034a2.21 2.21 0 0 1-.979-.605 3.17 3.17 0 0 1-.659-1.022 6.986 6.986 0 0 1-.352-1.169 4.884 4.884 0 0 1-.132-1.153z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPinterest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.99 2C6.472 2 2 6.473 2 11.99c0 4.232 2.633 7.85 6.35 9.306-.088-.79-.166-2.006.034-2.868.182-.78 1.172-4.966 1.172-4.966s-.299-.599-.299-1.484c0-1.388.805-2.425 1.808-2.425.853 0 1.264.64 1.264 1.407 0 .858-.546 2.139-.827 3.327-.235.994.499 1.805 1.479 1.805 1.775 0 3.141-1.872 3.141-4.575 0-2.392-1.719-4.064-4.173-4.064-2.843 0-4.512 2.132-4.512 4.335 0 .858.331 1.779.744 2.28a.3.3 0 0 1 .069.286c-.076.315-.245.994-.277 1.133-.044.183-.145.222-.335.134-1.247-.581-2.027-2.405-2.027-3.871 0-3.151 2.289-6.045 6.601-6.045 3.466 0 6.159 2.469 6.159 5.77 0 3.444-2.171 6.213-5.184 6.213-1.013 0-1.964-.525-2.29-1.146l-.623 2.374c-.225.868-.834 1.956-1.241 2.62a10 10 0 0 0 2.958.445c5.517 0 9.99-4.473 9.99-9.99S17.507 2 11.99 2\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPlayStore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12.954 11.616 2.957-2.957L6.36 3.291c-.633-.342-1.226-.39-1.746-.016l8.34 8.341zm3.461 3.462 3.074-1.729c.6-.336.929-.812.929-1.34 0-.527-.329-1.004-.928-1.34l-2.783-1.563-3.133 3.132 2.841 2.84zM4.1 4.002c-.064.197-.1.417-.1.658v14.705c0 .381.084.709.236.97l8.097-8.098L4.1 4.002zm8.854 8.855L4.902 20.91c.154.059.32.09.495.09.312 0 .637-.092.968-.276l9.255-5.197-2.666-2.67z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPocket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.327 3.983H4.746c-.947 0-1.736.726-1.736 1.673v5.396c0 4.892 4.04 8.964 9.026 8.964 4.955 0 8.964-4.072 8.964-8.964V5.656c0-.947-.758-1.673-1.673-1.673zm-2.178 6.691-4.293 4.04c-.221.253-.567.348-.82.348-.315 0-.631-.095-.884-.348l-4.229-4.04c-.441-.473-.504-1.262 0-1.768.475-.441 1.263-.504 1.736 0l3.377 3.251 3.44-3.251c.441-.504 1.23-.441 1.673 0 .442.506.442 1.295 0 1.768z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPostgresql (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.74 12.89v-.11c.06-.15.12-.29.19-.43a5.15 5.15 0 0 0 .26-3.74.86.86 0 0 0-.66-.74 3.12 3.12 0 0 0-2.08.61v.18a11.34 11.34 0 0 1-.06 2.41 2.37 2.37 0 0 0 .62 2 2 2 0 0 0 1.43.63 8.05 8.05 0 0 1 .3-.81zM10 8.58a.36.36 0 0 1-.09-.23.19.19 0 0 1 .09-.12.74.74 0 0 1 .48-.07c.25 0 .5.16.48.34a.51.51 0 0 1-.49.33h-.06a.63.63 0 0 1-.41-.25z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.88 11a12.58 12.58 0 0 0 .06-2.3v-.28a7 7 0 0 1 1.54-4.55c-1-.32-3.4-1-4.87.1-.9.64-1.32 1.84-1.23 3.55a24.85 24.85 0 0 0 1 4.4c.68 2.22 1.45 3.62 2.11 3.85.1 0 .41.13.86-.41.64-.76 1.23-1.41 1.5-1.7l-.19-.19A2.89 2.89 0 0 1 7.88 11zm3.5 3.4c-.16-.06-.24-.1-.42.11a2.52 2.52 0 0 0-.29.35c-.35.43-.5.58-1.51.79a2 2 0 0 0-.4.11 1 1 0 0 0 .37.16 2.21 2.21 0 0 0 2.5-.8.41.41 0 0 0 0-.35.59.59 0 0 0-.25-.37zm6.29-5.82a5.29 5.29 0 0 0 .08-.79c-.66-.08-1.42-.07-1.72.36-.58.83.56 2.88 1 3.75a4.34 4.34 0 0 1 .26.48 1.79 1.79 0 0 0 .15.31 3.72 3.72 0 0 0 .16-2.13 7.51 7.51 0 0 1-.07-1.05 6 6 0 0 1 .14-.93zm-.56-.16a.6.6 0 0 1-.32.17h-.06a.47.47 0 0 1-.44-.3c0-.14.2-.24.44-.28s.48 0 .5.15a.38.38 0 0 1-.12.26z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4.88a6.06 6.06 0 0 1 1.37 2.57.71.71 0 0 1 0 .15 5.67 5.67 0 0 1-.09 1.06 7.11 7.11 0 0 0-.09.86 6.61 6.61 0 0 0 .07 1 4 4 0 0 1-.36 2.71l.07.08c2.22-3.49 3-7.54 2.29-8.43a4.77 4.77 0 0 0-3.81-1.8 7.34 7.34 0 0 0-1.63.16A6.17 6.17 0 0 1 17 4.88z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.65 14c-.07-.2-.37-.85-1.47-.62a6.28 6.28 0 0 1-1 .13 19.74 19.74 0 0 0 2.06-4.88c.37-1.45.66-3.39-.11-4.38A5.91 5.91 0 0 0 16.37 2a8.44 8.44 0 0 0-2.46.35 9.38 9.38 0 0 0-1.45-.14 4.8 4.8 0 0 0-2.46.62 12.22 12.22 0 0 0-1.77-.44A5.44 5.44 0 0 0 4 3.05c-1.24.87-1.81 2.39-1.71 4.52a26.28 26.28 0 0 0 1 4.67A15.76 15.76 0 0 0 4.4 15a3.39 3.39 0 0 0 1.75 1.83 1.71 1.71 0 0 0 1.69-.37 2 2 0 0 0 1 .59 3.65 3.65 0 0 0 2.35-.14v.81a8.46 8.46 0 0 0 .31 2.36 1 1 0 0 1 0 .13 3 3 0 0 0 .71 1.24 2.08 2.08 0 0 0 1.49.56 3 3 0 0 0 .7-.08 3.27 3.27 0 0 0 2.21-1.27 7.34 7.34 0 0 0 .91-4v-.26h.17a5.24 5.24 0 0 0 2.4-.4c.45-.23 1.91-1 1.56-2zm-1.81 1.47a4.7 4.7 0 0 1-1.8.34 2.62 2.62 0 0 1-.79-.1c-.1.94-.32 2.69-.45 3.42a2.47 2.47 0 0 1-2.25 2.3 3.23 3.23 0 0 1-.66.07A2 2 0 0 1 12 20a16.77 16.77 0 0 1-.28-4.06 2.56 2.56 0 0 1-1.78.66 3.94 3.94 0 0 1-.94-.13c-.09 0-.87-.23-.86-.73s.66-.59.9-.64c.86-.18.92-.25 1.19-.59a2.79 2.79 0 0 1 .19-.24 2.56 2.56 0 0 1-1.11-.3c-.23.25-.86.93-1.54 1.74a1.43 1.43 0 0 1-1.11.63 1.23 1.23 0 0 1-.35 0C5.43 16 4.6 14.55 3.84 12a25.21 25.21 0 0 1-1-4.53c-.1-1.92.4-3.28 1.47-4 1.92-1.36 5-.31 5.7-.06a4 4 0 0 1 2.41-.66 5.58 5.58 0 0 1 1.4.18 7.51 7.51 0 0 1 2.5-.4 5.35 5.35 0 0 1 4.32 2c.69.88.23 3 0 3.89a18.84 18.84 0 0 1-2.41 5.41c.16.11.65.31 2 0 .46-.1.73 0 .82.25.22.55-.7 1.13-1.21 1.37z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.43 13.59a4 4 0 0 1-.62-1c0-.07-.12-.24-.23-.43-.58-1-1.79-3.22-1-4.34a2.16 2.16 0 0 1 2.12-.61 6.28 6.28 0 0 0-1.13-1.94 5.41 5.41 0 0 0-4.13-2 3.34 3.34 0 0 0-2.55.95A5.82 5.82 0 0 0 8.51 7.8l.15-.08A3.7 3.7 0 0 1 10 7.3a1.45 1.45 0 0 1 1.76 1.19 5.73 5.73 0 0 1-.29 4.09 3.29 3.29 0 0 0-.17.39v.11c-.1.27-.19.52-.25.73a.94.94 0 0 1 .57.07 1.16 1.16 0 0 1 .62.74v.16a.28.28 0 0 1 0 .09 22.22 22.22 0 0 0 .22 4.9 1.5 1.5 0 0 0 2 1.09A1.92 1.92 0 0 0 16.25 19c.15-.88.45-3.35.49-3.88 0-1.06.52-1.27.84-1.36z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 14.33-.08-.06h-.12c-.26.07-.5.14-.47.8a1.9 1.9 0 0 0 .93.12 4.29 4.29 0 0 0 1.38-.29 3 3 0 0 0 .79-.52 3.47 3.47 0 0 1-2.43-.05z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoProductHunt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.337 9h-2.838v3h2.838a1.501 1.501 0 1 0 0-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.477 2 2 6.477 2 12s4.477 10 10 10 10-4.477 10-10S17.523 2 12 2zm1.337 12h-2.838v3H8.501V7h4.837a3.498 3.498 0 0 1 3.499 3.499 3.499 3.499 0 0 1-3.5 3.501z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoPython (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.585 11.692h4.328s2.432.039 2.432-2.35V5.391S16.714 3 11.936 3C7.362 3 7.647 4.983 7.647 4.983l.006 2.055h4.363v.617H5.92s-2.927-.332-2.927 4.282 2.555 4.45 2.555 4.45h1.524v-2.141s-.083-2.554 2.513-2.554zm-.056-5.74a.784.784 0 1 1 0-1.57.784.784 0 1 1 0 1.57z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.452 7.532h-1.524v2.141s.083 2.554-2.513 2.554h-4.328s-2.432-.04-2.432 2.35v3.951s-.369 2.391 4.409 2.391c4.573 0 4.288-1.983 4.288-1.983l-.006-2.054h-4.363v-.617h6.097s2.927.332 2.927-4.282-2.555-4.451-2.555-4.451zm-3.981 10.436a.784.784 0 1 1 0 1.57.784.784 0 1 1 0-1.57z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoQuora (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.555 17.025c-.624-1.227-1.354-2.465-2.781-2.465-.271 0-.546.046-.795.157l-.484-.967c.59-.509 1.544-.911 2.77-.911 1.908 0 2.889.922 3.667 2.094.459-1.001.678-2.354.678-4.03 0-4.188-1.308-6.336-4.366-6.336-3.014 0-4.318 2.148-4.318 6.336 0 4.164 1.305 6.291 4.318 6.291.478 0 .913-.051 1.311-.169zm.747 1.461a7.977 7.977 0 0 1-2.059.274c-4.014 0-7.941-3.202-7.941-7.858C3.303 6.203 7.229 3 11.243 3c4.081 0 7.972 3.179 7.972 7.903 0 2.628-1.226 4.763-3.007 6.143.572.861 1.157 1.436 1.988 1.436.899 0 1.261-.687 1.328-1.236h1.167c.07.73-.301 3.754-3.574 3.754-1.989 0-3.035-1.146-3.822-2.496l.007-.018z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoReact (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"11.245\",\"r\":\"1.785\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7.002 14.794-.395-.101c-2.934-.741-4.617-2.001-4.617-3.452 0-1.452 1.684-2.711 4.617-3.452l.395-.1.111.391a19.507 19.507 0 0 0 1.136 2.983l.085.178-.085.178c-.46.963-.841 1.961-1.136 2.985l-.111.39zm-.577-6.095c-2.229.628-3.598 1.586-3.598 2.542 0 .954 1.368 1.913 3.598 2.54.273-.868.603-1.717.985-2.54a20.356 20.356 0 0 1-.985-2.542zm10.572 6.095-.11-.392a19.628 19.628 0 0 0-1.137-2.984l-.085-.177.085-.179c.46-.961.839-1.96 1.137-2.984l.11-.39.395.1c2.935.741 4.617 2 4.617 3.453 0 1.452-1.683 2.711-4.617 3.452l-.395.101zm-.41-3.553c.4.866.733 1.718.987 2.54 2.23-.627 3.599-1.586 3.599-2.54 0-.956-1.368-1.913-3.599-2.542a20.683 20.683 0 0 1-.987 2.542z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.419 8.695-.11-.39c-.826-2.908-.576-4.991.687-5.717 1.235-.715 3.222.13 5.303 2.265l.284.292-.284.291a19.718 19.718 0 0 0-2.02 2.474l-.113.162-.196.016a19.646 19.646 0 0 0-3.157.509l-.394.098zm1.582-5.529c-.224 0-.422.049-.589.145-.828.477-.974 2.138-.404 4.38.891-.197 1.79-.338 2.696-.417a21.058 21.058 0 0 1 1.713-2.123c-1.303-1.267-2.533-1.985-3.416-1.985zm7.997 16.984c-1.188 0-2.714-.896-4.298-2.522l-.283-.291.283-.29a19.827 19.827 0 0 0 2.021-2.477l.112-.16.194-.019a19.473 19.473 0 0 0 3.158-.507l.395-.1.111.391c.822 2.906.573 4.992-.688 5.718a1.978 1.978 0 0 1-1.005.257zm-3.415-2.82c1.302 1.267 2.533 1.986 3.415 1.986.225 0 .423-.05.589-.145.829-.478.976-2.142.404-4.384-.89.198-1.79.34-2.698.419a20.526 20.526 0 0 1-1.71 2.124z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.58 8.695-.395-.099a19.477 19.477 0 0 0-3.158-.509l-.194-.017-.112-.162A19.551 19.551 0 0 0 11.7 5.434l-.283-.291.283-.29c2.08-2.134 4.066-2.979 5.303-2.265 1.262.727 1.513 2.81.688 5.717l-.111.39zm-3.287-1.421c.954.085 1.858.228 2.698.417.571-2.242.425-3.903-.404-4.381-.824-.477-2.375.253-4.004 1.841.616.67 1.188 1.378 1.71 2.123zM8.001 20.15a1.983 1.983 0 0 1-1.005-.257c-1.263-.726-1.513-2.811-.688-5.718l.108-.391.395.1c.964.243 2.026.414 3.158.507l.194.019.113.16c.604.878 1.28 1.707 2.02 2.477l.284.29-.284.291c-1.583 1.627-3.109 2.522-4.295 2.522zm-.993-5.362c-.57 2.242-.424 3.906.404 4.384.825.47 2.371-.255 4.005-1.842a21.17 21.17 0 0 1-1.713-2.123 20.692 20.692 0 0 1-2.696-.419z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15.313c-.687 0-1.392-.029-2.1-.088l-.196-.017-.113-.162a25.697 25.697 0 0 1-1.126-1.769 26.028 26.028 0 0 1-.971-1.859l-.084-.177.084-.179c.299-.632.622-1.252.971-1.858.347-.596.726-1.192 1.126-1.77l.113-.16.196-.018a25.148 25.148 0 0 1 4.198 0l.194.019.113.16a25.136 25.136 0 0 1 2.1 3.628l.083.179-.083.177a24.742 24.742 0 0 1-2.1 3.628l-.113.162-.194.017c-.706.057-1.412.087-2.098.087zm-1.834-.904c1.235.093 2.433.093 3.667 0a24.469 24.469 0 0 0 1.832-3.168 23.916 23.916 0 0 0-1.832-3.168 23.877 23.877 0 0 0-3.667 0 23.743 23.743 0 0 0-1.832 3.168 24.82 24.82 0 0 0 1.832 3.168z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoRedbubble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.002 2.005 12 2.004c-5.52 0-9.996 4.475-9.996 9.995 0 5.521 4.477 9.998 9.996 9.998 5.521 0 9.996-4.475 9.996-9.997 0-5.52-4.475-9.995-9.994-9.995zm.162 13.53a.267.267 0 0 1-.174.066v.001H6.455a.268.268 0 0 1-.266-.268V8.646c0-.148.119-.268.266-.268h2.589c1.612 0 2.576.87 2.576 2.327 0 .969-.486 1.729-1.272 2.039l1.842 2.413a.269.269 0 0 1-.026.378zm3.695.068h-2.665a.268.268 0 0 1-.266-.269V8.646c0-.148.119-.267.266-.267h2.472c1.853 0 2.242 1.091 2.242 2.007 0 .529-.141.949-.421 1.258.681.28 1.047.913 1.047 1.827 0 1.335-1.001 2.132-2.675 2.132z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoReddit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.67\",\"cy\":\"13\",\"r\":\"1.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.09 15.391A3.28 3.28 0 0 1 12 16a3.271 3.271 0 0 1-2.081-.63.27.27 0 0 0-.379.38c.71.535 1.582.809 2.471.77a3.811 3.811 0 0 0 2.469-.77v.04a.284.284 0 0 0 .006-.396.28.28 0 0 0-.396-.003zm.209-3.351a1 1 0 0 0 0 2l-.008.039c.016.002.033 0 .051 0a1 1 0 0 0 .958-1.038 1 1 0 0 0-1.001-1.001z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.479 2 2 6.477 2 12c0 5.521 4.479 10 10 10s10-4.479 10-10c0-5.523-4.479-10-10-10zm5.859 11.33c.012.146.012.293 0 .439 0 2.24-2.609 4.062-5.83 4.062s-5.83-1.82-5.83-4.062a2.681 2.681 0 0 1 0-.439 1.46 1.46 0 0 1-.455-2.327 1.458 1.458 0 0 1 2.063-.063 7.145 7.145 0 0 1 3.899-1.23l.743-3.47v-.004A.313.313 0 0 1 12.82 6l2.449.49a1.001 1.001 0 1 1-.131.61L13 6.65l-.649 3.12a7.123 7.123 0 0 1 3.85 1.23 1.46 1.46 0 0 1 2.469 1c.01.563-.307 1.08-.811 1.33z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoRedux (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.661 15.549a1.315 1.315 0 0 0 1.185-1.386 1.363 1.363 0 0 0-1.35-1.302h-.048a1.352 1.352 0 0 0-1.303 1.397c.024.379.179.687.391.911-.827 1.609-2.07 2.794-3.954 3.788-1.266.663-2.604.912-3.905.734-1.089-.153-1.94-.64-2.463-1.421-.78-1.185-.852-2.462-.201-3.74a5.597 5.597 0 0 1 1.658-1.931 7.88 7.88 0 0 1-.331-1.218c-3.506 2.51-3.148 5.942-2.084 7.564.794 1.184 2.415 1.941 4.19 1.941.474 0 .972-.035 1.457-.154 3.077-.592 5.409-2.438 6.747-5.16l.011-.023z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.887 12.589c-1.834-2.154-4.533-3.337-7.611-3.337h-.403c-.2-.438-.661-.711-1.183-.711h-.036c-.744 0-1.325.64-1.301 1.385.023.71.627 1.302 1.35 1.302h.059a1.332 1.332 0 0 0 1.183-.828h.439c1.824 0 3.551.532 5.126 1.574 1.206.792 2.072 1.834 2.557 3.077.425 1.019.402 2.013-.035 2.843-.675 1.302-1.812 1.988-3.314 1.988-.947 0-1.871-.296-2.345-.509-.283.235-.758.626-1.102.863 1.042.473 2.096.746 3.113.746 2.309 0 4.023-1.302 4.676-2.557.709-1.422.651-3.813-1.161-5.859l-.012.023z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.647 15.975c.023.71.626 1.302 1.35 1.302h.048a1.334 1.334 0 0 0 1.302-1.397c0-.71-.616-1.301-1.338-1.301h-.048c-.048 0-.118 0-.178.022-.982-1.657-1.397-3.434-1.242-5.349.094-1.445.567-2.7 1.42-3.742.71-.888 2.048-1.326 2.96-1.35 2.556-.048 3.622 3.138 3.704 4.404l1.184.354C16.536 5.036 14.122 3 11.813 3 9.647 3 7.647 4.574 6.842 6.884c-1.102 3.077-.379 6.036.971 8.404-.118.154-.189.426-.166.687z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.522 0 10 4.478 10 10s-4.478 10-10 10S2 17.522 2 12 6.478 2 12 2zm-1.987 13.332c.146.537.13 1.039-.021 1.493l-.054.15a2.803 2.803 0 0 1-.527.821c-.582.633-1.394.872-1.742.671-.375-.219-.188-1.112.487-1.825.726-.765 1.766-1.258 1.766-1.258v-.002l.091-.05zm8.258-9.051c-.452-1.777-3.397-2.362-6.185-1.371-1.656.589-3.453 1.515-4.743 2.723-1.536 1.434-1.78 2.684-1.68 3.206.355 1.843 2.881 3.048 3.92 3.942v.005c-.307.149-2.548 1.274-3.072 2.438-.563 1.225.088 2.101.513 2.212 1.313.363 2.662-.3 3.388-1.374.699-1.051.638-2.4.337-3.063.413-.112.899-.162 1.524-.086 1.751.199 2.101 1.3 2.024 1.75-.074.449-.436.711-.561.786-.126.076-.163.101-.151.151.013.074.076.074.175.063.138-.025.914-.375.951-1.227.037-1.074-.988-2.273-2.813-2.25-.75.014-1.226.076-1.563.214a.295.295 0 0 0-.088-.088c-1.125-1.213-3.213-2.063-3.125-3.675.025-.588.237-2.137 4-4.012 3.088-1.538 5.551-1.112 5.977-.175.61 1.336-1.314 3.825-4.526 4.187-1.225.138-1.862-.337-2.026-.513-.174-.188-.198-.2-.261-.161-.101.05-.038.212 0 .313.1.249.487.688 1.163.912.587.188 2.024.299 3.75-.375 1.937-.749 3.449-2.838 3.012-4.588l.06.056z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoShopify (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14.49 20.937 5.381-1.166S17.93 6.633 17.914 6.546c-.016-.086-.086-.144-.158-.144s-1.439-.101-1.439-.101-.949-.949-1.064-1.05c-.027-.029-.057-.043-.086-.058l-.677 15.744zm.446-15.772c-.016 0-.043.014-.057.014-.016 0-.217.059-.533.158-.318-.919-.879-1.768-1.871-1.768h-.086c-.289-.361-.633-.519-.936-.519-2.316 0-3.426 2.892-3.77 4.359-.892.275-1.538.476-1.613.505-.504.158-.517.172-.574.648-.057.344-1.367 10.489-1.367 10.489l10.117 1.899.69-15.785zm-2.635.704v.102c-.559.173-1.178.36-1.783.547.346-1.323.992-1.972 1.553-2.217.146.375.23.878.23 1.568zm-.92-2.2c.1 0 .201.028.303.102-.732.344-1.539 1.222-1.871 2.978a59.11 59.11 0 0 1-1.411.432c.389-1.339 1.325-3.512 2.979-3.512zm.402 7.812s-.604-.315-1.322-.315c-1.08 0-1.123.676-1.123.849 0 .921 2.418 1.28 2.418 3.453 0 1.712-1.08 2.806-2.547 2.806-1.756 0-2.648-1.094-2.648-1.094l.475-1.554s.922.792 1.697.792a.693.693 0 0 0 .721-.69c0-1.209-1.986-1.268-1.986-3.252 0-1.669 1.195-3.295 3.627-3.295.936 0 1.395.272 1.395.272l-.707 2.028zm.922-7.281c.518.06.85.648 1.064 1.311-.258.087-.547.173-.863.273v-.187c0-.561-.072-1.022-.201-1.397z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSketch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.42 9.87 12 20.78l5.58-10.91H6.42zM5.9 4.01 2 9.24h3.62l.28-5.23zm6.1-.59L6.63 9.24h10.74L12 3.42zM2.04 9.87l9.09 10.58L5.72 9.87H2.04zM11.53 3h-.15l-4.84.51a.09.09 0 0 1 0 .05l-.27 5.15zm1.34 17.45 9.09-10.58h-3.68l-5.41 10.58zm4.58-16.87a.09.09 0 0 1 0-.05l-4-.42-1-.11 5.26 5.71zm.65.43.28 5.23H22l-2.22-2.98-1.68-2.25z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSkype (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.857 17.417c-2.947 0-4.294-1.524-4.294-2.641 0-.266.108-.521.298-.705a.946.946 0 0 1 .71-.264c1.261 0 .931 1.92 3.286 1.92 1.203 0 1.91-.736 1.91-1.425 0-.415-.234-.889-1.028-1.079l-2.629-.673c-2.111-.545-2.479-1.737-2.479-2.842 0-2.293 2.068-3.124 4.036-3.124 1.814 0 3.97 1.016 3.97 2.391 0 .592-.488.91-1.055.91-1.078 0-.897-1.536-3.063-1.536-1.077 0-1.645.513-1.645 1.23s.839.96 1.574 1.123l1.941.445c2.126.486 2.691 1.751 2.691 2.963 0 1.865-1.423 3.305-4.226 3.305m8.139-3.942c.086-.49.128-.986.128-1.482a8.472 8.472 0 0 0-2.952-6.474 8.211 8.211 0 0 0-6.788-1.856A4.818 4.818 0 0 0 7.935 3a4.954 4.954 0 0 0-4.27 2.519 5.103 5.103 0 0 0-.015 5.011 8.51 8.51 0 0 0 2.282 7.453 8.23 8.23 0 0 0 7.333 2.355 4.823 4.823 0 0 0 2.443.662 4.954 4.954 0 0 0 4.269-2.518 5.095 5.095 0 0 0 .016-5.009\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSlackOld (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.935 12.646a1.617 1.617 0 0 0-2.022-1.034l-1.632.532c-.355-1.099-.735-2.268-1.092-3.365l.006-.002-.004-.008 1.613-.523a1.62 1.62 0 0 0 1.035-2.023 1.62 1.62 0 0 0-2.025-1.034l-1.621.527-.519-1.604a1.619 1.619 0 0 0-2.024-1.034 1.618 1.618 0 0 0-1.033 2.024l.522 1.609-3.368 1.092-.524-1.611a1.618 1.618 0 0 0-2.022-1.034 1.617 1.617 0 0 0-1.034 2.023l.524 1.616-1.662.541a1.602 1.602 0 0 0-.988 1.95c.25.856 1.152 1.373 1.979 1.092.006 0 .658-.209 1.665-.536l1.099 3.386h-.002v.002l-1.67.545a1.599 1.599 0 0 0-.987 1.949c.25.857 1.15 1.374 1.979 1.093.007 0 .659-.211 1.665-.538l.003.005a.024.024 0 0 0 .008-.002l.539 1.657a1.6 1.6 0 0 0 1.949.989c.857-.25 1.373-1.151 1.094-1.979 0-.006-.209-.654-.533-1.654l-.003-.009c1.104-.358 2.276-.739 3.376-1.098l.543 1.668a1.602 1.602 0 0 0 1.949.989c.856-.251 1.374-1.152 1.092-1.979 0-.007-.209-.659-.535-1.663l.019-.006-.003-.007 1.609-.522a1.62 1.62 0 0 0 1.035-2.024zM10.86 14.238l-1.097-3.377a.02.02 0 0 0 .005-.001v-.006c1.098-.356 2.268-.735 3.363-1.092l1.098 3.377-3.369 1.099z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSlack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.194 14.644c0 1.16-.943 2.107-2.103 2.107a2.11 2.11 0 0 1-2.104-2.107 2.11 2.11 0 0 1 2.104-2.106h2.103v2.106zm1.061 0c0-1.16.944-2.106 2.104-2.106a2.11 2.11 0 0 1 2.103 2.106v5.274a2.11 2.11 0 0 1-2.103 2.106 2.108 2.108 0 0 1-2.104-2.106v-5.274zm2.104-8.455c-1.16 0-2.104-.948-2.104-2.107s.944-2.106 2.104-2.106a2.11 2.11 0 0 1 2.103 2.106v2.107H9.359zm0 1.06a2.11 2.11 0 0 1 2.103 2.107 2.11 2.11 0 0 1-2.103 2.106H4.092a2.11 2.11 0 0 1-2.104-2.106 2.11 2.11 0 0 1 2.104-2.107h5.267zm8.447 2.107c0-1.16.943-2.107 2.103-2.107a2.11 2.11 0 0 1 2.104 2.107 2.11 2.11 0 0 1-2.104 2.106h-2.103V9.356zm-1.061 0c0 1.16-.944 2.106-2.104 2.106a2.11 2.11 0 0 1-2.103-2.106V4.082a2.11 2.11 0 0 1 2.103-2.106c1.16 0 2.104.946 2.104 2.106v5.274zm-2.104 8.455c1.16 0 2.104.948 2.104 2.107s-.944 2.106-2.104 2.106a2.11 2.11 0 0 1-2.103-2.106v-2.107h2.103zm0-1.06a2.11 2.11 0 0 1-2.103-2.107 2.11 2.11 0 0 1 2.103-2.106h5.268a2.11 2.11 0 0 1 2.104 2.106 2.11 2.11 0 0 1-2.104 2.107h-5.268z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSnapchat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.166 3c.796 0 3.495.223 4.769 3.073.426.959.324 2.589.24 3.898l-.002.047c-.011.146-.018.278-.024.41a.62.62 0 0 0 .322.072c.241-.013.53-.096.831-.241a.81.81 0 0 1 .373-.084c.146 0 .289.023.409.072.361.12.59.385.59.674.013.361-.313.675-.975.939-.071.023-.169.061-.276.096-.363.109-.917.289-1.073.651-.072.181-.049.421.098.697l.012.013c.048.109 1.228 2.795 3.854 3.229a.401.401 0 0 1 .337.409c0 .06-.013.119-.036.181-.193.457-1.023.795-2.53 1.021-.047.073-.096.302-.132.459-.022.144-.06.289-.107.444-.061.218-.217.325-.446.325h-.024a2.45 2.45 0 0 1-.433-.059 4.799 4.799 0 0 0-1.024-.108c-.24 0-.48.012-.732.06-.483.084-.904.373-1.386.71-.687.481-1.469 1.036-2.649 1.036-.048 0-.096-.012-.146-.012h-.119c-1.181 0-1.951-.543-2.637-1.036-.481-.338-.89-.626-1.373-.711a5.507 5.507 0 0 0-.745-.06c-.435 0-.771.072-1.023.12-.17.035-.314.059-.435.059a.45.45 0 0 1-.47-.337c-.048-.154-.071-.313-.107-.456-.038-.146-.085-.396-.133-.458-1.543-.179-2.373-.517-2.565-.986a.473.473 0 0 1-.044-.181.398.398 0 0 1 .337-.409c2.625-.434 3.805-3.119 3.854-3.232l.013-.023c.145-.277.18-.52.096-.699-.156-.349-.711-.529-1.07-.65a1.759 1.759 0 0 1-.279-.096c-.89-.35-1.011-.748-.962-1.024.072-.384.541-.637.939-.637.117 0 .217.023.308.06.337.155.635.241.888.241a.742.742 0 0 0 .373-.085l-.041-.458c-.08-1.307-.182-2.935.247-3.889 1.236-2.836 3.929-3.054 4.724-3.054L12.117 3h.049z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSoundcloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.971 12.188c-.041 0-.078.038-.083.082l-.194 1.797.194 1.756c.005.049.042.082.083.082s.075-.033.084-.082l.211-1.756-.225-1.797c0-.046-.037-.082-.074-.082m-.75.691c-.051 0-.076.03-.088.079l-.138 1.109.138 1.092c0 .046.037.078.075.078.039 0 .073-.038.087-.087l.176-1.1-.176-1.112c0-.051-.037-.076-.075-.076m1.526-1.025c-.052 0-.1.039-.1.087l-.176 2.139.188 2.051c0 .049.037.1.099.1.052 0 .089-.051.102-.1l.211-2.064-.211-2.126c-.013-.049-.052-.1-.102-.1m.79-.075c-.063 0-.114.051-.126.113l-.161 2.201.177 2.123c.012.063.061.114.122.114.064 0 .113-.051.125-.124l.201-2.113-.201-2.187a.11.11 0 0 0-.111-.112l-.026-.015zm.962.301a.128.128 0 0 0-.133-.125.134.134 0 0 0-.137.125l-.182 2.026.169 2.138a.13.13 0 0 0 .132.131c.062 0 .123-.055.123-.132l.189-2.139-.189-2.036.028.012zm.674-1.426a.154.154 0 0 0-.148.15l-.176 3.3.156 2.139c0 .077.066.137.15.137.078 0 .145-.074.15-.15l.174-2.137-.173-3.313c-.007-.088-.074-.152-.15-.152m.8-.762a.178.178 0 0 0-.17.163l-.15 4.063.138 2.125c0 .1.075.174.163.174.086 0 .161-.074.174-.174l.162-2.125-.161-4.052c-.013-.1-.088-.175-.175-.175m.826-.372c-.102 0-.176.073-.188.173l-.139 4.4.139 2.102c.012.1.086.188.188.188a.193.193 0 0 0 .187-.188l.163-2.102-.164-4.4c0-.1-.087-.188-.188-.188m1.038.038a.196.196 0 0 0-.199-.199.205.205 0 0 0-.201.199l-.125 4.538.124 2.089c.015.111.101.199.214.199s.201-.088.201-.199l.136-2.089-.136-4.55-.014.012zm.625-.111c-.113 0-.213.1-.213.211l-.125 4.439.125 2.063c0 .125.1.213.213.213a.221.221 0 0 0 .214-.224l.125-2.064-.14-4.428c0-.122-.1-.225-.225-.225m.838.139a.236.236 0 0 0-.237.237l-.086 4.29.113 2.063c0 .124.1.231.236.231.125 0 .227-.1.237-.237l.101-2.038-.112-4.265c-.01-.137-.113-.238-.237-.238m.988-.786a.27.27 0 0 0-.139-.037c-.05 0-.1.013-.137.037a.25.25 0 0 0-.125.214v.05l-.086 5.044.096 2.043v.007c.006.05.024.112.06.15.05.051.12.086.196.086a.28.28 0 0 0 .175-.074.262.262 0 0 0 .076-.188l.013-.201.097-1.838-.113-5.075a.24.24 0 0 0-.111-.199l-.002-.019zm.837-.457a.155.155 0 0 0-.124-.052.283.283 0 0 0-.174.052.265.265 0 0 0-.1.201v.023l-.114 5.513.063 1.014.052.988a.274.274 0 0 0 .548-.012l.125-2.013-.125-5.536a.273.273 0 0 0-.138-.231m7.452 3.15c-.336 0-.663.072-.949.193a4.34 4.34 0 0 0-5.902-3.651c-.188.075-.227.151-.238.301v7.812a.31.31 0 0 0 .275.29h6.827a2.428 2.428 0 0 0 2.45-2.438 2.457 2.457 0 0 0-2.45-2.463\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSpotify (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.01 2.019c-5.495 0-9.991 4.496-9.991 9.991 0 5.494 4.496 9.99 9.991 9.99 5.494 0 9.99-4.496 9.99-9.99 0-5.495-4.446-9.991-9.99-9.991zm4.595 14.436c-.199.299-.549.4-.85.201-2.349-1.45-5.296-1.75-8.793-.951-.348.102-.648-.148-.748-.449-.101-.35.149-.648.45-.749 3.795-.85 7.093-.499 9.69 1.1.35.149.4.548.251.848zm1.2-2.747c-.251.349-.7.499-1.051.249-2.697-1.646-6.792-2.148-9.939-1.148-.398.101-.85-.1-.949-.498-.101-.402.1-.852.499-.952 3.646-1.098 8.143-.548 11.239 1.351.3.149.45.648.201.998zm.099-2.799c-3.197-1.897-8.542-2.097-11.59-1.146a.938.938 0 0 1-1.148-.6.937.937 0 0 1 .599-1.151c3.547-1.049 9.392-.85 13.089 1.351.449.249.599.849.349 1.298-.25.35-.849.498-1.299.248z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSpringBoot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.205 16.392c-2.469 3.289-7.741 2.179-11.122 2.338 0 0-.599.034-1.201.133 0 0 .228-.097.519-.198 2.374-.821 3.496-.986 4.939-1.727 2.71-1.388 5.408-4.413 5.957-7.555-1.032 3.022-4.17 5.623-7.027 6.679-1.955.722-5.492 1.424-5.493 1.424a5.28 5.28 0 0 1-.143-.076c-2.405-1.17-2.475-6.38 1.894-8.059 1.916-.736 3.747-.332 5.818-.825 2.208-.525 4.766-2.18 5.805-4.344 1.165 3.458 2.565 8.866.054 12.21zm.042-13.28a9.212 9.212 0 0 1-1.065 1.89 9.982 9.982 0 0 0-7.167-3.031C6.492 1.971 2 6.463 2 11.985a9.983 9.983 0 0 0 3.205 7.334l.22.194a.856.856 0 1 1 .001.001l.149.132A9.96 9.96 0 0 0 12.015 22c5.278 0 9.613-4.108 9.984-9.292.274-2.539-.476-5.763-1.752-9.596\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSquarespace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.886 9.264c-1.502-1.5-3.941-1.5-5.475 0l-6.13 6.131a.981.981 0 0 0 0 1.377.982.982 0 0 0 1.376 0l6.13-6.131a1.925 1.925 0 0 1 2.722 2.723l-6.037 6.035c.751.75 1.971.75 2.723 0l4.66-4.66c1.531-1.534 1.531-3.973.031-5.475zm-2.064 2.033a.982.982 0 0 0-1.376 0l-6.134 6.162c-.751.75-1.97.75-2.72 0-.376-.375-1.002-.375-1.377 0s-.375 1.002 0 1.375c1.502 1.502 3.942 1.502 5.475 0l6.131-6.129c.375-.406.375-1.033.001-1.408zm-2.035-6.129c-1.501-1.502-3.941-1.502-5.475 0l-6.131 6.129a.98.98 0 0 0 0 1.375.98.98 0 0 0 1.377 0l6.168-6.129a1.934 1.934 0 0 1 2.727 0 .982.982 0 0 0 1.376 0c.352-.406.352-1-.024-1.375h-.018zm-2.063 2.031a.978.978 0 0 0-1.376 0l-6.131 6.164a1.933 1.933 0 0 1-2.723 0 1.927 1.927 0 0 1 0-2.723l6.037-6.039a1.928 1.928 0 0 0-2.722 0L3.118 9.264c-1.501 1.502-1.501 3.941 0 5.475 1.501 1.502 3.94 1.502 5.475 0l6.129-6.131c.377-.408.377-1.033 0-1.408h.002z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoStackOverflow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.24 19.399v-4.804h1.6V21H4.381v-6.405h1.598v4.804H17.24zM7.582 17.8h8.055v-1.604H7.582V17.8zm.195-3.64 7.859 1.641.34-1.552-7.861-1.642-.338 1.553zm1.018-3.794 7.281 3.398.678-1.463-7.281-3.399-.678 1.454v.01zm2.037-3.589 6.166 5.142 1.018-1.216-6.162-5.14-1.016 1.213-.006.001zm3.982-3.778-1.311.969 4.803 6.454 1.313-.971-4.807-6.452h.002z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoSteam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.21 17.32 7 16.8a2.13 2.13 0 1 0 1.17-2.93l1.28.53a1.58 1.58 0 0 1-1.22 2.92z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 0 0-10 9.34l5.38 2.21a2.31 2.31 0 0 1 .47-.24A2.62 2.62 0 0 1 9 13.1l2.44-3.56a3.8 3.8 0 1 1 3.8 3.8h-.08l-3.51 2.5a2.77 2.77 0 0 1-5.47.68l-3.77-1.6A10 10 0 1 0 12 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.79 9.5a2.53 2.53 0 1 0-2.53 2.5 2.54 2.54 0 0 0 2.53-2.5zm-4.42 0a1.9 1.9 0 1 1 1.9 1.91 1.9 1.9 0 0 1-1.9-1.92z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoStripe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.479 9.883c-1.626-.604-2.512-1.067-2.512-1.803 0-.622.511-.977 1.423-.977 1.667 0 3.379.642 4.558 1.22l.666-4.111c-.935-.446-2.847-1.177-5.49-1.177-1.87 0-3.425.489-4.536 1.401-1.155.954-1.757 2.334-1.757 4 0 3.023 1.847 4.312 4.847 5.403 1.936.688 2.579 1.178 2.579 1.934 0 .732-.629 1.155-1.762 1.155-1.403 0-3.716-.689-5.231-1.578l-.674 4.157c1.304.732 3.705 1.488 6.197 1.488 1.976 0 3.624-.467 4.735-1.356 1.245-.977 1.89-2.422 1.89-4.289 0-3.091-1.889-4.38-4.935-5.468h.002z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTailwindCss (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 9.51a4.22 4.22 0 0 1-1.91-1.34A5.77 5.77 0 0 0 12 6a4.72 4.72 0 0 0-5 4 3.23 3.23 0 0 1 3.5-1.49 4.32 4.32 0 0 1 1.91 1.35A5.77 5.77 0 0 0 17 12a4.72 4.72 0 0 0 5-4 3.2 3.2 0 0 1-3.5 1.51zm-13 4.98a4.22 4.22 0 0 1 1.91 1.34A5.77 5.77 0 0 0 12 18a4.72 4.72 0 0 0 5-4 3.23 3.23 0 0 1-3.5 1.49 4.32 4.32 0 0 1-1.91-1.35A5.8 5.8 0 0 0 7 12a4.72 4.72 0 0 0-5 4 3.2 3.2 0 0 1 3.5-1.51z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTelegram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20.665 3.717-17.73 6.837c-1.21.486-1.203 1.161-.222 1.462l4.552 1.42 10.532-6.645c.498-.303.953-.14.579.192l-8.533 7.701h-.002l.002.001-.314 4.692c.46 0 .663-.211.921-.46l2.211-2.15 4.599 3.397c.848.467 1.457.227 1.668-.785l3.019-14.228c.309-1.239-.473-1.8-1.282-1.434z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTiktok (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.59 6.69a4.83 4.83 0 0 1-3.77-4.25V2h-3.45v13.67a2.89 2.89 0 0 1-5.2 1.74 2.89 2.89 0 0 1 2.31-4.64 2.93 2.93 0 0 1 .88.13V9.4a6.84 6.84 0 0 0-1-.05A6.33 6.33 0 0 0 5 20.1a6.34 6.34 0 0 0 10.86-4.43v-7a8.16 8.16 0 0 0 4.77 1.52v-3.4a4.85 4.85 0 0 1-1-.1z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTrello (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.75 3H5.25A2.25 2.25 0 0 0 3 5.25v13.5A2.25 2.25 0 0 0 5.25 21h13.5A2.25 2.25 0 0 0 21 18.75V5.25A2.25 2.25 0 0 0 18.75 3zm-7.92 13.635a1.08 1.08 0 0 1-1.08 1.08H6.42a1.08 1.08 0 0 1-1.08-1.08V6.42c0-.597.483-1.08 1.08-1.08h3.33c.596 0 1.08.483 1.08 1.08v10.215zm7.83-4.5a1.08 1.08 0 0 1-1.08 1.08h-3.33a1.08 1.08 0 0 1-1.08-1.08V6.42c0-.597.484-1.08 1.08-1.08h3.33c.597 0 1.08.483 1.08 1.08v5.715z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTripAdvisor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.31 10.28a2.5 2.5 0 1 0 2.5 2.49 2.5 2.5 0 0 0-2.5-2.49zm0 3.8a1.31 1.31 0 1 1 0-2.61 1.31 1.31 0 1 1 0 2.61zm7.38-3.8a2.5 2.5 0 1 0 2.5 2.49 2.5 2.5 0 0 0-2.5-2.49zM17 12.77a1.31 1.31 0 1 1-1.31-1.3 1.31 1.31 0 0 1 1.31 1.3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10A10 10 0 0 0 12 2zm7.38 10.77a3.69 3.69 0 0 1-6.2 2.71L12 16.77l-1.18-1.29a3.69 3.69 0 1 1-5-5.44l-1.2-1.3H7.3a8.33 8.33 0 0 1 9.41 0h2.67l-1.2 1.31a3.71 3.71 0 0 1 1.2 2.72z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.77 9.05a7.19 7.19 0 0 0-5.54 0A4.06 4.06 0 0 1 12 12.7a4.08 4.08 0 0 1 2.77-3.65z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTumblr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.078 20.953c-2.692 0-4.699-1.385-4.699-4.7v-5.308H6.931V8.07c2.694-.699 3.821-3.017 3.95-5.023h2.796v4.558h3.263v3.34h-3.263v4.622c0 1.386.699 1.864 1.813 1.864h1.58v3.522h-2.992z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTux (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.642 8.084c-.364.189-.79.42-1.242.42s-.81-.209-1.066-.413c-.13-.101-.234-.202-.313-.276-.137-.108-.12-.259-.064-.254.094.012.108.136.168.191.08.075.18.172.302.269.243.192.567.38.973.38s.878-.238 1.167-.4c.163-.092.371-.256.541-.381.13-.095.125-.21.232-.198.107.013.028.127-.122.258a3.404 3.404 0 0 1-.576.404z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.649 17.77c-.142-.16-.209-.456-.281-.771s-.153-.654-.411-.874l-.002-.001a1.054 1.054 0 0 0-.317-.192c.359-1.065.219-2.126-.145-3.084-.444-1.177-1.222-2.202-1.815-2.903-.664-.838-1.313-1.633-1.301-2.808.021-1.793.197-5.118-2.958-5.122a4.66 4.66 0 0 0-.401.017c-3.526.283-2.59 4.008-2.643 5.255-.064.912-.249 1.631-.877 2.523-.736.876-1.774 2.295-2.266 3.771-.232.697-.342 1.407-.241 2.08a1.9 1.9 0 0 0-.091.089c-.216.231-.376.511-.555.699-.166.167-.403.229-.664.323s-.547.231-.721.565l-.001.002a1 1 0 0 0-.108.484c0 .154.023.311.046.461.048.313.097.609.032.81-.206.564-.232.954-.087 1.237.146.284.444.409.783.479.676.141 1.592.106 2.314.489l.062-.117-.061.118c.773.404 1.557.547 2.182.405.454-.104.821-.374 1.011-.789.489-.002 1.025-.209 1.885-.256.583-.047 1.312.207 2.149.16a1.119 1.119 0 0 0 .099.264c.324.649.928.946 1.571.896s1.329-.43 1.883-1.089l-.102-.085.102.084c.527-.64 1.403-.905 1.984-1.255.29-.175.525-.395.544-.713.018-.318-.169-.675-.599-1.152zm-7.79-11.708c-.003-.234.036-.435.126-.639s.201-.351.358-.47.312-.174.494-.176h.009c.179 0 .332.053.489.167.159.116.274.261.366.463a1.493 1.493 0 0 1 .141.636c.002.235-.038.435-.127.639a1.23 1.23 0 0 1-.18.299l-.074-.033c-.089-.038-.167-.069-.237-.096s-.124-.044-.181-.064c.041-.049.122-.108.151-.181a.943.943 0 0 0 .072-.347l.002-.016a.953.953 0 0 0-.05-.337c-.038-.113-.086-.195-.155-.263s-.139-.099-.223-.101h-.011a.324.324 0 0 0-.217.086.618.618 0 0 0-.174.25.944.944 0 0 0-.072.348l-.001.015a.992.992 0 0 0 .014.2 2.463 2.463 0 0 0-.507-.171 1.681 1.681 0 0 1-.014-.19v-.019zm-2.144.052c-.012-.202.009-.376.064-.556s.13-.311.238-.418a.516.516 0 0 1 .349-.168h.03c.118 0 .225.04.335.127a.968.968 0 0 1 .284.389c.076.171.116.343.127.545v.002a1.51 1.51 0 0 1-.002.243c-.023.006-.046.014-.068.021-.128.044-.23.093-.328.158a.845.845 0 0 0 .003-.214v-.012a.95.95 0 0 0-.068-.274.523.523 0 0 0-.138-.203c-.052-.044-.1-.065-.153-.064l-.017.001c-.061.005-.11.034-.157.092a.536.536 0 0 0-.101.223.912.912 0 0 0-.019.293l.001.012c.01.103.031.189.067.275a.528.528 0 0 0 .166.224c-.059.045-.098.078-.146.113l-.11.081a.995.995 0 0 1-.229-.342 1.528 1.528 0 0 1-.128-.546v-.002zm.155 1.228c.187-.14.315-.234.402-.298.086-.063.121-.086.148-.112h.001c.14-.132.362-.374.699-.49.115-.04.245-.065.39-.066.275-.001.608.089 1.01.348.247.16.439.174.882.363h.001c.213.087.338.202.399.321.061.12.063.25.012.387-.103.273-.429.562-.887.705h-.001c-.224.072-.418.233-.647.364a1.449 1.449 0 0 1-.842.217 1.105 1.105 0 0 1-.374-.083 1.202 1.202 0 0 1-.27-.167c-.161-.131-.303-.295-.51-.416h-.002c-.333-.19-.515-.408-.573-.598-.057-.189-.003-.351.162-.475zm.131 13.018v.001c-.047.623-.398.961-.938 1.085-.539.123-1.27 0-1.999-.381h-.001c-.808-.427-1.768-.385-2.384-.514-.308-.064-.509-.161-.602-.341-.092-.18-.094-.494.102-1.028l.001-.002.001-.002c.097-.299.025-.626-.021-.934-.047-.307-.07-.586.034-.781l.001-.002c.135-.259.332-.352.576-.439.245-.088.534-.157.764-.386l.001-.001.001-.001c.212-.223.371-.503.557-.702.157-.167.314-.279.551-.28h.009c.041 0 .085.003.132.011.314.047.588.268.853.625l.762 1.389h.001c.202.423.631.89.994 1.365.362.475.644.952.607 1.317l-.002.001zm-.063-1.01a6.721 6.721 0 0 0-.333-.469 13.467 13.467 0 0 0-.229-.293c.151 0 .28-.024.384-.072a.486.486 0 0 0 .266-.274c.09-.242 0-.583-.288-.973-.29-.39-.778-.83-1.494-1.269-.527-.328-.821-.729-.959-1.165s-.119-.907-.013-1.373c.204-.894.729-1.762 1.063-2.308.09-.066.032.123-.339.811-.332.629-.953 2.081-.103 3.214a6.722 6.722 0 0 1 .538-2.398c.472-1.067 1.456-2.919 1.534-4.395.041.029.18.123.241.158.182.106.316.262.492.403.177.142.396.264.729.283l.093.003c.343 0 .61-.112.833-.239.242-.138.436-.292.618-.351h.001c.387-.122.694-.335.869-.585.302 1.186 1.001 2.897 1.45 3.733.239.443.715 1.385.92 2.52.13-.004.273.015.427.054.537-1.393-.455-2.892-.909-3.31-.184-.178-.192-.258-.102-.254.492.436 1.139 1.311 1.374 2.3.107.451.13.925.016 1.393.056.023.113.048.171.076.862.42 1.181.785 1.027 1.283-.05-.002-.1-.001-.148 0h-.014c.125-.395-.151-.687-.889-1.02-.764-.336-1.373-.303-1.476.379a1.302 1.302 0 0 0-.017.109c-.057.02-.114.045-.172.076-.358.197-.555.553-.664.99-.108.437-.14.964-.17 1.558-.019.298-.141.702-.266 1.129-1.249.897-2.986 1.283-4.461.276zm9.628.057c-.524.317-1.456.593-2.05 1.313-.517.615-1.146.952-1.7.996-.555.044-1.033-.186-1.315-.752v-.001l-.001-.003c-.175-.333-.102-.858.045-1.412.147-.554.359-1.123.388-1.585v-.001c.03-.592.063-1.11.163-1.509.1-.399.256-.669.533-.821l.039-.02c.031.513.285 1.036.734 1.149.491.129 1.199-.292 1.498-.636.06-.002.118-.006.175-.007.262-.006.481.009.707.205v.001h.001c.173.146.255.423.326.733.071.31.128.647.342.888h.001c.41.456.542.764.531.96-.011.198-.153.344-.417.502z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.738 6.762c.015.048.093.04.138.063.04.02.071.065.116.066.042.001.107-.015.113-.057.008-.056-.073-.091-.126-.111-.067-.026-.153-.039-.216-.004-.014.008-.03.027-.025.043zm-.46 0c-.016.048-.094.04-.139.063-.039.02-.071.065-.115.066-.042.001-.108-.015-.114-.057-.007-.056.074-.091.126-.111.067-.026.153-.039.217-.004.015.008.03.027.025.043z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTwitch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.265 3 3 6.236v13.223h4.502V21l2.531.85 2.392-2.391h3.658l4.923-4.924V3H4.265zm15.052 10.691-2.813 2.814h-4.502l-2.391 2.391v-2.391H5.813V4.688h13.504v9.003zm-2.812-5.767v4.923h-1.688V7.924h1.688zm-4.502 0v4.923h-1.688V7.924h1.688z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTwitter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.633 7.997c.013.175.013.349.013.523 0 5.325-4.053 11.461-11.46 11.461-2.282 0-4.402-.661-6.186-1.809.324.037.636.05.973.05a8.07 8.07 0 0 0 5.001-1.721 4.036 4.036 0 0 1-3.767-2.793c.249.037.499.062.761.062.361 0 .724-.05 1.061-.137a4.027 4.027 0 0 1-3.23-3.953v-.05c.537.299 1.16.486 1.82.511a4.022 4.022 0 0 1-1.796-3.354c0-.748.199-1.434.548-2.032a11.457 11.457 0 0 0 8.306 4.215c-.062-.3-.1-.611-.1-.923a4.026 4.026 0 0 1 4.028-4.028c1.16 0 2.207.486 2.943 1.272a7.957 7.957 0 0 0 2.556-.973 4.02 4.02 0 0 1-1.771 2.22 8.073 8.073 0 0 0 2.319-.624 8.645 8.645 0 0 1-2.019 2.083z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoTypescript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.24 3H4.76A1.76 1.76 0 0 0 3 4.76v14.48A1.76 1.76 0 0 0 4.76 21h14.48A1.76 1.76 0 0 0 21 19.24V4.76A1.76 1.76 0 0 0 19.24 3zm-5.8 10h-2.25v6.44H9.4V13H7.15v-1.46h6.29zm5.8 5.28a1.71 1.71 0 0 1-.67.74 3 3 0 0 1-1 .39 5.81 5.81 0 0 1-1.2.12 7 7 0 0 1-1.23-.11 4.52 4.52 0 0 1-1-.33v-1.71l-.06-.06h.06v.07a3.41 3.41 0 0 0 1 .54 3.06 3.06 0 0 0 1.13.2 2.58 2.58 0 0 0 .6-.06 1.47 1.47 0 0 0 .42-.17.75.75 0 0 0 .25-.25.69.69 0 0 0-.06-.74 1.24 1.24 0 0 0-.35-.33 3.12 3.12 0 0 0-.53-.3l-.67-.28a3.57 3.57 0 0 1-1.37-1 2 2 0 0 1-.46-1.33 2.16 2.16 0 0 1 .24-1.06 2.09 2.09 0 0 1 .66-.71 2.88 2.88 0 0 1 1-.42 5.11 5.11 0 0 1 1.19-.13 7 7 0 0 1 1.09.07 4.53 4.53 0 0 1 .88.23v1.65a2.42 2.42 0 0 0-.42-.24 3.58 3.58 0 0 0-.49-.17 3 3 0 0 0-.49-.1 2.45 2.45 0 0 0-.46 0 2.29 2.29 0 0 0-.56.06 1.54 1.54 0 0 0-.43.16.78.78 0 0 0-.26.25.63.63 0 0 0-.09.33.62.62 0 0 0 .1.35 1.19 1.19 0 0 0 .3.29 2.15 2.15 0 0 0 .46.28l.63.28a6.56 6.56 0 0 1 .84.42 2.65 2.65 0 0 1 .64.49 1.79 1.79 0 0 1 .42.63 2.48 2.48 0 0 1 .14.85 2.68 2.68 0 0 1-.25 1.08z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoUnity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10.4 17.8 1.21 2.07L19.77 22 22 14.08 20.72 12 22 10l-2.23-8-8.16 2.13L10.4 6.2H8L2 12l6 5.81zm9.92-5.8-1.73 6L15 12l3.59-6zM10.6 6.54 16.84 5l-3.59 6H6.08zM13.27 13l3.59 6-6.26-1.55L6.1 13z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoUnsplash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.625 8.063V3h6.75v5.063h-6.75zm6.75 2.812H21V21H3V10.875h5.625v5.063h6.75v-5.063z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoUpwork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.47 6.07a4.54 4.54 0 0 0-4.38 3.69 19.9 19.9 0 0 1-2.28-4.9H8.55v6a2.14 2.14 0 1 1-4.28 0v-6L2 4.91v6a4.4 4.4 0 1 0 8.8-.05v-1a20.55 20.55 0 0 0 1.65 2.7l-1.38 6.61h2.32l1-4.81a5.61 5.61 0 0 0 3.11.89 4.57 4.57 0 0 0 0-9.14zm0 6.83a4.09 4.09 0 0 1-2.55-1l.23-.91v-.05c.16-1 .66-2.6 2.35-2.6a2.25 2.25 0 0 1 2.27 2.24 2.41 2.41 0 0 1-2.27 2.32z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoVenmo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.27a7.49 7.49 0 0 1 .66 3.35c0 2.72-1.93 6.72-3.49 9.27L9.53 2.44l-6.91.65L5.79 22h7.88c3.45-4.54 7.71-11 7.71-16a7.3 7.3 0 0 0-1.06-4z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoVimeo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.062 10.414c-2.036 4.349-6.949 10.267-10.054 10.267-3.06 0-3.501-6.527-5.173-10.869-.823-2.138-1.354-1.646-2.896-.567L2 8.031c2.248-1.976 4.499-4.272 5.88-4.399 1.559-.148 2.517.916 2.873 3.193.475 2.994 1.137 7.641 2.291 7.641.9 0 3.117-3.686 3.232-5.004.203-1.93-1.421-1.989-2.828-1.387 2.228-7.295 11.494-5.95 7.614 2.339z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoVisa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.539 9.186a4.155 4.155 0 0 0-1.451-.251c-1.6 0-2.73.806-2.738 1.963-.01.85.803 1.329 1.418 1.613.631.292.842.476.84.737-.004.397-.504.577-.969.577-.639 0-.988-.089-1.525-.312l-.199-.093-.227 1.332c.389.162 1.09.301 1.814.313 1.701 0 2.813-.801 2.826-2.032.014-.679-.426-1.192-1.352-1.616-.563-.275-.912-.459-.912-.738 0-.247.299-.511.924-.511a2.95 2.95 0 0 1 1.213.229l.15.067.227-1.287-.039.009zm4.152-.143h-1.25c-.389 0-.682.107-.852.493l-2.404 5.446h1.701l.34-.893 2.076.002c.049.209.199.891.199.891h1.5l-1.31-5.939zm-10.642-.05h1.621l-1.014 5.942H9.037l1.012-5.944v.002zm-4.115 3.275.168.825 1.584-4.05h1.717l-2.551 5.931H5.139l-1.4-5.022a.339.339 0 0 0-.149-.199 6.948 6.948 0 0 0-1.592-.589l.022-.125h2.609c.354.014.639.125.734.503l.57 2.729v-.003zm12.757.606.646-1.662c-.008.018.133-.343.215-.566l.111.513.375 1.714H18.69v.001h.001z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoVisualStudio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.29 4.1-4.12-2a1.36 1.36 0 0 0-.48-.1h-.08a1.18 1.18 0 0 0-.72.24l-.14.12-7.88 7.19L4.44 7a.83.83 0 0 0-.54-.17.88.88 0 0 0-.53.17l-1.1 1a.8.8 0 0 0-.27.61.84.84 0 0 0 .27.62l3 2.71-3 2.72a.84.84 0 0 0 0 1.23l1.1 1a.89.89 0 0 0 .6.22.93.93 0 0 0 .47-.17l3.43-2.61 7.88 7.19a1.2 1.2 0 0 0 .76.36h.17a1 1 0 0 0 .49-.12l4.12-2a1.25 1.25 0 0 0 .71-1.1V5.23a1.26 1.26 0 0 0-.71-1.13zM17 16.47l-6-4.53 6-4.53z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoVk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.579 6.855c.14-.465 0-.806-.662-.806h-2.193c-.558 0-.813.295-.953.619 0 0-1.115 2.719-2.695 4.482-.51.513-.743.675-1.021.675-.139 0-.341-.162-.341-.627V6.855c0-.558-.161-.806-.626-.806H9.642c-.348 0-.558.258-.558.504 0 .528.79.65.871 2.138v3.228c0 .707-.127.836-.407.836-.743 0-2.551-2.729-3.624-5.853-.209-.607-.42-.852-.98-.852H2.752c-.627 0-.752.295-.752.619 0 .582.743 3.462 3.461 7.271 1.812 2.601 4.363 4.011 6.687 4.011 1.393 0 1.565-.313 1.565-.853v-1.966c0-.626.133-.752.574-.752.324 0 .882.164 2.183 1.417 1.486 1.486 1.732 2.153 2.567 2.153h2.192c.626 0 .939-.313.759-.931-.197-.615-.907-1.51-1.849-2.569-.512-.604-1.277-1.254-1.51-1.579-.325-.419-.231-.604 0-.976.001.001 2.672-3.761 2.95-5.04z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoVuejs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 12.765 5.592-9.437h-3.276L12 7.33v.002L9.688 3.328h-3.28z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.461 3.332 12 14.235 5.539 3.332H1.992L12 20.672l10.008-17.34z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoWhatsappSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.043 6.925a4.985 4.985 0 0 0-4.98 4.979c-.001.94.263 1.856.761 2.649l.118.188-.503 1.837 1.885-.494.181.108a4.97 4.97 0 0 0 2.535.693h.001a4.986 4.986 0 0 0 4.979-4.978 4.946 4.946 0 0 0-1.456-3.522 4.946 4.946 0 0 0-3.521-1.46zm2.928 7.118c-.125.35-.723.668-1.01.711a2.044 2.044 0 0 1-.943-.059 8.51 8.51 0 0 1-.853-.315c-1.502-.648-2.482-2.159-2.558-2.26-.074-.1-.61-.812-.61-1.548 0-.737.386-1.099.523-1.249a.552.552 0 0 1 .4-.186c.1 0 .199.001.287.005.092.004.215-.035.336.257.125.3.425 1.036.462 1.111.037.074.062.162.013.262-.05.101-.074.162-.15.25-.074.088-.157.195-.224.263-.075.074-.153.155-.066.305.088.149.388.64.832 1.037.572.51 1.055.667 1.204.743.15.074.237.063.325-.038.087-.101.374-.437.474-.586.1-.15.199-.125.337-.076.137.051.873.412 1.022.487.148.074.249.112.287.175.036.062.036.361-.088.711z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3H4a1 1 0 0 0-1 1v16a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1V4a1 1 0 0 0-1-1zm-7.96 14.896h-.002a5.98 5.98 0 0 1-2.862-.729L6 18l.85-3.104a5.991 5.991 0 0 1 5.19-8.983 5.95 5.95 0 0 1 4.238 1.757 5.95 5.95 0 0 1 1.751 4.237 5.998 5.998 0 0 1-5.989 5.989z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoWhatsapp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"fillRule\":\"evenodd\",\"clipRule\":\"evenodd\",\"d\":\"M18.403 5.633A8.919 8.919 0 0 0 12.053 3c-4.948 0-8.976 4.027-8.978 8.977 0 1.582.413 3.126 1.198 4.488L3 21.116l4.759-1.249a8.981 8.981 0 0 0 4.29 1.093h.004c4.947 0 8.975-4.027 8.977-8.977a8.926 8.926 0 0 0-2.627-6.35m-6.35 13.812h-.003a7.446 7.446 0 0 1-3.798-1.041l-.272-.162-2.824.741.753-2.753-.177-.282a7.448 7.448 0 0 1-1.141-3.971c.002-4.114 3.349-7.461 7.465-7.461a7.413 7.413 0 0 1 5.275 2.188 7.42 7.42 0 0 1 2.183 5.279c-.002 4.114-3.349 7.462-7.461 7.462m4.093-5.589c-.225-.113-1.327-.655-1.533-.73-.205-.075-.354-.112-.504.112s-.58.729-.711.879-.262.168-.486.056-.947-.349-1.804-1.113c-.667-.595-1.117-1.329-1.248-1.554s-.014-.346.099-.458c.101-.1.224-.262.336-.393.112-.131.149-.224.224-.374s.038-.281-.019-.393c-.056-.113-.505-1.217-.692-1.666-.181-.435-.366-.377-.504-.383a9.65 9.65 0 0 0-.429-.008.826.826 0 0 0-.599.28c-.206.225-.785.767-.785 1.871s.804 2.171.916 2.321c.112.15 1.582 2.415 3.832 3.387.536.231.954.369 1.279.473.537.171 1.026.146 1.413.089.431-.064 1.327-.542 1.514-1.066.187-.524.187-.973.131-1.067-.056-.094-.207-.151-.43-.263\"},\"child\":[]}]})(props);\n};\nexport function BiLogoWikipedia (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.081 12.932c-.78 1.611-1.849 3.792-2.379 4.776-.513.896-.94.776-1.278.024-1.172-2.77-3.58-7.625-4.712-10.347-.209-.502-.367-.823-.516-.95-.151-.125-.462-.2-.936-.227-.174-.019-.26-.061-.26-.131v-.379l.043-.038c.771-.004 4.503 0 4.503 0l.042.038v.362c0 .1-.063.147-.188.147l-.47.024c-.403.026-.605.137-.605.365 0 .112.044.275.139.501.902 2.206 4.017 8.772 4.017 8.772l.114.039 2.01-4.012-.402-.89L9.82 8.285s-.265-.545-.357-.727c-.607-1.203-.593-1.265-1.206-1.347-.173-.02-.261-.042-.261-.125v-.39l.05-.037h3.578l.095.03v.376c0 .088-.063.125-.189.125l-.257.039c-.66.051-.551.318-.113 1.186l1.319 2.712 1.465-2.922c.244-.533.194-.668.093-.789-.058-.07-.255-.185-.677-.2l-.168-.018a.191.191 0 0 1-.121-.043.125.125 0 0 1-.056-.107v-.357l.051-.037c1.04-.007 3.371 0 3.371 0l.05.037v.364c0 .101-.05.148-.161.148-.539.024-.652.079-.854.366-.1.154-.313.49-.538.865l-1.919 3.563-.054.112 2.328 4.763.142.041 3.665-8.704c.129-.352.107-.602-.053-.746-.165-.144-.289-.228-.716-.246l-.35-.014a.211.211 0 0 1-.127-.037.128.128 0 0 1-.06-.1v-.361l.049-.038h4.137l.034.038v.364c0 .1-.062.15-.174.15-.541.024-.94.15-1.203.351-.263.213-.465.514-.614.89 0 0-3.371 7.72-4.524 10.289-.438.84-.878.765-1.253-.026-.477-.977-1.478-3.156-2.206-4.761l.045-.03z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoWindows (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 5.557 7.357-1.002.004 7.097-7.354.042L3 5.557zm7.354 6.913.006 7.103-7.354-1.011v-6.14l7.348.048zm.892-8.046L21.001 3v8.562l-9.755.077V4.424zm9.758 8.113-.003 8.523-9.755-1.378-.014-7.161 9.772.016z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoWix (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.444 8.256c-.4.212-.544.562-.544 1.53 0 0 .202-.194.499-.303a2.01 2.01 0 0 0 .512-.275c.338-.247.385-.562.385-1.096 0 0-.553-.016-.852.144zm-2.349.229c-.32.286-.418.739-.418.739l-1.078 4.141L8.71 9.97c-.087-.355-.246-.808-.495-1.107-.31-.378-.944-.401-1.015-.401-.068 0-.709.023-1.026.407-.246.303-.406.751-.493 1.108l-.889 3.395-1.066-4.147s-.092-.459-.418-.739c-.529-.465-1.314-.367-1.314-.367l2.048 7.764s.677.052 1.015-.126c.441-.224.659-.401.929-1.463.241-.94.912-3.704.974-3.905.029-.098.07-.332.241-.332.179 0 .214.229.241.332.064.195.729 2.965.976 3.905.268 1.055.481 1.227.929 1.463.338.178 1.015.126 1.015.126l2.048-7.759c-.002 0-.789-.099-1.315.361zm3.201.9s-.129.195-.42.367c-.188.104-.367.178-.562.271-.323.154-.414.332-.414.595v5.266s.522.063.854-.104c.436-.222.533-.435.541-1.404V9.385zm5.112 2.632 2.599-3.875s-1.096-.189-1.641.309c-.35.315-.738.885-.738.885l-.952 1.386c-.053.069-.104.15-.2.15-.099 0-.161-.075-.202-.15l-.962-1.382s-.385-.568-.74-.884c-.54-.499-1.641-.31-1.641-.31l2.603 3.865-2.603 3.858s1.146.149 1.688-.35c.35-.315.688-.837.688-.837l.95-1.383c.053-.068.104-.147.2-.147.1 0 .161.075.202.147l.952 1.383s.355.51.7.837c.538.499 1.667.35 1.667.35l-2.57-3.852z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoWordpress (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.891 7.788a8.966 8.966 0 0 1 1.099 4.313 8.986 8.986 0 0 1-4.47 7.771l2.746-7.939c.513-1.282.684-2.309.684-3.219a7.165 7.165 0 0 0-.059-.926m-6.651.087a14.14 14.14 0 0 0 1.026-.088c.485-.063.428-.775-.056-.749 0 0-1.463.112-2.4.112-.887 0-2.375-.125-2.375-.125-.487-.024-.55.713-.061.738 0 0 .449.052.938.075l1.399 3.838-1.975 5.899-3.274-9.724a17.006 17.006 0 0 0 1.028-.083c.487-.063.43-.775-.055-.747 0 0-1.455.115-2.395.115-.167 0-.365-.007-.575-.013C6.093 4.726 8.862 3.113 12 3.113c2.341 0 4.471.894 6.071 2.36-.038-.002-.076-.008-.117-.008-.883 0-1.51.77-1.51 1.596 0 .741.427 1.369.883 2.108.343.601.742 1.37.742 2.481 0 .763-.295 1.662-.685 2.899l-.896 2.987-3.25-9.675.002.014zM12 21.087a8.983 8.983 0 0 1-2.54-.364l2.697-7.838 2.763 7.572c.021.044.042.085.065.124a9.016 9.016 0 0 1-2.985.508m-8.99-8.988a8.94 8.94 0 0 1 .778-3.658l4.287 11.749a8.993 8.993 0 0 1-5.065-8.091m8.99-10c-5.513 0-10 4.487-10 10s4.487 10 10 10 10-4.487 10-10-4.487-10-10-10\"},\"child\":[]}]})(props);\n};\nexport function BiLogoXing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6a.4.4 0 0 0-.38.62l2 3.38-3.06 5.4a.45.45 0 0 0 0 .45.43.43 0 0 0 .38.19h2.87a.89.89 0 0 0 .79-.55s3-5.31 3.11-5.51l-2-3.46A.91.91 0 0 0 7.92 6zm12.16-4a.84.84 0 0 0-.77.55L10 13.93l4.09 7.52a.91.91 0 0 0 .81.55h2.88a.43.43 0 0 0 .38-.18.45.45 0 0 0 0-.45l-4.07-7.43 6.36-11.31a.45.45 0 0 0 0-.45.44.44 0 0 0-.38-.18z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoYahoo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.131 21s-.63-.114-1.138-.114c-.457 0-1.142.114-1.142.114l.143-7.646C9.933 11.52 6.814 5.933 4.868 3c.979.223 1.391.209 2.374 0l.015.025c1.239 2.194 3.135 5.254 4.736 7.905C13.575 8.325 16.064 4.258 16.74 3c.765.201 1.536.193 2.392 0-.9 1.213-4.175 6.88-6.153 10.354L13.125 21h.006z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoYelp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.824 16.675c-.105.726-1.587 2.609-2.269 2.882-.232.093-.457.07-.637-.068-.116-.09-.235-.272-1.833-2.866l-.475-.773c-.183-.277-.148-.642.078-.92.223-.27.549-.37.832-.262.016.008 1.195.397 1.195.397 2.688.883 2.775.917 2.891 1.002.17.139.249.356.214.608h.004zm-5.386-3.946c-.19-.289-.187-.63.01-.865l.746-1.019c1.642-2.235 1.732-2.353 1.843-2.431a.636.636 0 0 1 .652-.024c.651.316 1.966 2.269 2.045 3.019v.025a.623.623 0 0 1-.259.587c-.123.079-.235.125-3.291.866-.483.123-.752.19-.91.247l.021-.023c-.302.091-.64-.055-.831-.357l-.026-.025zm-1.875-1.159c-.146.046-.591.185-1.139-.702 0 0-3.694-5.813-3.78-5.992-.053-.201.011-.429.19-.613.55-.569 3.534-1.405 4.315-1.215.255.066.43.227.491.453.045.25.408 5.646.46 6.854.051 1.034-.392 1.171-.537 1.215zm.487 5.913c-.008 2.827-.015 2.922-.061 3.056-.079.211-.26.352-.51.398-.72.122-2.972-.71-3.441-1.267a.739.739 0 0 1-.157-.37c-.013-.09 0-.18.033-.259.057-.146.135-.259 2.158-2.63l.594-.706c.203-.26.563-.338.899-.204.325.124.528.405.506.708v1.259l-.021.015zm-6.13-1.808c-.222-.006-.42-.14-.535-.358-.083-.162-.142-.428-.18-.752-.102-.974.023-2.444.314-2.911.138-.214.338-.327.559-.319.146 0 .276.046 3.167 1.236l.848.337c.302.111.489.427.472.787-.022.348-.224.616-.521.696l-1.202.382c-2.689.864-2.778.888-2.919.877l-.003.025zm11.22 5.322h-.004l-.003.003.007-.003z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoYoutube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.593 7.203a2.506 2.506 0 0 0-1.762-1.766C18.265 5.007 12 5 12 5s-6.264-.007-7.831.404a2.56 2.56 0 0 0-1.766 1.778c-.413 1.566-.417 4.814-.417 4.814s-.004 3.264.406 4.814c.23.857.905 1.534 1.763 1.765 1.582.43 7.83.437 7.83.437s6.265.007 7.831-.403a2.515 2.515 0 0 0 1.767-1.763c.414-1.565.417-4.812.417-4.812s.02-3.265-.407-4.831zM9.996 15.005l.005-6 5.207 3.005-5.212 2.995z\"},\"child\":[]}]})(props);\n};\nexport function BiLogoZoom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M1.984 7.506v6.74c.006 1.524 1.361 2.75 3.014 2.745h10.693c.303 0 .549-.225.549-.498v-6.74c-.008-1.523-1.363-2.75-3.014-2.744H2.531c-.302 0-.547.224-.547.497zm14.936 2.63 4.416-2.963c.383-.292.68-.219.68.309v9.036c0 .601-.363.528-.68.309L16.92 13.87v-3.734z\"},\"child\":[]}]})(props);\n};\n","// THIS FILE IS AUTO GENERATED\nimport { GenIcon } from '../lib/index.mjs';\nexport function LuAccessibility (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"4\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 19 1-7-6 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 8 3-3 5.5 3-2.36 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.24 14.5a5 5 0 0 0 6.88 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.76 17.5a5 5 0 0 0-6.88-6\"},\"child\":[]}]})(props);\n};\nexport function LuActivitySquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h-2l-2 5-2-10-2 5H7\"},\"child\":[]}]})(props);\n};\nexport function LuActivity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-4l-3 9L9 3l-3 9H2\"},\"child\":[]}]})(props);\n};\nexport function LuAirVent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v5a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.3 17.7a2.5 2.5 0 0 1-3.16 3.83 2.53 2.53 0 0 1-1.14-2V12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.6 15.6A2 2 0 1 0 10 17v-5\"},\"child\":[]}]})(props);\n};\nexport function LuAirplay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2h-1\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"12 15 17 21 7 21 12 15\"},\"child\":[]}]})(props);\n};\nexport function LuAlarmCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3 2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 6-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.38 18.7 4 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.64 18.67 20 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 13 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuAlarmClockOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.87 6.87a8 8 0 1 0 11.26 11.26\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.9 14.25a8 8 0 0 0-9.15-9.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 6-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.26 18.67 4 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4 2 6\"},\"child\":[]}]})(props);\n};\nexport function LuAlarmClock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v4l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3 2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 6-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.38 18.7 4 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.64 18.67 20 21\"},\"child\":[]}]})(props);\n};\nexport function LuAlarmMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3 2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 6-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.38 18.7 4 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.64 18.67 20 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h6\"},\"child\":[]}]})(props);\n};\nexport function LuAlarmPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3 2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 6-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.38 18.7 4 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.64 18.67 20 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h6\"},\"child\":[]}]})(props);\n};\nexport function LuAlbum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"11 3 11 11 14 8 17 11 17 3\"},\"child\":[]}]})(props);\n};\nexport function LuAlertCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuAlertOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"7.86 2 16.14 2 22 7.86 22 16.14 16.14 22 7.86 22 2 16.14 2 7.86 7.86 2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuAlertTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.73 18-8-14a2 2 0 0 0-3.48 0l-8 14A2 2 0 0 0 4 21h16a2 2 0 0 0 1.73-3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h.01\"},\"child\":[]}]})(props);\n};\nexport function LuAlignCenterHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v4a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8V4a2 2 0 0 0-2-2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v1a2 2 0 0 1-2 2h-2a2 2 0 0 1-2-2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8V7c0-1.1.9-2 2-2h2a2 2 0 0 1 2 2v1\"},\"child\":[]}]})(props);\n};\nexport function LuAlignCenterVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10H4a2 2 0 0 1-2-2V6c0-1.1.9-2 2-2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10h4a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20H7a2 2 0 0 1-2-2v-2c0-1.1.9-2 2-2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14h1a2 2 0 0 1 2 2v2a2 2 0 0 1-2 2h-1\"},\"child\":[]}]})(props);\n};\nexport function LuAlignCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"3\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"7\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"5\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuAlignEndHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"16\",\"x\":\"4\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"9\",\"x\":\"14\",\"y\":\"9\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22H2\"},\"child\":[]}]})(props);\n};\nexport function LuAlignEndVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"6\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"9\",\"height\":\"6\",\"x\":\"9\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22V2\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalDistributeCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"14\",\"x\":\"4\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"14\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5V2\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalDistributeEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"14\",\"x\":\"4\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"14\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2v20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalDistributeStart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"14\",\"x\":\"4\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"14\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 2v20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2v20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalJustifyCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"14\",\"x\":\"2\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"16\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalJustifyEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"14\",\"x\":\"2\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"12\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 2v20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalJustifyStart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"14\",\"x\":\"6\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"16\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2v20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalSpaceAround (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"9\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22V2\"},\"child\":[]}]})(props);\n};\nexport function LuAlignHorizontalSpaceBetween (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"14\",\"x\":\"3\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"15\",\"y\":\"7\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2v20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 2v20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignJustify (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuAlignLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"3\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"3\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"3\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuAlignRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"3\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"9\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"7\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuAlignStartHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"16\",\"x\":\"4\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"9\",\"x\":\"14\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 2H2\"},\"child\":[]}]})(props);\n};\nexport function LuAlignStartVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"9\",\"height\":\"6\",\"x\":\"6\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"6\",\"x\":\"6\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2v20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalDistributeCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"6\",\"x\":\"5\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 7h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7H1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17H2\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalDistributeEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"6\",\"x\":\"5\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 10h20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalDistributeStart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"6\",\"x\":\"5\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 14h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 4h20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalJustifyCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"6\",\"x\":\"5\",\"y\":\"16\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalJustifyEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"6\",\"x\":\"5\",\"y\":\"12\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22h20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalJustifyStart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"6\",\"x\":\"5\",\"y\":\"16\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2h20\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalSpaceAround (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"9\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 20H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 4H2\"},\"child\":[]}]})(props);\n};\nexport function LuAlignVerticalSpaceBetween (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"6\",\"x\":\"5\",\"y\":\"15\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"6\",\"x\":\"7\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 21h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3h20\"},\"child\":[]}]})(props);\n};\nexport function LuAmpersand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 12c0 4.4-3.6 8-8 8A4.5 4.5 0 0 1 5 15.5c0-6 8-4 8-8.5a3 3 0 1 0-6 0c0 3 2.5 8.5 12 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h3\"},\"child\":[]}]})(props);\n};\nexport function LuAmpersands (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17c-5-3-7-7-7-9a2 2 0 0 1 4 0c0 2.5-5 2.5-5 6 0 1.7 1.3 3 3 3 2.8 0 5-2.2 5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17c-5-3-7-7-7-9a2 2 0 0 1 4 0c0 2.5-5 2.5-5 6 0 1.7 1.3 3 3 3 2.8 0 5-2.2 5-5\"},\"child\":[]}]})(props);\n};\nexport function LuAnchor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12H2a10 10 0 0 0 20 0h-3\"},\"child\":[]}]})(props);\n};\nexport function LuAngry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16s-1.5-2-4-2-4 2-4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 8 10 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 9 2.5-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h0\"},\"child\":[]}]})(props);\n};\nexport function LuAnnoyed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h2\"},\"child\":[]}]})(props);\n};\nexport function LuAntenna (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12 7 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 12 5-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 12 5-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 12 5-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 7h15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v6\"},\"child\":[]}]})(props);\n};\nexport function LuAperture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14.31\",\"x2\":\"20.05\",\"y1\":\"8\",\"y2\":\"17.94\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9.69\",\"x2\":\"21.17\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7.38\",\"x2\":\"13.12\",\"y1\":\"12\",\"y2\":\"2.06\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9.69\",\"x2\":\"3.95\",\"y1\":\"16\",\"y2\":\"6.06\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14.31\",\"x2\":\"2.83\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16.62\",\"x2\":\"10.88\",\"y1\":\"12\",\"y2\":\"21.94\"},\"child\":[]}]})(props);\n};\nexport function LuAppWindow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"2\",\"y\":\"4\",\"width\":\"20\",\"height\":\"16\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]}]})(props);\n};\nexport function LuApple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20.94c1.5 0 2.75 1.06 4 1.06 3 0 6-8 6-12.22A4.91 4.91 0 0 0 17 5c-2.22 0-4 1.44-5 2-1-.56-2.78-2-5-2a4.9 4.9 0 0 0-5 4.78C2 14 5 22 8 22c1.25 0 2.5-1.06 4-1.06Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2c1 .5 2 2 2 5\"},\"child\":[]}]})(props);\n};\nexport function LuArchiveRestore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"5\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 16 3-3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9v9a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v9a2 2 0 0 1-2 2h-2\"},\"child\":[]}]})(props);\n};\nexport function LuArchive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"5\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9v9a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function LuAreaChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v5h12V8l-5 5-4-4Z\"},\"child\":[]}]})(props);\n};\nexport function LuArmchair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9V6a2 2 0 0 0-2-2H7a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v5a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-5a2 2 0 0 0-4 0v2H7v-2a2 2 0 0 0-4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v2\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigDownDash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5H9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v3h4l-7 7-7-7h4V9h6z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6v6h4l-7 7-7-7h4V6h6z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigLeftDash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h-3v4l-7-7 7-7v4h3v6z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15h-6v4l-7-7 7-7v4h6v6z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigRightDash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h3V5l7 7-7 7v-4H9V9z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h6V5l7 7-7 7v-4H6V9z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigUpDash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v-3H5l7-7 7 7h-4v3H9z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowBigUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v-6H5l7-7 7 7h-4v6H9z\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDown01 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20V4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"15\",\"y\":\"4\",\"width\":\"4\",\"height\":\"6\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-6h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDown10 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10V4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"15\",\"y\":\"14\",\"width\":\"4\",\"height\":\"6\",\"ry\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownAZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10V6.5a2.5 2.5 0 0 1 5 0V10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14h5l-5 6h5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 12 4 4 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownFromLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3H5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 15 6 6 6-6\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownLeftFromCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12a10 10 0 1 1 10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 22 10-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22H2v-6\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 8-8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16H8V8\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7 7 17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17H7V7\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownNarrowWide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h10\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownRightFromCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a10 10 0 1 1 10-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22 12 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16v6h-6\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 8 8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v8H8\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 7 10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v10H7\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 12 4 4 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownToDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 9-7 7-7-7\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"21\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownToLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 11 6 6 6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21H5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 8-4-4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownWideNarrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDownZA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h5l-5 6h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v-3.5a2.5 2.5 0 0 1 5 0V20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18h-5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 12-7 7-7-7\"},\"child\":[]}]})(props);\n};\nexport function LuArrowLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 8-4 4 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowLeftFromLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 6-6 6 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19V5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowLeftRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3 4 7l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 21 4-4-4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17H4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 8-4 4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12H8\"},\"child\":[]}]})(props);\n};\nexport function LuArrowLeftToLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19V5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 6-6 6 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h14\"},\"child\":[]}]})(props);\n};\nexport function LuArrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 19-7-7 7-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12H5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 16 4-4-4-4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowRightFromLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12H7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 18 6-6-6-6\"},\"child\":[]}]})(props);\n};\nexport function LuArrowRightLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 3 4 4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7H4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 21-4-4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h16\"},\"child\":[]}]})(props);\n};\nexport function LuArrowRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 16 4-4-4-4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowRightToLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 18 6-6-6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5v14\"},\"child\":[]}]})(props);\n};\nexport function LuArrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 5 7 7-7 7\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUp01 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 8 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"15\",\"y\":\"4\",\"width\":\"4\",\"height\":\"6\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-6h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUp10 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 8 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10V4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"15\",\"y\":\"14\",\"width\":\"4\",\"height\":\"6\",\"ry\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpAZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 8 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10V6.5a2.5 2.5 0 0 1 5 0V10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14h5l-5 6h5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 12-4-4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16V8\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21 16-4 4-4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 8 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpFromDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5 9 7-7 7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16V2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"21\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpFromLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18 9-6-6-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpLeftFromCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8V2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2A10 10 0 1 1 2 12\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16V8h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16 8 8\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17V7h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17 7 7\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpNarrowWide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 8 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h10\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpRightFromCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12A10 10 0 1 1 12 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 2 12 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2h6v6\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 16 8-8\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17 17 7\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 12-4-4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16V8\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpToLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 13-6-6-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v14\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpWideNarrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 8 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h4\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUpZA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 8 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h5l-5 6h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v-3.5a2.5 2.5 0 0 1 5 0V20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18h-5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5 12 7-7 7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19V5\"},\"child\":[]}]})(props);\n};\nexport function LuArrowsUpFromLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4 6 3-3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 6 3-3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h16\"},\"child\":[]}]})(props);\n};\nexport function LuAsterisk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.196 9 6.804 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.804 9 10.392 6\"},\"child\":[]}]})(props);\n};\nexport function LuAtSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v5a3 3 0 0 0 6 0v-1a10 10 0 1 0-4 8\"},\"child\":[]}]})(props);\n};\nexport function LuAtom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2c2.04-2.03.02-7.36-4.5-11.9-4.54-4.52-9.87-6.54-11.9-4.5-2.04 2.03-.02 7.36 4.5 11.9 4.54 4.52 9.87 6.54 11.9 4.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.7 15.7c4.52-4.54 6.54-9.87 4.5-11.9-2.03-2.04-7.36-.02-11.9 4.5-4.52 4.54-6.54 9.87-4.5 11.9 2.03 2.04 7.36.02 11.9-4.5Z\"},\"child\":[]}]})(props);\n};\nexport function LuAward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"8\",\"r\":\"6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.477 12.89 17 22l-5-3-5 3 1.523-9.11\"},\"child\":[]}]})(props);\n};\nexport function LuAxe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14 12-8.5 8.5a2.12 2.12 0 1 1-3-3L11 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13 9 7l4-4 6 6h3a8 8 0 0 1-7 7z\"},\"child\":[]}]})(props);\n};\nexport function LuAxis3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4 20 7-7\"},\"child\":[]}]})(props);\n};\nexport function LuBaby (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16c.5.3 1.2.5 2 .5s1.5-.2 2-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6.3a9 9 0 0 1 1.8 3.9 2 2 0 0 1 0 3.6 9 9 0 0 1-17.6 0 2 2 0 0 1 0-3.6A9 9 0 0 1 12 3c2 0 3.5 1.1 3.5 2.5s-.9 2.5-2 2.5c-.8 0-1.5-.4-1.5-1\"},\"child\":[]}]})(props);\n};\nexport function LuBackpack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20V10a4 4 0 0 1 4-4h8a4 4 0 0 1 4 4v10a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6V4a2 2 0 0 1 2-2h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21v-5a2 2 0 0 1 2-2h4a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h8\"},\"child\":[]}]})(props);\n};\nexport function LuBadgeAlert (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuBadgeCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 12 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuBadgeDollarSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h-6a2 2 0 1 0 0 4h4a2 2 0 1 1 0 4H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]}]})(props);\n};\nexport function LuBadgeHelp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.09 9a3 3 0 0 1 5.83 1c0 2-3 3-3 3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"17\",\"y2\":\"17\"},\"child\":[]}]})(props);\n};\nexport function LuBadgeInfo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"16\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]}]})(props);\n};\nexport function LuBadgeMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuBadgePercent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 9-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h.01\"},\"child\":[]}]})(props);\n};\nexport function LuBadgePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuBadgeX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"9\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuBadge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.85 8.62a4 4 0 0 1 4.78-4.77 4 4 0 0 1 6.74 0 4 4 0 0 1 4.78 4.78 4 4 0 0 1 0 6.74 4 4 0 0 1-4.77 4.78 4 4 0 0 1-6.75 0 4 4 0 0 1-4.78-4.77 4 4 0 0 1 0-6.76Z\"},\"child\":[]}]})(props);\n};\nexport function LuBaggageClaim (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18H6a2 2 0 0 1-2-2V7a2 2 0 0 0-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14V4a2 2 0 0 0-2-2h-1a2 2 0 0 0-2 2v10\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"13\",\"height\":\"8\",\"x\":\"8\",\"y\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"20\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"20\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuBan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.9 4.9 14.2 14.2\"},\"child\":[]}]})(props);\n};\nexport function LuBanana (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13c3.5-2 8-2 10 2a5.5 5.5 0 0 1 8 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.15 17.89c5.52-1.52 8.65-6.89 7-12C11.55 4 11.5 2 13 2c3.22 0 5 5.5 5 8 0 6.5-4.2 12-10.49 12C5.11 22 2 22 2 20c0-1.5 1.14-1.55 3.15-2.11Z\"},\"child\":[]}]})(props);\n};\nexport function LuBanknote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h.01M18 12h.01\"},\"child\":[]}]})(props);\n};\nexport function LuBarChart2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"18\",\"y1\":\"20\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"20\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"20\",\"y2\":\"14\"},\"child\":[]}]})(props);\n};\nexport function LuBarChart3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17V5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17v-3\"},\"child\":[]}]})(props);\n};\nexport function LuBarChart4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17V5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17v-3\"},\"child\":[]}]})(props);\n};\nexport function LuBarChartBig (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"7\",\"x\":\"7\",\"y\":\"10\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"12\",\"x\":\"15\",\"y\":\"5\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuBarChartHorizontalBig (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"4\",\"x\":\"7\",\"y\":\"5\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"4\",\"x\":\"7\",\"y\":\"13\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuBarChartHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6h3\"},\"child\":[]}]})(props);\n};\nexport function LuBarChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"20\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"18\",\"y1\":\"20\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"20\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuBaseline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 16 6-12 6 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]}]})(props);\n};\nexport function LuBath (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6 6.5 3.5a1.5 1.5 0 0 0-1-.5C4.683 3 4 3.683 4 4.5V17a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"8\",\"y1\":\"5\",\"y2\":\"7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7\",\"x2\":\"7\",\"y1\":\"19\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"17\",\"y1\":\"19\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuBatteryCharging (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h1a2 2 0 0 1 2 2v6a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7H4a2 2 0 0 0-2 2v6a2 2 0 0 0 2 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 7-3 5h4l-3 5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"22\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuBatteryFull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"10\",\"x\":\"2\",\"y\":\"7\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"22\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"14\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuBatteryLow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"10\",\"x\":\"2\",\"y\":\"7\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"22\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuBatteryMedium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"10\",\"x\":\"2\",\"y\":\"7\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"22\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuBatteryWarning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h2a2 2 0 0 1 2 2v6c0 1-1 2-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7H4a2 2 0 0 0-2 2v6c0 1 1 2 2 2h2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"22\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"7\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"17\",\"y2\":\"17.01\"},\"child\":[]}]})(props);\n};\nexport function LuBattery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"10\",\"x\":\"2\",\"y\":\"7\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"22\",\"y1\":\"11\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuBeaker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 3h15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v16a2 2 0 0 0 2 2h8a2 2 0 0 0 2-2V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h12\"},\"child\":[]}]})(props);\n};\nexport function LuBeanOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9c-.64.64-1.521.954-2.402 1.165A6 6 0 0 0 8 22a13.96 13.96 0 0 0 9.9-4.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.75 5.093A6 6 0 0 1 22 8c0 2.411-.61 4.68-1.683 6.66\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.341 10.62a4 4 0 0 0 6.487 1.208M10.62 5.341a4.015 4.015 0 0 1 2.039 2.04\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuBean (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.165 6.598C9.954 7.478 9.64 8.36 9 9c-.64.64-1.521.954-2.402 1.165A6 6 0 0 0 8 22c7.732 0 14-6.268 14-14a6 6 0 0 0-11.835-1.402Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.341 10.62a4 4 0 1 0 5.279-5.28\"},\"child\":[]}]})(props);\n};\nexport function LuBedDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20v-8a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10V6a2 2 0 0 1 2-2h12a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18h20\"},\"child\":[]}]})(props);\n};\nexport function LuBedSingle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20v-8a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10V6a2 2 0 0 1 2-2h10a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h18\"},\"child\":[]}]})(props);\n};\nexport function LuBed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8h18a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v9\"},\"child\":[]}]})(props);\n};\nexport function LuBeef (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12.5\",\"cy\":\"8.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 2a6.5 6.5 0 0 0-6.22 4.6c-1.1 3.13-.78 3.9-3.18 6.08A3 3 0 0 0 5 18c4 0 8.4-1.8 11.4-4.3A6.5 6.5 0 0 0 12.5 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18.5 6 2.19 4.5a6.48 6.48 0 0 1 .31 2 6.49 6.49 0 0 1-2.6 5.2C15.4 20.2 11 22 7 22a3 3 0 0 1-2.68-1.66L2.4 16.5\"},\"child\":[]}]})(props);\n};\nexport function LuBeer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11h1a3 3 0 0 1 0 6h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7.5c-1 0-1.44.5-3 .5s-2-.5-3-.5-1.72.5-2.5.5a2.5 2.5 0 0 1 0-5c.78 0 1.57.5 2.5.5S9.44 2 11 2s2 1.5 3 1.5 1.72-.5 2.5-.5a2.5 2.5 0 0 1 0 5c-.78 0-1.5-.5-2.5-.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8v12a2 2 0 0 0 2 2h8a2 2 0 0 0 2-2V8\"},\"child\":[]}]})(props);\n};\nexport function LuBellDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.4 14.9C20.2 16.4 21 17 21 17H3s3-2 3-9c0-3.3 2.7-6 6-6 .7 0 1.3.1 1.9.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.3 21a1.94 1.94 0 0 0 3.4 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"8\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuBellMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.4 12c.8 3.8 2.6 5 2.6 5H3s3-2 3-9c0-3.3 2.7-6 6-6 1.8 0 3.4.8 4.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.3 21a1.94 1.94 0 0 0 3.4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h6\"},\"child\":[]}]})(props);\n};\nexport function LuBellOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.7 3A6 6 0 0 1 18 8a21.3 21.3 0 0 0 .6 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17H3s3-2 3-9a4.67 4.67 0 0 1 .3-1.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.3 21a1.94 1.94 0 0 0 3.4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]}]})(props);\n};\nexport function LuBellPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.3 14.8C20.1 16.4 21 17 21 17H3s3-2 3-9c0-3.3 2.7-6 6-6 1 0 1.9.2 2.8.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.3 21a1.94 1.94 0 0 0 3.4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5v6\"},\"child\":[]}]})(props);\n};\nexport function LuBellRing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8a6 6 0 0 1 12 0c0 7 3 9 3 9H3s3-2 3-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.3 21a1.94 1.94 0 0 0 3.4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 2C2.8 3.7 2 5.7 2 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8c0-2.3-.8-4.3-2-6\"},\"child\":[]}]})(props);\n};\nexport function LuBell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8a6 6 0 0 1 12 0c0 7 3 9 3 9H3s3-2 3-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.3 21a1.94 1.94 0 0 0 3.4 0\"},\"child\":[]}]})(props);\n};\nexport function LuBike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18.5\",\"cy\":\"17.5\",\"r\":\"3.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5.5\",\"cy\":\"17.5\",\"r\":\"3.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17.5V14l-3-3 4-3 2 3h2\"},\"child\":[]}]})(props);\n};\nexport function LuBinary (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"14\",\"y\":\"14\",\"width\":\"4\",\"height\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"6\",\"y\":\"4\",\"width\":\"4\",\"height\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h2v6\"},\"child\":[]}]})(props);\n};\nexport function LuBiohazard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"11.9\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.7 3.4c-.9 2.5 0 5.2 2.2 6.7C6.5 9 3.7 9.6 2 11.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.9 10.1 1.4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.3 3.4c.9 2.5 0 5.2-2.2 6.7 2.4-1.2 5.2-.6 6.9 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.1 10.1-1.4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.7 20.8c-2.6-.4-4.6-2.6-4.7-5.3-.2 2.6-2.1 4.8-4.7 5.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.9v1.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 5.4c-1-.2-2-.2-3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16.4c.7-.7 1.2-1.6 1.5-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 13.9c.3.9.8 1.8 1.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function LuBird (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.4 18H12a8 8 0 0 0 8-8V7a4 4 0 0 0-7.28-2.3L2 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 7 2 .5-2 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17.75V21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18a6 6 0 0 0 3.84-10.61\"},\"child\":[]}]})(props);\n};\nexport function LuBitcoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.767 19.089c4.924.868 6.14-6.025 1.216-6.894m-1.216 6.894L5.86 18.047m5.908 1.042-.347 1.97m1.563-8.864c4.924.869 6.14-6.025 1.215-6.893m-1.215 6.893-3.94-.694m5.155-6.2L8.29 4.26m5.908 1.042.348-1.97M7.48 20.364l3.126-17.727\"},\"child\":[]}]})(props);\n};\nexport function LuBlinds (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3v14\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuBluetoothConnected (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 7 10 10-5 5V2l5 5L7 17\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"6\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuBluetoothOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17 17-5 5V12l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 9.5 17 7l-5-5v4.5\"},\"child\":[]}]})(props);\n};\nexport function LuBluetoothSearching (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 7 10 10-5 5V2l5 5L7 17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.83 14.83a4 4 0 0 0 0-5.66\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h.01\"},\"child\":[]}]})(props);\n};\nexport function LuBluetooth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 7 10 10-5 5V2l5 5L7 17\"},\"child\":[]}]})(props);\n};\nexport function LuBold (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a4 4 0 0 0 0-8H6v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20a4 4 0 0 0 0-8H6v8Z\"},\"child\":[]}]})(props);\n};\nexport function LuBomb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"13\",\"r\":\"9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19.5 9.5 1.8-1.8a2.4 2.4 0 0 0 0-3.4l-1.6-1.6a2.41 2.41 0 0 0-3.4 0l-1.8 1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 2-1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function LuBone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10c.7-.7 1.69 0 2.5 0a2.5 2.5 0 1 0 0-5 .5.5 0 0 1-.5-.5 2.5 2.5 0 1 0-5 0c0 .81.7 1.8 0 2.5l-7 7c-.7.7-1.69 0-2.5 0a2.5 2.5 0 0 0 0 5c.28 0 .5.22.5.5a2.5 2.5 0 1 0 5 0c0-.81-.7-1.8 0-2.5Z\"},\"child\":[]}]})(props);\n};\nexport function LuBookCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16V4a2 2 0 0 1 2-2h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14H4a2 2 0 1 0 0 4h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18H11a2 2 0 1 0 0 4h11V6H11a2 2 0 0 0-2 2v12\"},\"child\":[]}]})(props);\n};\nexport function LuBookDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H20v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 10 3 3 3-3\"},\"child\":[]}]})(props);\n};\nexport function LuBookKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v14H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14\",\"cy\":\"8\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 2-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 3 1 1\"},\"child\":[]}]})(props);\n};\nexport function LuBookLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15v7H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"5\",\"x\":\"12\",\"y\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6V4a2 2 0 1 0-4 0v2\"},\"child\":[]}]})(props);\n};\nexport function LuBookMarked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H20v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"10 2 10 10 13 7 16 10 16 2\"},\"child\":[]}]})(props);\n};\nexport function LuBookMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H20v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]}]})(props);\n};\nexport function LuBookOpenCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3H2v15h7c1.7 0 3 1.3 3 3V7c0-2.2-1.8-4-4-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 12 2 2 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 6V3h-6c-2.2 0-4 1.8-4 4v14c0-1.7 1.3-3 3-3h7v-2.3\"},\"child\":[]}]})(props);\n};\nexport function LuBookOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3h6a4 4 0 0 1 4 4v14a3 3 0 0 0-3-3H2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 3h-6a4 4 0 0 0-4 4v14a3 3 0 0 1 3-3h7z\"},\"child\":[]}]})(props);\n};\nexport function LuBookPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H20v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v6\"},\"child\":[]}]})(props);\n};\nexport function LuBookTemplate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15v2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5V15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2h2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22H6.5a2.5 2.5 0 0 1 0-5H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5v-.5A2.5 2.5 0 0 1 6.5 2H8\"},\"child\":[]}]})(props);\n};\nexport function LuBookUp2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2h2v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 10 3-3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 5 3-3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuBookUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H20v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 10 3-3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuBookX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H20v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.5 7-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9.5 7 5 5\"},\"child\":[]}]})(props);\n};\nexport function LuBook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19.5v-15A2.5 2.5 0 0 1 6.5 2H20v20H6.5a2.5 2.5 0 0 1 0-5H20\"},\"child\":[]}]})(props);\n};\nexport function LuBookmarkMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19 21-7-4-7 4V5a2 2 0 0 1 2-2h10a2 2 0 0 1 2 2v16z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"9\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuBookmarkPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19 21-7-4-7 4V5a2 2 0 0 1 2-2h10a2 2 0 0 1 2 2v16z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"7\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"9\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuBookmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19 21-7-4-7 4V5a2 2 0 0 1 2-2h10a2 2 0 0 1 2 2v16z\"},\"child\":[]}]})(props);\n};\nexport function LuBoomBox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9V5a2 2 0 0 1 2-2h12a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"9\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"15\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"15\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuBot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"10\",\"x\":\"3\",\"y\":\"11\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuBoxSelect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3a2 2 0 0 0-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19a2 2 0 0 1-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21a2 2 0 0 1-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14v1\"},\"child\":[]}]})(props);\n};\nexport function LuBox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.29 7 12 12 20.71 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuBoxes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.97 12.92A2 2 0 0 0 2 14.63v3.24a2 2 0 0 0 .97 1.71l3 1.8a2 2 0 0 0 2.06 0L12 19v-5.5l-5-3-4.03 2.42Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 16.5-4.74-2.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 16.5 5-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.5v5.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5V19l3.97 2.38a2 2 0 0 0 2.06 0l3-1.8a2 2 0 0 0 .97-1.71v-3.24a2 2 0 0 0-.97-1.71L17 10.5l-5 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 16.5-5-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 16.5 4.74-2.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16.5v5.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.97 4.42A2 2 0 0 0 7 6.13v4.37l5 3 5-3V6.13a2 2 0 0 0-.97-1.71l-3-1.8a2 2 0 0 0-2.06 0l-3 1.8Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8 7.26 5.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 8 4.74-2.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5V8\"},\"child\":[]}]})(props);\n};\nexport function LuBraces (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3H7a2 2 0 0 0-2 2v5a2 2 0 0 1-2 2 2 2 0 0 1 2 2v5c0 1.1.9 2 2 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21h1a2 2 0 0 0 2-2v-5c0-1.1.9-2 2-2a2 2 0 0 1-2-2V5a2 2 0 0 0-2-2h-1\"},\"child\":[]}]})(props);\n};\nexport function LuBrackets (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h3v18h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21H5V3h3\"},\"child\":[]}]})(props);\n};\nexport function LuBrainCircuit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4.5a2.5 2.5 0 0 0-4.96-.46 2.5 2.5 0 0 0-1.98 3 2.5 2.5 0 0 0-1.32 4.24 3 3 0 0 0 .34 5.58 2.5 2.5 0 0 0 2.96 3.08 2.5 2.5 0 0 0 4.91.05L12 20V4.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8V5c0-1.1.9-2 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h6a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 8a.5.5 0 1 1-1 0 .5.5 0 0 1 1 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 13a.5.5 0 1 1-1 0 .5.5 0 0 1 1 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 21a.5.5 0 1 1-1 0 .5.5 0 0 1 1 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 3a.5.5 0 1 1-1 0 .5.5 0 0 1 1 0Z\"},\"child\":[]}]})(props);\n};\nexport function LuBrainCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4.5a2.5 2.5 0 0 0-4.96-.46 2.5 2.5 0 0 0-1.98 3 2.5 2.5 0 0 0-1.32 4.24 3 3 0 0 0 .34 5.58 2.5 2.5 0 0 0 2.96 3.08A2.5 2.5 0 0 0 9.5 22c1.21 0 2.5-.74 2.5-2.5m0-15a2.5 2.5 0 0 1 4.96-.46 2.5 2.5 0 0 1 1.98 3 2.5 2.5 0 0 1 1.32 4.24 3 3 0 0 1-.34 5.58 2.5 2.5 0 0 1-2.96 3.08A2.5 2.5 0 0 1 14.5 22c-1.21 0-2.5-.74-2.5-2.5m0-15V5m0 14.5V19\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.6 10.5-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.27 13-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.6 13.5-.87-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.27 11-.87-.5\"},\"child\":[]}]})(props);\n};\nexport function LuBrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 2A2.5 2.5 0 0 1 12 4.5v15a2.5 2.5 0 0 1-4.96.44 2.5 2.5 0 0 1-2.96-3.08 3 3 0 0 1-.34-5.58 2.5 2.5 0 0 1 1.32-4.24 2.5 2.5 0 0 1 1.98-3A2.5 2.5 0 0 1 9.5 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2A2.5 2.5 0 0 0 12 4.5v15a2.5 2.5 0 0 0 4.96.44 2.5 2.5 0 0 0 2.96-3.08 3 3 0 0 0 .34-5.58 2.5 2.5 0 0 0-1.32-4.24 2.5 2.5 0 0 0-1.98-3A2.5 2.5 0 0 0 14.5 2Z\"},\"child\":[]}]})(props);\n};\nexport function LuBriefcase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"7\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21V5a2 2 0 0 0-2-2h-4a2 2 0 0 0-2 2v16\"},\"child\":[]}]})(props);\n};\nexport function LuBringToFront (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"8\",\"y\":\"8\",\"width\":\"8\",\"height\":\"8\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 0 1-2-2V4a2 2 0 0 1 2-2h4a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20a2 2 0 0 0 2 2h4a2 2 0 0 0 2-2v-4a2 2 0 0 0-2-2\"},\"child\":[]}]})(props);\n};\nexport function LuBrush (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9.06 11.9 8.07-8.06a2.85 2.85 0 1 1 4.03 4.03l-8.06 8.08\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.07 14.94c-1.66 0-3 1.35-3 3.02 0 1.33-2.5 1.52-2 2.02 1.08 1.1 2.49 2.02 4 2.02 2.2 0 4-1.8 4-4.04a3.01 3.01 0 0 0-3-3.02z\"},\"child\":[]}]})(props);\n};\nexport function LuBug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"14\",\"x\":\"8\",\"y\":\"6\",\"rx\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 7-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 7 3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 19-3-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 19 3-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 4 1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 4-1 2\"},\"child\":[]}]})(props);\n};\nexport function LuBuilding2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22V4a2 2 0 0 1 2-2h8a2 2 0 0 1 2 2v18Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12H4a2 2 0 0 0-2 2v6a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h2a2 2 0 0 1 2 2v9a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h4\"},\"child\":[]}]})(props);\n};\nexport function LuBuilding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"20\",\"x\":\"4\",\"y\":\"2\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 22v-4h6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14h.01\"},\"child\":[]}]})(props);\n};\nexport function LuBus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17h2l.64-2.54c.24-.959.24-1.962 0-2.92l-1.07-4.27A3 3 0 0 0 17.66 5H4a2 2 0 0 0-2 2v10h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17H9\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"17.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"17.5\",\"r\":\"2.5\"},\"child\":[]}]})(props);\n};\nexport function LuCable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9a2 2 0 0 1-2-2V5h6v2a2 2 0 0 1-2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15V6.5a3.5 3.5 0 0 0-7 0v11a3.5 3.5 0 0 1-7 0V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19h-6v-2a2 2 0 0 1 2-2h2a2 2 0 0 1 2 2Z\"},\"child\":[]}]})(props);\n};\nexport function LuCakeSlice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"7\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.2 7.9 3 11v9c0 .6.4 1 1 1h16c.6 0 1-.4 1-1v-9c0-2-3-6-7-8l-3.6 2.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17H3\"},\"child\":[]}]})(props);\n};\nexport function LuCake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21v-8a2 2 0 0 0-2-2H6a2 2 0 0 0-2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16s.5-1 2-1 2.5 2 4 2 2.5-2 4-2 2.5 2 4 2 2-1 2-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 21h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h.01\"},\"child\":[]}]})(props);\n};\nexport function LuCalculator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"20\",\"x\":\"4\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"14\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h.01\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarCheck2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14V6a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 20 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 16 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarClock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7.5V6a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 17.5 16 16.25V14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16a6 6 0 1 1-12 0 6 6 0 0 1 12 0Z\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarDays (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h.01\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10V6a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14c0 1.1.9 2 2 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.29 14.7a2.43 2.43 0 0 0-2.65-.52c-.3.12-.57.3-.8.53l-.34.34-.35-.34a2.43 2.43 0 0 0-2.65-.53c-.3.12-.56.3-.79.53-.95.94-1 2.53.2 3.74L17.5 22l3.6-3.55c1.2-1.21 1.14-2.8.19-3.74Z\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13V6a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"22\",\"y1\":\"19\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.18 4.18A2 2 0 0 0 3 6v14a2 2 0 0 0 2 2h14a2 2 0 0 0 1.82-1.18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15.5V6a2 2 0 0 0-2-2H9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10h-5.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13V6a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"19\",\"y1\":\"16\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"22\",\"y1\":\"19\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarRange (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18H7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18h.01\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12V6a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14c0 1.1.9 2 2 2h7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21a3 3 0 1 0 0-6 3 3 0 0 0 0 6v0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 22-1.5-1.5\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarX2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13V6a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"22\",\"y1\":\"17\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"22\",\"y1\":\"22\",\"y2\":\"17\"},\"child\":[]}]})(props);\n};\nexport function LuCalendarX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"14\",\"y1\":\"14\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"10\",\"y1\":\"14\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuCalendar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuCameraOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7H4a2 2 0 0 0-2 2v9a2 2 0 0 0 2 2h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 4h5L17 7h3a2 2 0 0 1 2 2v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.121 15.121A3 3 0 1 1 9.88 10.88\"},\"child\":[]}]})(props);\n};\nexport function LuCamera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 4h-5L7 7H4a2 2 0 0 0-2 2v9a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V9a2 2 0 0 0-2-2h-3l-2.5-3z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuCandlestickChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"6\",\"x\":\"7\",\"y\":\"9\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"8\",\"x\":\"15\",\"y\":\"5\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]}]})(props);\n};\nexport function LuCandyCane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.7 21a2 2 0 0 1-3.5-2l8.6-14a6 6 0 0 1 10.4 6 2 2 0 1 1-3.464-2 2 2 0 1 0-3.464-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.75 7 15 2.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.9 4.8 13 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7.9 9.7 2 4.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.9 14.7 7 18.9\"},\"child\":[]}]})(props);\n};\nexport function LuCandyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 8.5-1 1a4.95 4.95 0 0 0 7 7l1-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.843 6.187A4.947 4.947 0 0 1 16.5 7.5a4.947 4.947 0 0 1 1.313 4.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16.5V14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6.5v1.843\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 7 1-5 1.367.683A3 3 0 0 0 19.708 3H21v1.292a3 3 0 0 0 .317 1.341L22 7l-5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 17-1 5-1.367-.683A3 3 0 0 0 4.292 21H3v-1.292a3 3 0 0 0-.317-1.341L2 17l5-1\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuCandy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9.5 7.5-2 2a4.95 4.95 0 1 0 7 7l2-2a4.95 4.95 0 1 0-7-7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6.5v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7.5v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 7 1-5 1.37.68A3 3 0 0 0 19.7 3H21v1.3c0 .46.1.92.32 1.33L22 7l-5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 17-1 5-1.37-.68A3 3 0 0 0 4.3 21H3v-1.3a3 3 0 0 0-.32-1.33L2 17l5-1\"},\"child\":[]}]})(props);\n};\nexport function LuCar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16H9m10 0h3v-3.15a1 1 0 0 0-.84-.99L16 11l-2.7-3.6a1 1 0 0 0-.8-.4H5.24a2 2 0 0 0-1.8 1.1l-.8 1.63A6 6 0 0 0 2 12.42V16h2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"16.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"16.5\",\"r\":\"2.5\"},\"child\":[]}]})(props);\n};\nexport function LuCarrot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.27 21.7s9.87-3.5 12.73-6.36a4.5 4.5 0 0 0-6.36-6.37C5.77 11.84 2.27 21.7 2.27 21.7zM8.64 14l-2.05-2.04M15.34 15l-2.46-2.46\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9s-1.33-2-3.5-2C16.86 7 15 9 15 9s1.33 2 3.5 2S22 9 22 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2s-2 1.33-2 3.5S15 9 15 9s2-1.84 2-3.5C17 3.33 15 2 15 2z\"},\"child\":[]}]})(props);\n};\nexport function LuCaseLower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"7\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v8\"},\"child\":[]}]})(props);\n};\nexport function LuCaseSensitive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 15 4-8 4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v6\"},\"child\":[]}]})(props);\n};\nexport function LuCaseUpper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 15 4-8 4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h4.5a2 2 0 0 1 0 4H15V7h4a2 2 0 0 1 0 4\"},\"child\":[]}]})(props);\n};\nexport function LuCassetteTape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"16\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 20 .7-2.9A1.4 1.4 0 0 1 8.1 16h7.8a1.4 1.4 0 0 1 1.4 1l.7 3\"},\"child\":[]}]})(props);\n};\nexport function LuCast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8V6a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v12a2 2 0 0 1-2 2h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12a9 9 0 0 1 8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16a5 5 0 0 1 4 4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"2.01\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuCastle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 20v-9H2v9a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11V4H6v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22v-4a3 3 0 0 0-3-3v0a3 3 0 0 0-3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 11V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 11V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4V2\"},\"child\":[]}]})(props);\n};\nexport function LuCat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c.67 0 1.35.09 2 .26 1.78-2 5.03-2.84 6.42-2.26 1.4.58-.42 7-.42 7 .57 1.07 1 2.24 1 3.44C21 17.9 16.97 21 12 21s-9-3-9-7.56c0-1.25.5-2.4 1-3.44 0 0-1.89-6.42-.5-7 1.39-.58 4.72.23 6.5 2.23A9.04 9.04 0 0 1 12 5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.25 16.25h1.5L12 17l-.75-.75Z\"},\"child\":[]}]})(props);\n};\nexport function LuCheckCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6 7 17l-5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 10-7.5 7.5L13 16\"},\"child\":[]}]})(props);\n};\nexport function LuCheckCircle2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c5.523 0 10-4.477 10-10S17.523 2 12 2 2 6.477 2 12s4.477 10 10 10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 12 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuCheckCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 11.08V12a10 10 0 1 1-5.93-9.14\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"22 4 12 14.01 9 11.01\"},\"child\":[]}]})(props);\n};\nexport function LuCheckSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"9 11 12 14 22 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v7a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h11\"},\"child\":[]}]})(props);\n};\nexport function LuCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"20 6 9 17 4 12\"},\"child\":[]}]})(props);\n};\nexport function LuChefHat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13.87A4 4 0 0 1 7.41 6a5.11 5.11 0 0 1 1.05-1.54 5 5 0 0 1 7.08 0A5.11 5.11 0 0 1 16.59 6 4 4 0 0 1 18 13.87V21H6Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"18\",\"y1\":\"17\",\"y2\":\"17\"},\"child\":[]}]})(props);\n};\nexport function LuCherry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17a5 5 0 0 0 10 0c0-2.76-2.5-5-5-3-2.5-2-5 .24-5 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a5 5 0 0 0 10 0c0-2.76-2.5-5-5-3-2.5-2-5 .24-5 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14c3.22-2.91 4.29-8.75 5-12 1.66 2.38 4.94 9 5 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9c-4.29 0-7.14-2.33-10-7 5.71 0 10 4.67 10 7Z\"},\"child\":[]}]})(props);\n};\nexport function LuChevronDownCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 10-4 4-4-4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronDownSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 10-4 4-4-4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 9 6 6 6-6\"},\"child\":[]}]})(props);\n};\nexport function LuChevronFirst (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17 18-6-6 6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]}]})(props);\n};\nexport function LuChevronLast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 18 6-6-6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6v12\"},\"child\":[]}]})(props);\n};\nexport function LuChevronLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 16-4-4 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 16-4-4 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15 18-6-6 6-6\"},\"child\":[]}]})(props);\n};\nexport function LuChevronRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 8 4 4-4 4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 8 4 4-4 4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 18 6-6-6-6\"},\"child\":[]}]})(props);\n};\nexport function LuChevronUpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 14 4-4 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronUpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 14 4-4 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuChevronUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18 15-6-6-6 6\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsDownUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 20 5-5 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 4 5 5 5-5\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 6 5 5 5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 13 5 5 5-5\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsLeftRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 7-5 5 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 7 5 5-5 5\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m11 17-5-5 5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 17-5-5 5-5\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsRightLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m20 17-5-5 5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4 17 5-5-5-5\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 17 5-5-5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 17 5-5-5-5\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsUpDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 15 5 5 5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 9 5-5 5 5\"},\"child\":[]}]})(props);\n};\nexport function LuChevronsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17 11-5-5-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 18-5-5-5 5\"},\"child\":[]}]})(props);\n};\nexport function LuChrome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21.17\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3.95\",\"x2\":\"8.54\",\"y1\":\"6.06\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10.88\",\"x2\":\"15.46\",\"y1\":\"21.94\",\"y2\":\"14\"},\"child\":[]}]})(props);\n};\nexport function LuChurch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18 7 4 2v11a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V9l4-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 22v-4a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22V5l-6-3-6 3v17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h4\"},\"child\":[]}]})(props);\n};\nexport function LuCigaretteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12H2v4h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8c0-2.5-2-2.5-2-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8c0-2.5-2-2.5-2-5\"},\"child\":[]}]})(props);\n};\nexport function LuCigarette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12H2v4h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8c0-2.5-2-2.5-2-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8c0-2.5-2-2.5-2-5\"},\"child\":[]}]})(props);\n};\nexport function LuCircleDashed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.1 2.18a9.93 9.93 0 0 1 3.8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.6 3.71a9.95 9.95 0 0 1 2.69 2.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.82 10.1a9.93 9.93 0 0 1 0 3.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.29 17.6a9.95 9.95 0 0 1-2.7 2.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.9 21.82a9.94 9.94 0 0 1-3.8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.4 20.29a9.95 9.95 0 0 1-2.69-2.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.18 13.9a9.93 9.93 0 0 1 0-3.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.71 6.4a9.95 9.95 0 0 1 2.7-2.69\"},\"child\":[]}]})(props);\n};\nexport function LuCircleDollarSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h-6a2 2 0 1 0 0 4h4a2 2 0 1 1 0 4H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]}]})(props);\n};\nexport function LuCircleDotDashed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.1 2.18a9.93 9.93 0 0 1 3.8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.6 3.71a9.95 9.95 0 0 1 2.69 2.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.82 10.1a9.93 9.93 0 0 1 0 3.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.29 17.6a9.95 9.95 0 0 1-2.7 2.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.9 21.82a9.94 9.94 0 0 1-3.8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.4 20.29a9.95 9.95 0 0 1-2.69-2.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.18 13.9a9.93 9.93 0 0 1 0-3.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.71 6.4a9.95 9.95 0 0 1 2.7-2.69\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuCircleDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuCircleEllipsis (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h.01\"},\"child\":[]}]})(props);\n};\nexport function LuCircleEqual (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h10\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuCircleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.35 2.69A10 10 0 0 1 21.3 15.65\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.08 19.08A10 10 0 1 1 4.92 4.92\"},\"child\":[]}]})(props);\n};\nexport function LuCircleSlash2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 2 2 22\"},\"child\":[]}]})(props);\n};\nexport function LuCircleSlash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"15\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuCircuitBoard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h4a2 2 0 0 0 2-2V3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"9\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21v-4a2 2 0 0 1 2-2h4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"15\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuCitrus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.66 17.67a1.08 1.08 0 0 1-.04 1.6A12 12 0 0 1 4.73 2.38a1.1 1.1 0 0 1 1.61-.04z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.65 15.66A8 8 0 0 1 8.35 4.34\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 10-5.5 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17.85V10H6.15\"},\"child\":[]}]})(props);\n};\nexport function LuClapperboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11v8a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-8H4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4 11-.88-2.87a2 2 0 0 1 1.33-2.5l11.48-3.5a2 2 0 0 1 2.5 1.32l.87 2.87L4 11.01Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.6 4.99 3.38 4.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11.86 3.38 3.38 4.2\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 14 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4H6a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14H11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 10-4 4 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.42 12.61a2.1 2.1 0 1 1 2.97 2.97L7.95 21 4 22l.99-3.95 5.43-5.44Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2h-5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13.5V6a2 2 0 0 1 2-2h2\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardList (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h.01\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardPaste (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2H9a1 1 0 0 0-1 1v2c0 .6.4 1 1 1h6c.6 0 1-.4 1-1V3c0-.6-.4-1-1-1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4H6a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2M16 4h2a2 2 0 0 1 2 2v2M11 14h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 10 4 4-4 4\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardSignature (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4H6a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 1.73 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 9.61a2.1 2.1 0 1 1 2.97 2.97L16.95 17 13 18l.99-3.95 4.43-4.44Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h1\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardType (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-1h6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v6\"},\"child\":[]}]})(props);\n};\nexport function LuClipboardX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 11-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 11 6 6\"},\"child\":[]}]})(props);\n};\nexport function LuClipboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"4\",\"x\":\"8\",\"y\":\"2\",\"rx\":\"1\",\"ry\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2\"},\"child\":[]}]})(props);\n};\nexport function LuClock1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 14.5 8\"},\"child\":[]}]})(props);\n};\nexport function LuClock10 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 8 10\"},\"child\":[]}]})(props);\n};\nexport function LuClock11 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 9.5 8\"},\"child\":[]}]})(props);\n};\nexport function LuClock12 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12\"},\"child\":[]}]})(props);\n};\nexport function LuClock2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 16 10\"},\"child\":[]}]})(props);\n};\nexport function LuClock3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 16.5 12\"},\"child\":[]}]})(props);\n};\nexport function LuClock4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 16 14\"},\"child\":[]}]})(props);\n};\nexport function LuClock5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 14.5 16\"},\"child\":[]}]})(props);\n};\nexport function LuClock6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 12 16.5\"},\"child\":[]}]})(props);\n};\nexport function LuClock7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 9.5 16\"},\"child\":[]}]})(props);\n};\nexport function LuClock8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 8 14\"},\"child\":[]}]})(props);\n};\nexport function LuClock9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 7.5 12\"},\"child\":[]}]})(props);\n};\nexport function LuClock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 6 12 12 16 14\"},\"child\":[]}]})(props);\n};\nexport function LuCloudCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16.2A4.5 4.5 0 0 0 17.5 8h-1.8A7 7 0 1 0 4 14.9\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"17\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 14-.88.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.88 19.12 9 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 20-.88-.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.88 14.88 9 14\"},\"child\":[]}]})(props);\n};\nexport function LuCloudDrizzle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v1\"},\"child\":[]}]})(props);\n};\nexport function LuCloudFog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17H7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21H9\"},\"child\":[]}]})(props);\n};\nexport function LuCloudHail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22h.01\"},\"child\":[]}]})(props);\n};\nexport function LuCloudLightning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16.326A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 .5 8.973\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 12-3 5h4l-3 5\"},\"child\":[]}]})(props);\n};\nexport function LuCloudMoonRain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.083 9A6.002 6.002 0 0 1 16 4a4.243 4.243 0 0 0 6 6c0 2.22-1.206 4.16-3 5.197\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20a5 5 0 1 1 8.9-4H13a3 3 0 0 1 2 5.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19v2\"},\"child\":[]}]})(props);\n};\nexport function LuCloudMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16a3 3 0 1 1 0 6H7a5 5 0 1 1 4.9-6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.1 9A6 6 0 0 1 16 4a4.24 4.24 0 0 0 6 6 6 6 0 0 1-3 5.197\"},\"child\":[]}]})(props);\n};\nexport function LuCloudOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.782 5.782A7 7 0 0 0 9 19h8.5a4.5 4.5 0 0 0 1.307-.193\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.532 16.5A4.5 4.5 0 0 0 17.5 10h-1.79A7.008 7.008 0 0 0 10 5.07\"},\"child\":[]}]})(props);\n};\nexport function LuCloudRainWind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9.2 22 3-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 13-3 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 13-3 7\"},\"child\":[]}]})(props);\n};\nexport function LuCloudRain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v6\"},\"child\":[]}]})(props);\n};\nexport function LuCloudSnow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h.01\"},\"child\":[]}]})(props);\n};\nexport function LuCloudSunRain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.93 4.93 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19.07 4.93-1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.947 12.65a4 4 0 0 0-5.925-4.128\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20a5 5 0 1 1 8.9-4H13a3 3 0 0 1 2 5.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19v2\"},\"child\":[]}]})(props);\n};\nexport function LuCloudSun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.93 4.93 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19.07 4.93-1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.947 12.65a4 4 0 0 0-5.925-4.128\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 22H7a5 5 0 1 1 4.9-6H13a3 3 0 0 1 0 6Z\"},\"child\":[]}]})(props);\n};\nexport function LuCloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 19H9a7 7 0 1 1 6.71-9h1.79a4.5 4.5 0 1 1 0 9Z\"},\"child\":[]}]})(props);\n};\nexport function LuCloudy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 21H9a7 7 0 1 1 6.71-9h1.79a4.5 4.5 0 1 1 0 9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10a3 3 0 0 0-3-3h-2.207a5.502 5.502 0 0 0-10.702.5\"},\"child\":[]}]})(props);\n};\nexport function LuClover (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.2 3.8a2.7 2.7 0 0 0-3.81 0l-.4.38-.4-.4a2.7 2.7 0 0 0-3.82 0C6.73 4.85 6.67 6.64 8 8l4 4 4-4c1.33-1.36 1.27-3.15.2-4.2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8c-1.36-1.33-3.15-1.27-4.2-.2a2.7 2.7 0 0 0 0 3.81l.38.4-.4.4a2.7 2.7 0 0 0 0 3.82C4.85 17.27 6.64 17.33 8 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16c1.36 1.33 3.15 1.27 4.2.2a2.7 2.7 0 0 0 0-3.81l-.38-.4.4-.4a2.7 2.7 0 0 0 0-3.82C19.15 6.73 17.36 6.67 16 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.8 20.2a2.7 2.7 0 0 0 3.81 0l.4-.38.4.4a2.7 2.7 0 0 0 3.82 0c1.06-1.06 1.12-2.85-.21-4.21l-4-4-4 4c-1.33 1.36-1.27 3.15-.2 4.2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 17-5 5\"},\"child\":[]}]})(props);\n};\nexport function LuClub (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.28 9.05a5.5 5.5 0 1 0-10.56 0A5.5 5.5 0 1 0 12 17.66a5.5 5.5 0 1 0 5.28-8.6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17.66L12 22\"},\"child\":[]}]})(props);\n};\nexport function LuCode2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18 16 4-4-4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 8-4 4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.5 4-5 16\"},\"child\":[]}]})(props);\n};\nexport function LuCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 18 22 12 16 6\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 6 2 12 8 18\"},\"child\":[]}]})(props);\n};\nexport function LuCodepen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"12 2 22 8.5 22 15.5 12 22 2 15.5 2 8.5 12 2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"15.5\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"22 8.5 12 15.5 2 8.5\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"2 15.5 12 8.5 22 15.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"8.5\"},\"child\":[]}]})(props);\n};\nexport function LuCodesandbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"7.5 4.21 12 6.81 16.5 4.21\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"7.5 19.79 7.5 14.6 3 12\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"21 12 16.5 14.6 16.5 19.79\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.27 6.96 12 12.01 20.73 6.96\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22.08\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuCoffee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h1a4 4 0 1 1 0 8h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h14v9a4 4 0 0 1-4 4H7a4 4 0 0 1-4-4Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"2\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"2\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"14\",\"y1\":\"2\",\"y2\":\"4\"},\"child\":[]}]})(props);\n};\nexport function LuCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20a8 8 0 1 0 0-16 8 8 0 0 0 0 16Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14a2 2 0 1 0 0-4 2 2 0 0 0 0 4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 20.66-1-1.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10.27 7 3.34\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20.66 17-1.73-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.34 7 1.73 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20.66 7-1.73 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.34 17 1.73-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 3.34-1 1.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 13.73-4 6.93\"},\"child\":[]}]})(props);\n};\nexport function LuCoins (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"8\",\"r\":\"6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.09 10.37A6 6 0 1 1 10.34 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6h1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.71 13.88.7.71-2.82 2.82\"},\"child\":[]}]})(props);\n};\nexport function LuColumns (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuCombine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"8\",\"x\":\"2\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2c1.1 0 2 .9 2 2v4c0 1.1-.9 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2c1.1 0 2 .9 2 2v4c0 1.1-.9 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18H5c-1.7 0-3-1.3-3-3v-1\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"7 21 10 18 7 15\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"8\",\"x\":\"14\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuCommand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6v12a3 3 0 1 0 3-3H6a3 3 0 1 0 3 3V6a3 3 0 1 0-3 3h12a3 3 0 1 0-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuCompass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"16.24 7.76 14.12 14.12 7.76 16.24 9.88 9.88 16.24 7.76\"},\"child\":[]}]})(props);\n};\nexport function LuComponent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 8.5 9 12l-3.5 3.5L2 12l3.5-3.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 2 3.5 3.5L12 9 8.5 5.5 12 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 8.5 22 12l-3.5 3.5L15 12l3.5-3.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15 3.5 3.5L12 22l-3.5-3.5L12 15Z\"},\"child\":[]}]})(props);\n};\nexport function LuComputer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"8\",\"x\":\"5\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"8\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h6\"},\"child\":[]}]})(props);\n};\nexport function LuConciergeBell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v2H2v-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16a8 8 0 1 0-16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4h4\"},\"child\":[]}]})(props);\n};\nexport function LuConstruction (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"2\",\"y\":\"6\",\"width\":\"20\",\"height\":\"8\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14 2.3 6.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 6 7.7 7.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 6 8 8\"},\"child\":[]}]})(props);\n};\nexport function LuContact2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18a4 4 0 0 0-8 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"11\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"4\"},\"child\":[]}]})(props);\n};\nexport function LuContact (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18a2 2 0 0 0-2-2H9a2 2 0 0 0-2 2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"4\"},\"child\":[]}]})(props);\n};\nexport function LuContainer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 7.7c0-.6-.4-1.2-.8-1.5l-6.3-3.9a1.72 1.72 0 0 0-1.7 0l-10.3 6c-.5.2-.9.8-.9 1.4v6.6c0 .5.4 1.2.8 1.5l6.3 3.9a1.72 1.72 0 0 0 1.7 0l10.3-6c.5-.3.9-1 .9-1.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21.9V14L2.1 9.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 14 11.9-6.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19.8v-8.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17.5V9.4\"},\"child\":[]}]})(props);\n};\nexport function LuContrast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18a6 6 0 0 0 0-12v12z\"},\"child\":[]}]})(props);\n};\nexport function LuCookie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 1 0 10 10 4 4 0 0 1-5-5 4 4 0 0 1-5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14v.01\"},\"child\":[]}]})(props);\n};\nexport function LuCopyCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15 2 2 4-4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"14\",\"x\":\"8\",\"y\":\"8\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c-1.1 0-2-.9-2-2V4c0-1.1.9-2 2-2h10c1.1 0 2 .9 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuCopyMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"18\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"14\",\"x\":\"8\",\"y\":\"8\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c-1.1 0-2-.9-2-2V4c0-1.1.9-2 2-2h10c1.1 0 2 .9 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuCopyPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15\",\"y1\":\"12\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"18\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"14\",\"x\":\"8\",\"y\":\"8\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c-1.1 0-2-.9-2-2V4c0-1.1.9-2 2-2h10c1.1 0 2 .9 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuCopySlash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"18\",\"y1\":\"18\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"14\",\"x\":\"8\",\"y\":\"8\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c-1.1 0-2-.9-2-2V4c0-1.1.9-2 2-2h10c1.1 0 2 .9 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuCopyX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"18\",\"y1\":\"12\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"18\",\"y1\":\"18\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"14\",\"x\":\"8\",\"y\":\"8\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c-1.1 0-2-.9-2-2V4c0-1.1.9-2 2-2h10c1.1 0 2 .9 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"14\",\"x\":\"8\",\"y\":\"8\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c-1.1 0-2-.9-2-2V4c0-1.1.9-2 2-2h10c1.1 0 2 .9 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuCopyleft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9.35a4 4 0 1 1 0 5.3\"},\"child\":[]}]})(props);\n};\nexport function LuCopyright (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9.354a4 4 0 1 0 0 5.292\"},\"child\":[]}]})(props);\n};\nexport function LuCornerDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"9 10 4 15 9 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v7a4 4 0 0 1-4 4H4\"},\"child\":[]}]})(props);\n};\nexport function LuCornerDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"15 10 20 15 15 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v7a4 4 0 0 0 4 4h12\"},\"child\":[]}]})(props);\n};\nexport function LuCornerLeftDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 15 9 20 4 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4h-7a4 4 0 0 0-4 4v12\"},\"child\":[]}]})(props);\n};\nexport function LuCornerLeftUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 9 9 4 4 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-7a4 4 0 0 1-4-4V4\"},\"child\":[]}]})(props);\n};\nexport function LuCornerRightDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"10 15 15 20 20 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h7a4 4 0 0 1 4 4v12\"},\"child\":[]}]})(props);\n};\nexport function LuCornerRightUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"10 9 15 4 20 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h7a4 4 0 0 0 4-4V4\"},\"child\":[]}]})(props);\n};\nexport function LuCornerUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"9 14 4 9 9 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v-7a4 4 0 0 0-4-4H4\"},\"child\":[]}]})(props);\n};\nexport function LuCornerUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"15 14 20 9 15 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-7a4 4 0 0 1 4-4h12\"},\"child\":[]}]})(props);\n};\nexport function LuCpu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"4\",\"y\":\"4\",\"width\":\"16\",\"height\":\"16\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"9\",\"y\":\"9\",\"width\":\"6\",\"height\":\"6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20v2\"},\"child\":[]}]})(props);\n};\nexport function LuCreativeCommons (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.3a2.8 2.8 0 0 0-3.5 1 3.1 3.1 0 0 0 0 3.4 2.7 2.7 0 0 0 3.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9.3a2.8 2.8 0 0 0-3.5 1 3.1 3.1 0 0 0 0 3.4 2.7 2.7 0 0 0 3.5 1\"},\"child\":[]}]})(props);\n};\nexport function LuCreditCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"5\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuCroissant (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4.6 13.11 5.79-3.21c1.89-1.05 4.79 1.78 3.71 3.71l-3.22 5.81C8.8 23.16.79 15.23 4.6 13.11Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.5 9.5-1-2.29C9.2 6.48 8.8 6 8 6H4.5C2.79 6 2 6.5 2 8.5a7.71 7.71 0 0 0 2 4.83\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6c0-1.55.24-4-2-4-2 0-2.5 2.17-2.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.5 13.5 2.29 1c.73.3 1.21.7 1.21 1.5v3.5c0 1.71-.5 2.5-2.5 2.5a7.71 7.71 0 0 1-4.83-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16c1.55 0 4-.24 4 2 0 2-2.17 2.5-4 2.5\"},\"child\":[]}]})(props);\n};\nexport function LuCrop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2v14a2 2 0 0 0 2 2h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22V8a2 2 0 0 0-2-2H2\"},\"child\":[]}]})(props);\n};\nexport function LuCross (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 2a2 2 0 0 0-2 2v5H4a2 2 0 0 0-2 2v2c0 1.1.9 2 2 2h5v5c0 1.1.9 2 2 2h2a2 2 0 0 0 2-2v-5h5a2 2 0 0 0 2-2v-2a2 2 0 0 0-2-2h-5V4a2 2 0 0 0-2-2h-2z\"},\"child\":[]}]})(props);\n};\nexport function LuCrosshair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"18\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"2\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"6\",\"y2\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuCrown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 4 3 12h14l3-12-6 7-4-7-4 7-6-7zm3 16h14\"},\"child\":[]}]})(props);\n};\nexport function LuCupSoda (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 8 1.75 12.28a2 2 0 0 0 2 1.72h4.54a2 2 0 0 0 2-1.72L18 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15a6.47 6.47 0 0 1 5 0 6.47 6.47 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 8 1-6h2\"},\"child\":[]}]})(props);\n};\nexport function LuCurrency (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"6\",\"y1\":\"3\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"18\",\"y1\":\"3\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"6\",\"y1\":\"21\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"18\",\"y1\":\"21\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuDatabaseBackup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"rx\":\"9\",\"ry\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14c0 1.4 3 2.7 7 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c0 1.2 2 2.5 5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20a5 5 0 0 0 9-3 4.5 4.5 0 0 0-4.5-4.5c-1.33 0-2.54.54-3.41 1.41L12 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v4h4\"},\"child\":[]}]})(props);\n};\nexport function LuDatabase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"ellipse\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"rx\":\"9\",\"ry\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5V19A9 3 0 0 0 21 19V5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12A9 3 0 0 0 21 12\"},\"child\":[]}]})(props);\n};\nexport function LuDelete (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5H9l-7 7 7 7h11a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"12\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"18\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuDessert (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.2 3.2C5.5 4 2 8.1 2 13a2 2 0 0 0 4 0v-1a2 2 0 0 1 4 0v4a2 2 0 0 0 4 0v-4a2 2 0 0 1 4 0v1a2 2 0 0 0 4 0c0-4.9-3.5-9-8.2-9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.2 14.8a9 9 0 0 0 17.6 0\"},\"child\":[]}]})(props);\n};\nexport function LuDiamond (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.7 10.3a2.41 2.41 0 0 0 0 3.41l7.59 7.59a2.41 2.41 0 0 0 3.41 0l7.59-7.59a2.41 2.41 0 0 0 0-3.41l-7.59-7.59a2.41 2.41 0 0 0-3.41 0Z\"},\"child\":[]}]})(props);\n};\nexport function LuDice1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDice2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDice3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDice4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDice5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDice6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDices (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"12\",\"x\":\"2\",\"y\":\"10\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.92 14 3.5-3.5a2.24 2.24 0 0 0 0-3l-5-4.92a2.24 2.24 0 0 0-3 0L10 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDiff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]}]})(props);\n};\nexport function LuDisc2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]}]})(props);\n};\nexport function LuDisc3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12c0-1.7.7-3.2 1.8-4.2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12c0 1.7-.7 3.2-1.8 4.2\"},\"child\":[]}]})(props);\n};\nexport function LuDisc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuDivideCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuDivideSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]}]})(props);\n};\nexport function LuDivide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"6\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"19\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuDnaOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2c-1.35 1.5-2.092 3-2.5 4.5M9 22c1.35-1.5 2.092-3 2.5-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15c3.333-3 6.667-3 10-3m10-3c-1.5 1.35-3 2.092-4.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 6-2.5-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 8-1.5-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 18 2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.5 14.5.5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 9 .5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.5 12.5 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.5 10.5 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 16 1.5 1.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuDna (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15c6.667-6 13.333 0 20-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 22c1.798-1.998 2.518-3.995 2.807-5.993\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2c-1.798 1.998-2.518 3.995-2.807 5.993\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 6-2.5-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 8-1-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 18 2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.5 14.5.5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 9 .5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.5 12.5 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.5 10.5 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 16 1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function LuDog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5.172C10 3.782 8.423 2.679 6.5 3c-2.823.47-4.113 6.006-4 7 .08.703 1.725 1.722 3.656 1 1.261-.472 1.96-1.45 2.344-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.267 5.172c0-1.39 1.577-2.493 3.5-2.172 2.823.47 4.113 6.006 4 7-.08.703-1.725 1.722-3.656 1-1.261-.472-1.855-1.45-2.239-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.25 16.25h1.5L12 17l-.75-.75Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.42 11.247A13.152 13.152 0 0 0 4 14.556C4 18.728 7.582 21 12 21s8-2.272 8-6.444c0-1.061-.162-2.2-.493-3.309m-9.243-6.082A8.801 8.801 0 0 1 12 5c.78 0 1.5.108 2.161.306\"},\"child\":[]}]})(props);\n};\nexport function LuDollarSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5H9.5a3.5 3.5 0 0 0 0 7h5a3.5 3.5 0 0 1 0 7H6\"},\"child\":[]}]})(props);\n};\nexport function LuDonut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 10a2.5 2.5 0 0 1-2.4-3H18a2.95 2.95 0 0 1-2.6-4.4 10 10 0 1 0 6.3 7.1c-.3.2-.8.3-1.2.3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuDoorClosed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20V6a2 2 0 0 0-2-2H8a2 2 0 0 0-2 2v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12v.01\"},\"child\":[]}]})(props);\n};\nexport function LuDoorOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4h3a2 2 0 0 1 2 2v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4.562v16.157a1 1 0 0 1-1.242.97L5 20V5.562a2 2 0 0 1 1.515-1.94l4-1A2 2 0 0 1 13 4.561Z\"},\"child\":[]}]})(props);\n};\nexport function LuDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12.1\",\"cy\":\"12.1\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuDownloadCloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 17 4 4 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v4a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"7 10 12 15 17 10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"15\",\"y2\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuDribbble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.13 5.09C15.22 9.14 10 10.44 2.25 10.94\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.75 12.84c-6.62-1.41-12.14 1-16.38 6.32\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 2.75c4.37 6 6 9.42 8 17.72\"},\"child\":[]}]})(props);\n};\nexport function LuDroplet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22a7 7 0 0 0 7-7c0-2-1-3.9-3-5.5s-3.5-4-4-6.5c-.5 2.5-2 4.9-4 6.5C6 11.1 5 13 5 15a7 7 0 0 0 7 7z\"},\"child\":[]}]})(props);\n};\nexport function LuDroplets (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.3c2.2 0 4-1.83 4-4.05 0-1.16-.57-2.26-1.71-3.19S7.29 6.75 7 5.3c-.29 1.45-1.14 2.84-2.29 3.76S3 11.1 3 12.25c0 2.22 1.8 4.05 4 4.05z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.56 6.6A10.97 10.97 0 0 0 14 3.02c.5 2.5 2 4.9 4 6.5s3 3.5 3 5.5a6.98 6.98 0 0 1-11.91 4.97\"},\"child\":[]}]})(props);\n};\nexport function LuDrumstick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.45 15.4c-2.13.65-4.3.32-5.7-1.1-2.29-2.27-1.76-6.5 1.17-9.42 2.93-2.93 7.15-3.46 9.43-1.18 1.41 1.41 1.74 3.57 1.1 5.71-1.4-.51-3.26-.02-4.64 1.36-1.38 1.38-1.87 3.23-1.36 4.63z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11.25 15.6-2.16 2.16a2.5 2.5 0 1 1-4.56 1.73 2.49 2.49 0 0 1-1.41-4.24 2.5 2.5 0 0 1 3.14-.32l2.16-2.16\"},\"child\":[]}]})(props);\n};\nexport function LuDumbbell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6.5 6.5 11 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-1-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 3 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 22 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 6 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 10 7-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 21 7-7\"},\"child\":[]}]})(props);\n};\nexport function LuEarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18.5a3.5 3.5 0 1 0 7 0c0-1.57.92-2.52 2.04-3.46\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8.5c0-.75.13-1.47.36-2.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.8 3.15A6.5 6.5 0 0 1 19 8.5c0 1.63-.44 2.81-1.09 3.76\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 6A2.5 2.5 0 0 1 15 8.5M10 13a2 2 0 0 0 1.82-1.18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuEar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8.5a6.5 6.5 0 1 1 13 0c0 6-6 6-6 10a3.5 3.5 0 1 1-7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8.5a2.5 2.5 0 0 0-5 0v1a2 2 0 1 1 0 4\"},\"child\":[]}]})(props);\n};\nexport function LuEggFried (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"12.5\",\"r\":\"3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8c0-3.5 2.5-6 6.5-6 5 0 4.83 3 7.5 5s5 2 5 6c0 4.5-2.5 6.5-7 6.5-2.5 0-2.5 2.5-6 2.5s-7-2-7-5.5c0-3 1.5-3 1.5-5C3.5 10 3 9 3 8Z\"},\"child\":[]}]})(props);\n};\nexport function LuEggOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.399 6.399C5.362 8.157 4.65 10.189 4.5 12c-.37 4.43 1.27 9.95 7.5 10 3.256-.026 5.259-1.547 6.375-3.625\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.532 13.875A14.07 14.07 0 0 0 19.5 12c-.36-4.34-3.95-9.96-7.5-10-1.04.012-2.082.502-3.046 1.297\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuEgg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c6.23-.05 7.87-5.57 7.5-10-.36-4.34-3.95-9.96-7.5-10-3.55.04-7.14 5.66-7.5 10-.37 4.43 1.27 9.95 7.5 10z\"},\"child\":[]}]})(props);\n};\nexport function LuEqualNot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"19\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"19\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"5\",\"y1\":\"5\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuEqual (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"19\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"19\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuEraser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 21-4.3-4.3c-1-1-1-2.5 0-3.4l9.6-9.6c1-1 2.5-1 3.4 0l5.6 5.6c1 1 1 2.5 0 3.4L13 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 21H7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 11 9 9\"},\"child\":[]}]})(props);\n};\nexport function LuEuro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6a7.7 7.7 0 0 0-5.2-2A7.9 7.9 0 0 0 6 12c0 4.4 3.5 8 7.8 8 2 0 3.8-.8 5.2-2\"},\"child\":[]}]})(props);\n};\nexport function LuExpand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-6-6m6 6v-4.8m0 4.8h-4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16.2V21m0 0h4.8M3 21l6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7.8V3m0 0h-4.8M21 3l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7.8V3m0 0h4.8M3 3l6 6\"},\"child\":[]}]})(props);\n};\nexport function LuExternalLink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13v6a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V8a2 2 0 0 1 2-2h6\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"15 3 21 3 21 9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"21\",\"y1\":\"14\",\"y2\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuEyeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.88 9.88a3 3 0 1 0 4.24 4.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.73 5.08A10.43 10.43 0 0 1 12 5c7 0 10 7 10 7a13.16 13.16 0 0 1-1.67 2.68\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.61 6.61A13.526 13.526 0 0 0 2 12s3 7 10 7a9.74 9.74 0 0 0 5.39-1.61\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuEye (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12s3-7 10-7 10 7 10 7-3 7-10 7-10-7-10-7Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuFacebook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2h-3a5 5 0 0 0-5 5v3H7v4h3v8h4v-8h3l1-4h-4V7a1 1 0 0 1 1-1h3z\"},\"child\":[]}]})(props);\n};\nexport function LuFactory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V8l-7 5V8l-7 5V4a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h1\"},\"child\":[]}]})(props);\n};\nexport function LuFan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.827 16.379a6.082 6.082 0 0 1-8.618-7.002l5.412 1.45a6.082 6.082 0 0 1 7.002-8.618l-1.45 5.412a6.082 6.082 0 0 1 8.618 7.002l-5.412-1.45a6.082 6.082 0 0 1-7.002 8.618l1.45-5.412Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]}]})(props);\n};\nexport function LuFastForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"13 19 22 12 13 5 13 19\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"2 19 11 12 2 5 2 19\"},\"child\":[]}]})(props);\n};\nexport function LuFeather (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.24 12.24a6 6 0 0 0-8.49-8.49L5 10.5V19h8.5z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"2\",\"y1\":\"8\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17.5\",\"x2\":\"9\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuFerrisWheel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.8 15-3.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20.7 7-3.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.8 9 3.3 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20.7 17-3.5-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 22 3-8 3 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18.7a9 9 0 1 0-12 0\"},\"child\":[]}]})(props);\n};\nexport function LuFigma (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5.5A3.5 3.5 0 0 1 8.5 2H12v7H8.5A3.5 3.5 0 0 1 5 5.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2h3.5a3.5 3.5 0 1 1 0 7H12V2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12.5a3.5 3.5 0 1 1 7 0 3.5 3.5 0 1 1-7 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19.5A3.5 3.5 0 0 1 8.5 16H12v3.5a3.5 3.5 0 1 1-7 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12.5A3.5 3.5 0 0 1 8.5 9H12v7H8.5A3.5 3.5 0 0 1 5 12.5z\"},\"child\":[]}]})(props);\n};\nexport function LuFileArchive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22V4c0-.5.2-1 .6-1.4C5 2.2 5.5 2 6 2h8.5L20 7.5V20c0 .5-.2 1-.6 1.4-.4.4-.9.6-1.4.6h-2\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10\",\"cy\":\"20\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v-2\"},\"child\":[]}]})(props);\n};\nexport function LuFileAudio2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v2\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17v-3a4 4 0 0 1 8 0v3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"17\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"3\",\"cy\":\"17\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuFileAudio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 22h.5c.5 0 1-.2 1.4-.6.4-.4.6-.9.6-1.4V7.5L14.5 2H6c-.5 0-1 .2-1.4.6C4.2 3 4 3.5 4 4v3\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20v-1a2 2 0 1 1 4 0v1a2 2 0 1 1-4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v-1a2 2 0 1 0-4 0v1a2 2 0 1 0 4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 19v-3a6 6 0 0 1 12 0v3\"},\"child\":[]}]})(props);\n};\nexport function LuFileAxis3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v8h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 18 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuFileBadge2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a3 3 0 1 0 0-6 3 3 0 0 0 0 6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 12.5 1 5.5-3-1-3 1 1-5.5\"},\"child\":[]}]})(props);\n};\nexport function LuFileBadge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7V4a2 2 0 0 1 2-2h8.5L20 7.5V20a2 2 0 0 1-2 2h-6\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17a3 3 0 1 0 0-6 3 3 0 0 0 0 6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.5 8 22l-3-1-3 1 1-5.5\"},\"child\":[]}]})(props);\n};\nexport function LuFileBarChart2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18v-3\"},\"child\":[]}]})(props);\n};\nexport function LuFileBarChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18v-6\"},\"child\":[]}]})(props);\n};\nexport function LuFileBox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 22H18a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.97 13.12c-.6.36-.97 1.02-.97 1.74v3.28c0 .72.37 1.38.97 1.74l3 1.83c.63.39 1.43.39 2.06 0l3-1.83c.6-.36.97-1.02.97-1.74v-3.28c0-.72-.37-1.38-.97-1.74l-3-1.83a1.97 1.97 0 0 0-2.06 0l-3 1.83Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 17-4.74-2.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 17 4.74-2.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17v5\"},\"child\":[]}]})(props);\n};\nexport function LuFileCheck2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 15 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuFileCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 15 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuFileClock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22h2c.5 0 1-.2 1.4-.6.4-.4.6-.9.6-1.4V7.5L14.5 2H6c-.5 0-1 .2-1.4.6C4.2 3 4 3.5 4 4v3\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"16\",\"r\":\"6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 17.5 8 16.25V14\"},\"child\":[]}]})(props);\n};\nexport function LuFileCode2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 18 3-3-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 12-3 3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuFileCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 13-2 2 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 17 2-2-2-2\"},\"child\":[]}]})(props);\n};\nexport function LuFileCog2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"15\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.6 13.5-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.27 16-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.6 16.5-.87-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.27 14-.87-.5\"},\"child\":[]}]})(props);\n};\nexport function LuFileCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6V4a2 2 0 0 1 2-2h8.5L20 7.5V20a2 2 0 0 1-2 2H4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"14\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14H9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 11-.88.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.88 16.12 3 17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 17-.88-.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.88 11.88 3 11\"},\"child\":[]}]})(props);\n};\nexport function LuFileDiff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h6\"},\"child\":[]}]})(props);\n};\nexport function LuFileDigit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"6\",\"x\":\"2\",\"y\":\"12\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2v6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h4\"},\"child\":[]}]})(props);\n};\nexport function LuFileDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 15 3 3 3-3\"},\"child\":[]}]})(props);\n};\nexport function LuFileEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13.5V4a2 2 0 0 1 2-2h8.5L20 7.5V20a2 2 0 0 1-2 2h-5.5\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.42 12.61a2.1 2.1 0 1 1 2.97 2.97L7.95 21 4 22l.99-3.95 5.43-5.44Z\"},\"child\":[]}]})(props);\n};\nexport function LuFileHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6V4a2 2 0 0 1 2-2h8.5L20 7.5V20a2 2 0 0 1-2 2H4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.29 10.7a2.43 2.43 0 0 0-2.66-.52c-.29.12-.56.3-.78.53l-.35.34-.35-.34a2.43 2.43 0 0 0-2.65-.53c-.3.12-.56.3-.79.53-.95.94-1 2.53.2 3.74L6.5 18l3.6-3.55c1.2-1.21 1.14-2.8.19-3.74Z\"},\"child\":[]}]})(props);\n};\nexport function LuFileImage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10\",\"cy\":\"13\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 17-1.09-1.09a2 2 0 0 0-2.82 0L10 22\"},\"child\":[]}]})(props);\n};\nexport function LuFileInput (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 18 3-3-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuFileJson2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12a1 1 0 0 0-1 1v1a1 1 0 0 1-1 1 1 1 0 0 1 1 1v1a1 1 0 0 0 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18a1 1 0 0 0 1-1v-1a1 1 0 0 1 1-1 1 1 0 0 1-1-1v-1a1 1 0 0 0-1-1\"},\"child\":[]}]})(props);\n};\nexport function LuFileJson (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a1 1 0 0 0-1 1v1a1 1 0 0 1-1 1 1 1 0 0 1 1 1v1a1 1 0 0 0 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18a1 1 0 0 0 1-1v-1a1 1 0 0 1 1-1 1 1 0 0 1-1-1v-1a1 1 0 0 0-1-1\"},\"child\":[]}]})(props);\n};\nexport function LuFileKey2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10V4a2 2 0 0 1 2-2h8.5L20 7.5V20a2 2 0 0 1-2 2H4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"16\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 10-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 11 1 1\"},\"child\":[]}]})(props);\n};\nexport function LuFileKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10\",\"cy\":\"16\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 10-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 11 1 1\"},\"child\":[]}]})(props);\n};\nexport function LuFileLineChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 13-3.5 3.5-2-2L8 17\"},\"child\":[]}]})(props);\n};\nexport function LuFileLock2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5V4a2 2 0 0 1 2-2h8.5L20 7.5V20a2 2 0 0 1-2 2H4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"5\",\"x\":\"2\",\"y\":\"13\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-2a2 2 0 1 0-4 0v2\"},\"child\":[]}]})(props);\n};\nexport function LuFileLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"6\",\"x\":\"8\",\"y\":\"12\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v-2a3 3 0 1 0-6 0v2\"},\"child\":[]}]})(props);\n};\nexport function LuFileMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h6\"},\"child\":[]}]})(props);\n};\nexport function LuFileMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuFileOutput (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 12-3 3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuFilePieChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22h2a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.04 11.71a5.84 5.84 0 1 0 8.2 8.29\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.83 16A5.83 5.83 0 0 0 8 10.17V16h5.83Z\"},\"child\":[]}]})(props);\n};\nexport function LuFilePlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v6\"},\"child\":[]}]})(props);\n};\nexport function LuFilePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"18\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuFileQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10.3c.2-.4.5-.8.9-1a2.1 2.1 0 0 1 2.6.4c.3.4.5.8.5 1.3 0 1.3-2 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h.01\"},\"child\":[]}]})(props);\n};\nexport function LuFileScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10V7.5L14.5 2H6a2 2 0 0 0-2 2v16c0 1.1.9 2 2 2h4.5\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22a2 2 0 0 1-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22a2 2 0 0 0 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14a2 2 0 0 0-2 2\"},\"child\":[]}]})(props);\n};\nexport function LuFileSearch2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"14.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.25 16.25 15 18\"},\"child\":[]}]})(props);\n};\nexport function LuFileSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17a3 3 0 1 0 0-6 3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 18-1.5-1.5\"},\"child\":[]}]})(props);\n};\nexport function LuFileSignature (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19.5v.5a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V4a2 2 0 0 1 2-2h8.5L18 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 9.61a2.1 2.1 0 1 1 2.97 2.97L16.95 17 13 18l.99-3.95 4.43-4.44Z\"},\"child\":[]}]})(props);\n};\nexport function LuFileSpreadsheet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h2\"},\"child\":[]}]})(props);\n};\nexport function LuFileStack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2v5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6v6.5c0 .8-.7 1.5-1.5 1.5h-7c-.8 0-1.5-.7-1.5-1.5v-9c0-.8.7-1.5 1.5-1.5H17l4 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v8.8c0 .3.2.6.4.8.2.2.5.4.8.4H15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v8.8c0 .3.2.6.4.8.2.2.5.4.8.4H11\"},\"child\":[]}]})(props);\n};\nexport function LuFileSymlink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v7\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 18 3-3-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-1a2 2 0 0 1 2-2h6\"},\"child\":[]}]})(props);\n};\nexport function LuFileTerminal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 16 2-2-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h4\"},\"child\":[]}]})(props);\n};\nexport function LuFileText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"8\",\"y1\":\"13\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"8\",\"y1\":\"17\",\"y2\":\"17\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"8\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuFileType2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 13v-1h6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v6\"},\"child\":[]}]})(props);\n};\nexport function LuFileType (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13v-1h6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6\"},\"child\":[]}]})(props);\n};\nexport function LuFileUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 15-3-3-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuFileVideo2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8V4a2 2 0 0 1 2-2h8.5L20 7.5V20a2 2 0 0 1-2 2H4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 15.5 4 2.5v-6l-4 2.5\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"6\",\"x\":\"2\",\"y\":\"12\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuFileVideo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 11 5 3-5 3v-6Z\"},\"child\":[]}]})(props);\n};\nexport function LuFileVolume2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 13.5c.32.4.5.94.5 1.5s-.18 1.1-.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12c.64.8 1 1.87 1 3s-.36 2.2-1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h.01\"},\"child\":[]}]})(props);\n};\nexport function LuFileVolume (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 10-3 2H2v4h2l3 2v-8Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11c.64.8 1 1.87 1 3s-.36 2.2-1 3\"},\"child\":[]}]})(props);\n};\nexport function LuFileWarning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h.01\"},\"child\":[]}]})(props);\n};\nexport function LuFileX2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h14a2 2 0 0 0 2-2V7.5L14.5 2H6a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2v6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 12.5 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 12.5-5 5\"},\"child\":[]}]})(props);\n};\nexport function LuFileX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9.5\",\"x2\":\"14.5\",\"y1\":\"12.5\",\"y2\":\"17.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14.5\",\"x2\":\"9.5\",\"y1\":\"12.5\",\"y2\":\"17.5\"},\"child\":[]}]})(props);\n};\nexport function LuFile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V7.5L14.5 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14 2 14 8 20 8\"},\"child\":[]}]})(props);\n};\nexport function LuFiles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 2H8.6c-.4 0-.8.2-1.1.5-.3.3-.5.7-.5 1.1v12.8c0 .4.2.8.5 1.1.3.3.7.5 1.1.5h9.8c.4 0 .8-.2 1.1-.5.3-.3.5-.7.5-1.1V6.5L15.5 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7.6v12.8c0 .4.2.8.5 1.1.3.3.7.5 1.1.5h9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2v5h5\"},\"child\":[]}]})(props);\n};\nexport function LuFilm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"20\",\"x\":\"2\",\"y\":\"2\",\"rx\":\"2.18\",\"ry\":\"2.18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7\",\"x2\":\"7\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"17\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"7\",\"y1\":\"7\",\"y2\":\"7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"7\",\"y1\":\"17\",\"y2\":\"17\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"22\",\"y1\":\"17\",\"y2\":\"17\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"22\",\"y1\":\"7\",\"y2\":\"7\"},\"child\":[]}]})(props);\n};\nexport function LuFilterX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.013 3H2l8 9.46V19l4 2v-8.54l.9-1.055\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 3-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 3 5 5\"},\"child\":[]}]})(props);\n};\nexport function LuFilter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"22 3 2 3 10 12.46 10 19 14 21 14 12.46 22 3\"},\"child\":[]}]})(props);\n};\nexport function LuFingerprint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12C2 6.5 6.5 2 12 2a10 10 0 0 1 8 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19.5C5.5 18 6 15 6 12c0-.7.12-1.37.34-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.29 21.02c.12-.6.43-2.3.5-3.02\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10a2 2 0 0 0-2 2c0 1.02-.1 2.51-.26 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.65 22c.21-.66.45-1.32.57-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13.12c0 2.38 0 6.38-1 8.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.8 16c.2-2 .131-5.354 0-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6.8a6 6 0 0 1 9 5.2c0 .47 0 1.17-.02 2\"},\"child\":[]}]})(props);\n};\nexport function LuFishOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12.47v.03m0-.5v.47m-.475 5.056A6.744 6.744 0 0 1 15 18c-3.56 0-7.56-2.53-8.5-6 .348-1.28 1.114-2.433 2.121-3.38m3.444-2.088A8.802 8.802 0 0 1 15 6c3.56 0 6.06 2.54 7 6-.309 1.14-.786 2.177-1.413 3.058\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10.67C7 8 5.58 5.97 2.73 5.5c-1 1.5-1 5 .23 6.5-1.24 1.5-1.24 5-.23 6.5C5.58 18.03 7 16 7 13.33m7.48-4.372A9.77 9.77 0 0 1 16 6.07m0 11.86a9.77 9.77 0 0 1-1.728-3.618\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.01 17.93-.23 1.4A2 2 0 0 1 13.8 21H9.5a5.96 5.96 0 0 0 1.49-3.98M8.53 3h5.27a2 2 0 0 1 1.98 1.67l.23 1.4M2 2l20 20\"},\"child\":[]}]})(props);\n};\nexport function LuFish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 12c.94-3.46 4.94-6 8.5-6 3.56 0 6.06 2.54 7 6-.94 3.47-3.44 6-7 6s-7.56-2.53-8.5-6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17.93a9.77 9.77 0 0 1 0-11.86\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10.67C7 8 5.58 5.97 2.73 5.5c-1 1.5-1 5 .23 6.5-1.24 1.5-1.24 5-.23 6.5C5.58 18.03 7 16 7 13.33\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.46 7.26C10.2 5.88 9.17 4.24 8 3h5.8a2 2 0 0 1 1.98 1.67l.23 1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.01 17.93-.23 1.4A2 2 0 0 1 13.8 21H9.5a5.96 5.96 0 0 0 1.49-3.98\"},\"child\":[]}]})(props);\n};\nexport function LuFlagOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2c3 0 5 2 8 2s4-1 4-1v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15s1-1 4-1 5 2 8 2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuFlagTriangleLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22V2L7 7l10 5\"},\"child\":[]}]})(props);\n};\nexport function LuFlagTriangleRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22V2l10 5-10 5\"},\"child\":[]}]})(props);\n};\nexport function LuFlag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15s1-1 4-1 5 2 8 2 4-1 4-1V3s-1 1-4 1-5-2-8-2-4 1-4 1z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"4\",\"y1\":\"22\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuFlame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 14.5A2.5 2.5 0 0 0 11 12c0-1.38-.5-2-1-3-1.072-2.143-.224-4.054 2-6 .5 2.5 2 4.9 4 6.5 2 1.6 3 3.5 3 5.5a7 7 0 1 1-14 0c0-1.153.433-2.294 1-3a2.5 2.5 0 0 0 2.5 2.5z\"},\"child\":[]}]})(props);\n};\nexport function LuFlashlightOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v4a2 2 0 0 1-2 2h-4a2 2 0 0 1-2-2V10c0-2-2-2-2-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2h11v4c0 2-2 2-2 4v1\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"11\",\"x2\":\"18\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuFlashlight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6c0 2-2 2-2 4v10a2 2 0 0 1-2 2h-4a2 2 0 0 1-2-2V10c0-2-2-2-2-4V2h12z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"18\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuFlaskConicalOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10 4.72 20.55a1 1 0 0 0 .9 1.45h12.76a1 1 0 0 0 .9-1.45l-1.272-2.542\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v2.343\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2v6.343\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuFlaskConical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v7.527a2 2 0 0 1-.211.896L4.72 20.55a1 1 0 0 0 .9 1.45h12.76a1 1 0 0 0 .9-1.45l-5.069-10.127A2 2 0 0 1 14 9.527V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h10\"},\"child\":[]}]})(props);\n};\nexport function LuFlaskRound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v7.31\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9.3V1.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9.3a6.5 6.5 0 1 1-4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.52 16h12.96\"},\"child\":[]}]})(props);\n};\nexport function LuFlipHorizontal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 7 5 5-5 5V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 7-5 5 5 5V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]}]})(props);\n};\nexport function LuFlipHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3H5a2 2 0 0 0-2 2v14c0 1.1.9 2 2 2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h3a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]}]})(props);\n};\nexport function LuFlipVertical2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17 3-5 5-5-5h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 21-5-5-5 5h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-2\"},\"child\":[]}]})(props);\n};\nexport function LuFlipVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16v3a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-2\"},\"child\":[]}]})(props);\n};\nexport function LuFlower2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5a3 3 0 1 1 3 3m-3-3a3 3 0 1 0-3 3m3-3v1M9 8a3 3 0 1 0 3 3M9 8h1m5 0a3 3 0 1 1-3 3m3-3h-1m-2 3v-1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"8\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c4.2 0 7-1.667 7-5-4.2 0-7 1.667-7 5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22c-4.2 0-7-1.667-7-5 4.2 0 7 1.667 7 5Z\"},\"child\":[]}]})(props);\n};\nexport function LuFlower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.5a4.5 4.5 0 1 1 4.5 4.5M12 7.5A4.5 4.5 0 1 0 7.5 12M12 7.5V9m-4.5 3a4.5 4.5 0 1 0 4.5 4.5M7.5 12H9m7.5 0a4.5 4.5 0 1 1-4.5 4.5m4.5-4.5H15m-3 4.5V15\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 16 1.5-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 9.5 16 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 8 1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 14.5 16 16\"},\"child\":[]}]})(props);\n};\nexport function LuFocus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7V5a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v2a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21H5a2 2 0 0 1-2-2v-2\"},\"child\":[]}]})(props);\n};\nexport function LuFoldHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 9-3 3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 15 3-3-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuFoldVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 19-3-3-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 5-3 3-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuFolderArchive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 20V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2h6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17v-2\"},\"child\":[]}]})(props);\n};\nexport function LuFolderCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 13 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuFolderClock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"16\",\"r\":\"6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v2l1 1\"},\"child\":[]}]})(props);\n};\nexport function LuFolderClosed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 10h20\"},\"child\":[]}]})(props);\n};\nexport function LuFolderCog2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.6 11.5-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.27 14-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.6 14.5-.87-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10.27 12-.87-.5\"},\"child\":[]}]})(props);\n};\nexport function LuFolderCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 15-.88.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.88 20.12 15 21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-.88-.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.88 15.88 15 15\"},\"child\":[]}]})(props);\n};\nexport function LuFolderDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuFolderDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 13-3 3-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuFolderEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.42 10.61a2.1 2.1 0 1 1 2.97 2.97L5.95 19 2 20l.99-3.95 5.43-5.44Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 11.5V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2h-9.5\"},\"child\":[]}]})(props);\n};\nexport function LuFolderGit2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h3.9a2 2 0 0 1 1.69.9l.81 1.2a2 2 0 0 0 1.67.9H20a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"13\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19c-2.8 0-5-2.2-5-5v8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"20\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuFolderGit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h3\"},\"child\":[]}]})(props);\n};\nexport function LuFolderHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.29 13.7a2.43 2.43 0 0 0-2.65-.52c-.3.12-.57.3-.8.53l-.34.34-.35-.34a2.43 2.43 0 0 0-2.65-.53c-.3.12-.56.3-.79.53-.95.94-1 2.53.2 3.74L17.5 21l3.6-3.55c1.2-1.21 1.14-2.8.19-3.74Z\"},\"child\":[]}]})(props);\n};\nexport function LuFolderInput (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 13h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 16 3-3-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuFolderKanban (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v6\"},\"child\":[]}]})(props);\n};\nexport function LuFolderKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"20\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 14-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 15 1 1\"},\"child\":[]}]})(props);\n};\nexport function LuFolderLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"5\",\"x\":\"14\",\"y\":\"17\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17v-2a2 2 0 1 0-4 0v2\"},\"child\":[]}]})(props);\n};\nexport function LuFolderMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"13\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuFolderOpenDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 14 1.45-2.9A2 2 0 0 1 9.24 10H20a2 2 0 0 1 1.94 2.5l-1.55 6a2 2 0 0 1-1.94 1.5H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H18a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14\",\"cy\":\"15\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuFolderOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 14 1.45-2.9A2 2 0 0 1 9.24 10H20a2 2 0 0 1 1.94 2.5l-1.55 6a2 2 0 0 1-1.94 1.5H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H18a2 2 0 0 1 2 2v2\"},\"child\":[]}]})(props);\n};\nexport function LuFolderOutput (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7.5V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 13h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 10-3 3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuFolderPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"10\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"13\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuFolderRoot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"13\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v5\"},\"child\":[]}]})(props);\n};\nexport function LuFolderSearch2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"12.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.27 14.27 15 16\"},\"child\":[]}]})(props);\n};\nexport function LuFolderSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20H4a2 2 0 0 1-2-2V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"17\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-1.5-1.5\"},\"child\":[]}]})(props);\n};\nexport function LuFolderSymlink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9V5c0-1.1.9-2 2-2h3.93a2 2 0 0 1 1.66.9l.82 1.2a2 2 0 0 0 1.66.9H20a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 16 3-3-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16v-1a2 2 0 0 1 2-2h6\"},\"child\":[]}]})(props);\n};\nexport function LuFolderSync (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h3.9a2 2 0 0 1 1.69.9l.81 1.2a2 2 0 0 0 1.67.9H20a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 14 1.5-1.5c.9-.9 2.2-1.5 3.5-1.5s2.6.6 3.5 1.5c.4.4.8 1 1 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22v-4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 18-1.5 1.5c-.9.9-2.1 1.5-3.5 1.5s-2.6-.6-3.5-1.5c-.4-.4-.8-1-1-1.5\"},\"child\":[]}]})(props);\n};\nexport function LuFolderTree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10h7a1 1 0 0 0 1-1V6a1 1 0 0 0-1-1h-2.5a1 1 0 0 1-.8-.4l-.9-1.2A1 1 0 0 0 15 3h-2a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h7a1 1 0 0 0 1-1v-3a1 1 0 0 0-1-1h-2.88a1 1 0 0 1-.9-.55l-.44-.9a1 1 0 0 0-.9-.55H13a1 1 0 0 0-1 1v5a1 1 0 0 0 1 1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v2c0 1.1.9 2 2 2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v13c0 1.1.9 2 2 2h3\"},\"child\":[]}]})(props);\n};\nexport function LuFolderUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 13 3-3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuFolderX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9.5 10.5 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.5 10.5-5 5\"},\"child\":[]}]})(props);\n};\nexport function LuFolder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16a2 2 0 0 0 2-2V8a2 2 0 0 0-2-2h-7.93a2 2 0 0 1-1.66-.9l-.82-1.2A2 2 0 0 0 7.93 3H4a2 2 0 0 0-2 2v13c0 1.1.9 2 2 2Z\"},\"child\":[]}]})(props);\n};\nexport function LuFolders (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17h12a2 2 0 0 0 2-2V9a2 2 0 0 0-2-2h-3.93a2 2 0 0 1-1.66-.9l-.82-1.2a2 2 0 0 0-1.66-.9H8a2 2 0 0 0-2 2v9c0 1.1.9 2 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8v11c0 1.1.9 2 2 2h14\"},\"child\":[]}]})(props);\n};\nexport function LuFootprints (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v-2.38C4 11.5 2.97 10.5 3 8c.03-2.72 1.49-6 4.5-6C9.37 2 10 3.8 10 5.5c0 3.11-2 5.66-2 8.68V16a2 2 0 1 1-4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v-2.38c0-2.12 1.03-3.12 1-5.62-.03-2.72-1.49-6-4.5-6C14.63 6 14 7.8 14 9.5c0 3.11 2 5.66 2 8.68V20a2 2 0 1 0 4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h4\"},\"child\":[]}]})(props);\n};\nexport function LuForklift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12H5a2 2 0 0 0-2 2v5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"13\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19h3m5-17v17h6M6 12V7c0-1.1.9-2 2-2h3l5 5\"},\"child\":[]}]})(props);\n};\nexport function LuFormInput (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h.01\"},\"child\":[]}]})(props);\n};\nexport function LuForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"15 17 20 12 15 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-2a4 4 0 0 1 4-4h12\"},\"child\":[]}]})(props);\n};\nexport function LuFrame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"2\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"2\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"18\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuFramer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16V9h14V2H5l14 14h-7m-7 0 7 7v-7m-7 0h7\"},\"child\":[]}]})(props);\n};\nexport function LuFrown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16s-1.5-2-4-2-4 2-4 2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuFuel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"15\",\"y1\":\"22\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"14\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 22V4a2 2 0 0 0-2-2H6a2 2 0 0 0-2 2v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h2a2 2 0 0 1 2 2v2a2 2 0 0 0 2 2h0a2 2 0 0 0 2-2V9.83a2 2 0 0 0-.59-1.42L18 5\"},\"child\":[]}]})(props);\n};\nexport function LuFunctionSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17c2 0 2.8-1 2.8-2.8V10c0-2 1-3.3 3.2-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11.2h5.7\"},\"child\":[]}]})(props);\n};\nexport function LuGalleryHorizontalEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5v14\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"18\",\"x\":\"10\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuGalleryHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3v18\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"18\",\"x\":\"6\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 3v18\"},\"child\":[]}]})(props);\n};\nexport function LuGalleryThumbnails (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"14\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21h1\"},\"child\":[]}]})(props);\n};\nexport function LuGalleryVerticalEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6h14\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"12\",\"x\":\"3\",\"y\":\"10\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuGalleryVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2h18\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"12\",\"x\":\"3\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 22h18\"},\"child\":[]}]})(props);\n};\nexport function LuGamepad2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"10\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"9\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15.01\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"18.01\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.32 5H6.68a4 4 0 0 0-3.978 3.59c-.006.052-.01.101-.017.152C2.604 9.416 2 14.456 2 16a3 3 0 0 0 3 3c1 0 1.5-.5 2-1l1.414-1.414A2 2 0 0 1 9.828 16h4.344a2 2 0 0 1 1.414.586L17 18c.5.5 1 1 2 1a3 3 0 0 0 3-3c0-1.545-.604-6.584-.685-7.258-.007-.05-.011-.1-.017-.151A4 4 0 0 0 17.32 5z\"},\"child\":[]}]})(props);\n};\nexport function LuGamepad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"10\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"10\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15.01\",\"y1\":\"13\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"18.01\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuGanttChartSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h5\"},\"child\":[]}]})(props);\n};\nexport function LuGanttChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h7\"},\"child\":[]}]})(props);\n};\nexport function LuGaugeCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.6 2.7a10 10 0 1 0 5.7 5.7\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.4 10.6 19 5\"},\"child\":[]}]})(props);\n};\nexport function LuGauge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 14 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.34 19a10 10 0 1 1 17.32 0\"},\"child\":[]}]})(props);\n};\nexport function LuGavel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14 13-7.5 7.5c-.83.83-2.17.83-3 0 0 0 0 0 0 0a2.12 2.12 0 0 1 0-3L11 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 16 6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 8 6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 7 8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 11-8-8\"},\"child\":[]}]})(props);\n};\nexport function LuGem (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h12l4 6-10 13L2 9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3 8 9l4 13 4-13-3-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h20\"},\"child\":[]}]})(props);\n};\nexport function LuGhost (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a8 8 0 0 0-8 8v12l3-3 2.5 2.5L12 19l2.5 2.5L17 19l3 3V10a8 8 0 0 0-8-8z\"},\"child\":[]}]})(props);\n};\nexport function LuGift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"20 12 20 22 4 22 4 12\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"5\",\"x\":\"2\",\"y\":\"7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7H7.5a2.5 2.5 0 0 1 0-5C11 2 12 7 12 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7h4.5a2.5 2.5 0 0 0 0-5C13 2 12 7 12 7z\"},\"child\":[]}]})(props);\n};\nexport function LuGitBranchPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a3 3 0 1 0 0-6 3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21a3 3 0 1 0 0-6 3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6a9 9 0 0 0-9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18h-6\"},\"child\":[]}]})(props);\n};\nexport function LuGitBranch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"3\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a9 9 0 0 1-9 9\"},\"child\":[]}]})(props);\n};\nexport function LuGitCommit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"9\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuGitCompare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h3a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18H8a2 2 0 0 1-2-2V9\"},\"child\":[]}]})(props);\n};\nexport function LuGitFork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v1a2 2 0 0 1-2 2H8a2 2 0 0 1-2-2V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v3\"},\"child\":[]}]})(props);\n};\nexport function LuGitMerge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21V9a9 9 0 0 0 9 9\"},\"child\":[]}]})(props);\n};\nexport function LuGitPullRequestClosed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11.5V15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 3-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 9-6-6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"9\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuGitPullRequestDraft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6V5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v-1\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"9\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuGitPullRequest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h3a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"9\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuGithub (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22v-4a4.8 4.8 0 0 0-1-3.5c3 0 6-2 6-5.5.08-1.25-.27-2.48-1-3.5.28-1.15.28-2.35 0-3.5 0 0-1 0-3 1.5-2.64-.5-5.36-.5-8 0C6 2 5 2 5 2c-.3 1.15-.3 2.35 0 3.5A5.403 5.403 0 0 0 4 9c0 3.5 3 5.5 6 5.5-.39.49-.68 1.05-.85 1.65-.17.6-.22 1.23-.15 1.85v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18c-4.51 2-5-2-7-2\"},\"child\":[]}]})(props);\n};\nexport function LuGitlab (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m22 13.29-3.33-10a.42.42 0 0 0-.14-.18.38.38 0 0 0-.22-.11.39.39 0 0 0-.23.07.42.42 0 0 0-.14.18l-2.26 6.67H8.32L6.1 3.26a.42.42 0 0 0-.1-.18.38.38 0 0 0-.26-.08.39.39 0 0 0-.23.07.42.42 0 0 0-.14.18L2 13.29a.74.74 0 0 0 .27.83L12 21l9.69-6.88a.71.71 0 0 0 .31-.83Z\"},\"child\":[]}]})(props);\n};\nexport function LuGlassWater (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.2 22H8.8a2 2 0 0 1-2-1.79L5 3h14l-1.81 17.21A2 2 0 0 1 15.2 22Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a5 5 0 0 1 6 0 5 5 0 0 0 6 0\"},\"child\":[]}]})(props);\n};\nexport function LuGlasses (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"15\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"15\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15a2 2 0 0 0-2-2 2 2 0 0 0-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 13 5 7c.7-1.3 1.4-2 3-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 13 19 7c-.7-1.3-1.5-2-3-2\"},\"child\":[]}]})(props);\n};\nexport function LuGlobe2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.54 15H17a2 2 0 0 0-2 2v4.54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3.34V5a3 3 0 0 0 3 3v0a2 2 0 0 1 2 2v0c0 1.1.9 2 2 2v0a2 2 0 0 0 2-2v0c0-1.1.9-2 2-2h3.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21.95V18a2 2 0 0 0-2-2v0a2 2 0 0 1-2-2v-1a2 2 0 0 0-2-2H2.05\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuGlobe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a15.3 15.3 0 0 1 4 10 15.3 15.3 0 0 1-4 10 15.3 15.3 0 0 1-4-10 15.3 15.3 0 0 1 4-10z\"},\"child\":[]}]})(props);\n};\nexport function LuGoal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13V2l8 4-8 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.55 10.23A9 9 0 1 1 8 4.94\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10a5 5 0 1 0 8.9 2.02\"},\"child\":[]}]})(props);\n};\nexport function LuGrab (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11.5V9a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10V8a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.9V9a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v0a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v0a2 2 0 1 1 4 0v3a8 8 0 0 1-8 8h-4a8 8 0 0 1-8-8 2 2 0 1 1 4 0\"},\"child\":[]}]})(props);\n};\nexport function LuGraduationCap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10v6M2 10l10-5 10 5-10 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v5c3 3 9 3 12 0v-5\"},\"child\":[]}]})(props);\n};\nexport function LuGrape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 5V2l-5.89 5.89\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.6\",\"cy\":\"15.89\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.11\",\"cy\":\"7.4\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12.35\",\"cy\":\"11.65\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"13.91\",\"cy\":\"5.85\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18.15\",\"cy\":\"10.09\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.56\",\"cy\":\"13.2\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"10.8\",\"cy\":\"17.44\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"19\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuGrid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuGripHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"9\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"9\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"9\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"15\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"15\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"15\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuGripVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuGrip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuGroup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7V5c0-1.1.9-2 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h2c1.1 0 2 .9 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v2c0 1.1-.9 2-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21H5c-1.1 0-2-.9-2-2v-2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"5\",\"x\":\"7\",\"y\":\"7\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"5\",\"x\":\"10\",\"y\":\"12\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuHammer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15 12-8.5 8.5c-.83.83-2.17.83-3 0 0 0 0 0 0 0a2.12 2.12 0 0 1 0-3L12 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.64 15 22 10.64\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20.91 11.7-1.25-1.25c-.6-.6-.93-1.4-.93-2.25v-.86L16.01 4.6a5.56 5.56 0 0 0-3.94-1.64H9l.92.82A6.18 6.18 0 0 1 12 8.4v1.56l2 2h2.47l2.26 1.91\"},\"child\":[]}]})(props);\n};\nexport function LuHandMetal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12.5V10a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11V9a2 2 0 1 0-4 0v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10.5V5a2 2 0 1 0-4 0v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 15-1.76-1.76a2 2 0 0 0-2.83 2.82l3.6 3.6C7.5 21.14 9.2 22 12 22h2a8 8 0 0 0 8-8V7a2 2 0 1 0-4 0v5\"},\"child\":[]}]})(props);\n};\nexport function LuHand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11V6a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10V4a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10.5V6a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8a2 2 0 1 1 4 0v6a8 8 0 0 1-8 8h-2c-2.8 0-4.5-.86-5.99-2.34l-3.6-3.6a2 2 0 0 1 2.83-2.82L7 15\"},\"child\":[]}]})(props);\n};\nexport function LuHardDriveDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 6-4 4-4-4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"8\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h.01\"},\"child\":[]}]})(props);\n};\nexport function LuHardDriveUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 6-4-4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v8\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"8\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h.01\"},\"child\":[]}]})(props);\n};\nexport function LuHardDrive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"2\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.45 5.11 2 12v6a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-6l-3.45-6.89A2 2 0 0 0 16.76 4H7.24a2 2 0 0 0-1.79 1.11z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6.01\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10.01\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuHardHat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18a1 1 0 0 0 1 1h18a1 1 0 0 0 1-1v-2a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10V5a1 1 0 0 1 1-1h2a1 1 0 0 1 1 1v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15v-3a6 6 0 0 1 6-6h0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6h0a6 6 0 0 1 6 6v3\"},\"child\":[]}]})(props);\n};\nexport function LuHash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"8\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"14\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuHaze (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5.2 6.2 1.4 1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.4 7.6 1.4-1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 21H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13a4 4 0 0 0-8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5V2.5\"},\"child\":[]}]})(props);\n};\nexport function LuHdmiPort (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9a1 1 0 0 0-1-1H3a1 1 0 0 0-1 1v4a1 1 0 0 0 1 1h1l2 2h12l2-2h1a1 1 0 0 0 1-1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 12h9\"},\"child\":[]}]})(props);\n};\nexport function LuHeading1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 12 3-2v8\"},\"child\":[]}]})(props);\n};\nexport function LuHeading2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18h-4c0-4 4-3 4-6 0-1.5-2-2.5-4-1\"},\"child\":[]}]})(props);\n};\nexport function LuHeading3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 10.5c1.7-1 3.5 0 3.5 1.5a2 2 0 0 1-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17.5c2 1.5 4 .3 4-1.5a2 2 0 0 0-2-2\"},\"child\":[]}]})(props);\n};\nexport function LuHeading4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10v8\"},\"child\":[]}]})(props);\n};\nexport function LuHeading5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v-3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17.7c.4.2.8.3 1.3.3 1.5 0 2.7-1.1 2.7-2.5S19.8 13 18.3 13H17\"},\"child\":[]}]})(props);\n};\nexport function LuHeading6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"16\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10c-2 2-3 3.5-3 6\"},\"child\":[]}]})(props);\n};\nexport function LuHeading (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20V4\"},\"child\":[]}]})(props);\n};\nexport function LuHeadphones (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h3a2 2 0 0 1 2 2v3a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-7a9 9 0 0 1 18 0v7a2 2 0 0 1-2 2h-1a2 2 0 0 1-2-2v-3a2 2 0 0 1 2-2h3\"},\"child\":[]}]})(props);\n};\nexport function LuHeartCrack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14c1.49-1.46 3-3.21 3-5.5A5.5 5.5 0 0 0 16.5 3c-1.76 0-3 .5-4.5 2-1.5-1.5-2.74-2-4.5-2A5.5 5.5 0 0 0 2 8.5c0 2.3 1.5 4.05 3 5.5l7 7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 13-1-1 2-2-3-3 2-2\"},\"child\":[]}]})(props);\n};\nexport function LuHeartHandshake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14c1.49-1.46 3-3.21 3-5.5A5.5 5.5 0 0 0 16.5 3c-1.76 0-3 .5-4.5 2-1.5-1.5-2.74-2-4.5-2A5.5 5.5 0 0 0 2 8.5c0 2.3 1.5 4.05 3 5.5l7 7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5 9.04 7.96a2.17 2.17 0 0 0 0 3.08v0c.82.82 2.13.85 3 .07l2.07-1.9a2.82 2.82 0 0 1 3.79 0l2.96 2.66\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 15-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 18-2-2\"},\"child\":[]}]})(props);\n};\nexport function LuHeartOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"y1\":\"2\",\"x2\":\"22\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 16.5 12 21l-7-7c-1.5-1.45-3-3.2-3-5.5a5.5 5.5 0 0 1 2.14-4.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.76 3.1c1.15.22 2.13.78 3.24 1.9 1.5-1.5 2.74-2 4.5-2A5.5 5.5 0 0 1 22 8.5c0 2.12-1.3 3.78-2.67 5.17\"},\"child\":[]}]})(props);\n};\nexport function LuHeartPulse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14c1.49-1.46 3-3.21 3-5.5A5.5 5.5 0 0 0 16.5 3c-1.76 0-3 .5-4.5 2-1.5-1.5-2.74-2-4.5-2A5.5 5.5 0 0 0 2 8.5c0 2.3 1.5 4.05 3 5.5l7 7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.22 12H9.5l.5-1 2 4.5 2-7 1.5 3.5h5.27\"},\"child\":[]}]})(props);\n};\nexport function LuHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14c1.49-1.46 3-3.21 3-5.5A5.5 5.5 0 0 0 16.5 3c-1.76 0-3 .5-4.5 2-1.5-1.5-2.74-2-4.5-2A5.5 5.5 0 0 0 2 8.5c0 2.3 1.5 4.05 3 5.5l7 7Z\"},\"child\":[]}]})(props);\n};\nexport function LuHelpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.09 9a3 3 0 0 1 5.83 1c0 2-3 3-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h.01\"},\"child\":[]}]})(props);\n};\nexport function LuHelpingHand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 15 5.12-5.12A3 3 0 0 1 10.24 9H13a2 2 0 1 1 0 4h-2.5m4-.68 4.17-4.89a1.88 1.88 0 0 1 2.92 2.36l-4.2 5.94A3 3 0 0 1 14.96 17H9.83a2 2 0 0 0-1.42.59L7 19\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 14 6 6\"},\"child\":[]}]})(props);\n};\nexport function LuHexagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z\"},\"child\":[]}]})(props);\n};\nexport function LuHighlighter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 11-6 6v3h9l3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 12-4.6 4.6a2 2 0 0 1-2.8 0l-5.2-5.2a2 2 0 0 1 0-2.8L14 4\"},\"child\":[]}]})(props);\n};\nexport function LuHistory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 9-9 9.75 9.75 0 0 0-6.74 2.74L3 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l4 2\"},\"child\":[]}]})(props);\n};\nexport function LuHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 9 9-7 9 7v11a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"9 22 9 12 15 12 15 22\"},\"child\":[]}]})(props);\n};\nexport function LuHopOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 5.5C19 7 20.5 9 21 11c-1.323.265-2.646.39-4.118.226\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 17.5C7 19 9 20.5 11 21c.5-2.5.5-5-1-8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 17.5c-2.5 0-4 0-6-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11.5c1 1.5 2 3.5 2 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 20c1.5 1 3.5 2 4.5 2 .5-1.5 0-3-.5-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22c-2 0-3.5-.5-5.5-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.783 4.782C1.073 8.492 1 14.5 5 18c1-1 2-4.5 1.5-6.5 1.5 1 4 1 5.5.5M8.227 2.57C11.578 1.335 15.453 2.089 18 5c-.88.88-3.7 1.761-5.726 1.618\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuHop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 5.5C19 7 20.5 9 21 11c-2.5.5-5 .5-8.5-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 17.5C7 19 9 20.5 11 21c.5-2.5.5-5-1-8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 11.5c1 2 1 3.5 1 6-2.5 0-4 0-6-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11.5c1 1.5 2 3.5 2 4.5-1.5.5-3 0-4.5-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 20c1.5 1 3.5 2 4.5 2 .5-1.5 0-3-.5-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 16.5c1 2 1.5 3.5 1.5 5.5-2 0-3.5-.5-5.5-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.783 4.782C8.493 1.072 14.5 1 18 5c-1 1-4.5 2-6.5 1.5 1 1.5 1 4 .5 5.5-1.5.5-4 .5-5.5-.5C7 13.5 6 17 5 18c-4-3.5-3.927-9.508-.217-13.218Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 4.5 3 3c-.184-.185-.184-.816 0-1\"},\"child\":[]}]})(props);\n};\nexport function LuHotel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 16 .348-.24c1.465-1.013 3.84-1.013 5.304 0L15 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 22v-6.5m4 0V22\"},\"child\":[]}]})(props);\n};\nexport function LuHourglass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22v-4.172a2 2 0 0 0-.586-1.414L12 12l-4.414 4.414A2 2 0 0 0 7 17.828V22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2v4.172a2 2 0 0 0 .586 1.414L12 12l4.414-4.414A2 2 0 0 0 17 6.172V2\"},\"child\":[]}]})(props);\n};\nexport function LuIceCream2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17c5 0 8-2.69 8-6H4c0 3.31 3 6 8 6Zm-4 4h8m-4-3v3M5.14 11a3.5 3.5 0 1 1 6.71 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.14 11a3.5 3.5 0 1 1 6.71 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 6.5a3.5 3.5 0 1 0-7 0\"},\"child\":[]}]})(props);\n};\nexport function LuIceCream (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 11 4.08 10.35a1 1 0 0 0 1.84 0L17 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7A5 5 0 0 0 7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7a2 2 0 0 1 0 4H7a2 2 0 0 1 0-4\"},\"child\":[]}]})(props);\n};\nexport function LuImageMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v10a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"22\",\"y1\":\"5\",\"y2\":\"5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"9\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 15-3.086-3.086a2 2 0 0 0-2.828 0L6 21\"},\"child\":[]}]})(props);\n};\nexport function LuImageOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.41 10.41a2 2 0 1 1-2.83-2.83\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"13.5\",\"x2\":\"6\",\"y1\":\"13.5\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.59 3.59A1.99 1.99 0 0 0 3 5v14a2 2 0 0 0 2 2h14c.55 0 1.052-.22 1.41-.59\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15V5a2 2 0 0 0-2-2H9\"},\"child\":[]}]})(props);\n};\nexport function LuImagePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v7a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"22\",\"y1\":\"5\",\"y2\":\"5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"19\",\"y1\":\"2\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"9\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 15-3.086-3.086a2 2 0 0 0-2.828 0L6 21\"},\"child\":[]}]})(props);\n};\nexport function LuImage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"9\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 15-3.086-3.086a2 2 0 0 0-2.828 0L6 21\"},\"child\":[]}]})(props);\n};\nexport function LuImport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 11 4 4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5H4a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2h-4\"},\"child\":[]}]})(props);\n};\nexport function LuInbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"22 12 16 12 14 15 10 15 8 12 2 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.45 5.11 2 12v6a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-6l-3.45-6.89A2 2 0 0 0 16.76 4H7.24a2 2 0 0 0-1.79 1.11z\"},\"child\":[]}]})(props);\n};\nexport function LuIndent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"3 8 7 12 3 16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"11\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"11\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"11\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuIndianRupee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 13 8.5 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13c6.667 0 6.667-10 0-10\"},\"child\":[]}]})(props);\n};\nexport function LuInfinity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c-2-2.67-4-4-6-4a4 4 0 1 0 0 8c2 0 4-1.33 6-4Zm0 0c2 2.67 4 4 6 4a4 4 0 0 0 0-8c-2 0-4 1.33-6 4Z\"},\"child\":[]}]})(props);\n};\nexport function LuInfo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h.01\"},\"child\":[]}]})(props);\n};\nexport function LuInspect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 12 4 10 1.7-4.3L22 16Z\"},\"child\":[]}]})(props);\n};\nexport function LuInstagram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"20\",\"x\":\"2\",\"y\":\"2\",\"rx\":\"5\",\"ry\":\"5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11.37A4 4 0 1 1 12.63 8 4 4 0 0 1 16 11.37z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17.5\",\"x2\":\"17.51\",\"y1\":\"6.5\",\"y2\":\"6.5\"},\"child\":[]}]})(props);\n};\nexport function LuItalic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"10\",\"y1\":\"4\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"5\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"9\",\"y1\":\"4\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuIterationCcw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10c0-4.4-3.6-8-8-8s-8 3.6-8 8 3.6 8 8 8h8\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 14 20 18 16 22\"},\"child\":[]}]})(props);\n};\nexport function LuIterationCw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10c0-4.4 3.6-8 8-8s8 3.6 8 8-3.6 8-8 8H4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 22 4 18 8 14\"},\"child\":[]}]})(props);\n};\nexport function LuJapaneseYen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9.5V21m0-11.5L6 3m6 6.5L18 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11h12\"},\"child\":[]}]})(props);\n};\nexport function LuJoystick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v2a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15V9\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuKanbanSquareDashed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3a2 2 0 0 0-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19a2 2 0 0 1-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21a2 2 0 0 1-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9v1\"},\"child\":[]}]})(props);\n};\nexport function LuKanbanSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v9\"},\"child\":[]}]})(props);\n};\nexport function LuKanban (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5v14\"},\"child\":[]}]})(props);\n};\nexport function LuKeyRound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18v3c0 .6.4 1 1 1h4v-3h3v-3h2l1.4-1.4a6.5 6.5 0 1 0-4-4Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"7.5\",\"r\":\".5\"},\"child\":[]}]})(props);\n};\nexport function LuKeySquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.4 2.7c.9-.9 2.5-.9 3.4 0l5.5 5.5c.9.9.9 2.5 0 3.4l-3.7 3.7c-.9.9-2.5.9-3.4 0L8.7 9.8c-.9-.9-.9-2.5 0-3.4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 7 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.4 10.6 2 18v3c0 .6.4 1 1 1h4v-3h3v-3h2l1.4-1.4\"},\"child\":[]}]})(props);\n};\nexport function LuKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"15.5\",\"r\":\"5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 2-9.6 9.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.5 7.5 3 3L22 7l-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuKeyboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"16\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8h.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h10\"},\"child\":[]}]})(props);\n};\nexport function LuLampCeiling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h12l4 9H2l4-9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.17 16a3 3 0 1 0 5.66 0\"},\"child\":[]}]})(props);\n};\nexport function LuLampDesk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14 5-3 3 2 7 8-8-7-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 5-3 3-3-3 3-3 3 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 6.5 4 12l3 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 22v-2c0-1.1.9-2 2-2h4a2 2 0 0 1 2 2v2H3Z\"},\"child\":[]}]})(props);\n};\nexport function LuLampFloor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 2h6l3 7H6l3-7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 22h6\"},\"child\":[]}]})(props);\n};\nexport function LuLampWallDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13h6l3 7H8l3-7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13V8a2 2 0 0 0-2-2H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h2a2 2 0 0 0 2-2V5a2 2 0 0 0-2-2H4v6Z\"},\"child\":[]}]})(props);\n};\nexport function LuLampWallUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h6l3 7H8l3-7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11v5a2 2 0 0 1-2 2H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h2a2 2 0 0 1 2 2v2a2 2 0 0 1-2 2H4v-6Z\"},\"child\":[]}]})(props);\n};\nexport function LuLamp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2h8l4 10H4L8 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22v-2c0-1.1.9-2 2-2h4a2 2 0 0 1 2 2v2H8Z\"},\"child\":[]}]})(props);\n};\nexport function LuLandmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"22\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"18\",\"y2\":\"11\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"18\",\"y2\":\"11\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"14\",\"y1\":\"18\",\"y2\":\"11\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"18\",\"y1\":\"18\",\"y2\":\"11\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"12 2 20 7 4 7\"},\"child\":[]}]})(props);\n};\nexport function LuLanguages (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5 8 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4 14 6-6 2-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 22-5-10-5 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h6\"},\"child\":[]}]})(props);\n};\nexport function LuLaptop2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"12\",\"x\":\"3\",\"y\":\"4\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuLaptop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16V7a2 2 0 0 0-2-2H6a2 2 0 0 0-2 2v9m16 0H4m16 0 1.28 2.55a1 1 0 0 1-.9 1.45H3.62a1 1 0 0 1-.9-1.45L4 16\"},\"child\":[]}]})(props);\n};\nexport function LuLassoSelect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22a5 5 0 0 1-2-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.93c.96.43 1.96.74 2.99.91\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.34 14A6.8 6.8 0 0 1 2 10c0-4.42 4.48-8 10-8s10 3.58 10 8a7.19 7.19 0 0 1-.33 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18a2 2 0 1 0 0-4 2 2 0 0 0 0 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.33 22h-.09a.35.35 0 0 1-.24-.32v-10a.34.34 0 0 1 .33-.34c.08 0 .15.03.21.08l7.34 6a.33.33 0 0 1-.21.59h-4.49l-2.57 3.85a.35.35 0 0 1-.28.14v0z\"},\"child\":[]}]})(props);\n};\nexport function LuLasso (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22a5 5 0 0 1-2-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.3 14A6.8 6.8 0 0 1 2 10c0-4.4 4.5-8 10-8s10 3.6 10 8-4.5 8-10 8a12 12 0 0 1-5-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18a2 2 0 1 0 0-4 2 2 0 0 0 0 4z\"},\"child\":[]}]})(props);\n};\nexport function LuLaugh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13a6 6 0 0 1-6 5 6 6 0 0 1-6-5h12Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuLayers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"12 2 2 7 12 12 22 7 12 2\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"2 17 12 22 22 17\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"2 12 12 17 22 12\"},\"child\":[]}]})(props);\n};\nexport function LuLayoutDashboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"9\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"5\",\"x\":\"14\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"9\",\"x\":\"14\",\"y\":\"12\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"5\",\"x\":\"3\",\"y\":\"16\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuLayoutGrid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"14\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"14\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"3\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuLayoutList (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"3\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h7\"},\"child\":[]}]})(props);\n};\nexport function LuLayoutPanelLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"14\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"14\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuLayoutPanelTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"7\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"3\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"7\",\"x\":\"14\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuLayoutTemplate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"7\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"9\",\"height\":\"7\",\"x\":\"3\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"5\",\"height\":\"7\",\"x\":\"16\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuLayout (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9\",\"y1\":\"21\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuLeaf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20A7 7 0 0 1 9.8 6.1C15.5 5 17 4.48 19 2c1 2 2 4.18 2 8 0 5.5-4.78 10-10 10Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 21c0-3 1.85-5.36 5.08-6C9.5 14.52 12 13 13 12\"},\"child\":[]}]})(props);\n};\nexport function LuLeafyGreen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22c1.25-.987 2.27-1.975 3.9-2.2a5.56 5.56 0 0 1 3.8 1.5 4 4 0 0 0 6.187-2.353 3.5 3.5 0 0 0 3.69-5.116A3.5 3.5 0 0 0 20.95 8 3.5 3.5 0 1 0 16 3.05a3.5 3.5 0 0 0-5.831 1.373 3.5 3.5 0 0 0-5.116 3.69 4 4 0 0 0-2.348 6.155C3.499 15.42 4.409 16.712 4.2 18.1 3.926 19.743 3.014 20.732 2 22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22 17 7\"},\"child\":[]}]})(props);\n};\nexport function LuLibrary (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 6 4 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuLifeBuoy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.93 4.93 4.24 4.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.83 9.17 4.24-4.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.83 14.83 4.24 4.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9.17 14.83-4.24 4.24\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]}]})(props);\n};\nexport function LuLigature (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20V8c0-2.2 1.8-4 4-4 1.5 0 2.8.8 3.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h4\"},\"child\":[]}]})(props);\n};\nexport function LuLightbulbOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.8 11.2c.8-.9 1.2-2 1.2-3.2a6 6 0 0 0-9.3-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.3 6.3a4.67 4.67 0 0 0 1.2 5.2c.7.7 1.3 1.5 1.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 22h4\"},\"child\":[]}]})(props);\n};\nexport function LuLightbulb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14c.2-1 .7-1.7 1.5-2.5 1-.9 1.5-2.2 1.5-3.5A6 6 0 0 0 6 8c0 1 .2 2.2 1.5 3.5.7.7 1.3 1.5 1.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 22h4\"},\"child\":[]}]})(props);\n};\nexport function LuLineChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 9-5 5-4-4-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuLink2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17H7A5 5 0 0 1 7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h2a5 5 0 0 1 4 8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"12\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuLink2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17H7A5 5 0 0 1 7 7h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h2a5 5 0 1 1 0 10h-2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuLink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13a5 5 0 0 0 7.54.54l3-3a5 5 0 0 0-7.07-7.07l-1.72 1.71\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11a5 5 0 0 0-7.54-.54l-3 3a5 5 0 0 0 7.07 7.07l1.71-1.71\"},\"child\":[]}]})(props);\n};\nexport function LuLinkedin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8a6 6 0 0 1 6 6v7h-4v-7a2 2 0 0 0-2-2 2 2 0 0 0-2 2v7h-4v-7a6 6 0 0 1 6-6z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"12\",\"x\":\"2\",\"y\":\"9\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"4\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuListChecks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 17 2 2 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 7 2 2 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h8\"},\"child\":[]}]})(props);\n};\nexport function LuListEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6v10a2 2 0 0 1-2 2h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 16-2 2 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuListFilter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h4\"},\"child\":[]}]})(props);\n};\nexport function LuListMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-6\"},\"child\":[]}]})(props);\n};\nexport function LuListMusic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 18a2.5 2.5 0 1 0 0-5 2.5 2.5 0 0 0 0 5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18H3\"},\"child\":[]}]})(props);\n};\nexport function LuListOrdered (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"21\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"21\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18H4c0-1 2-2 2-3s-1-1.5-2-1\"},\"child\":[]}]})(props);\n};\nexport function LuListPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-6\"},\"child\":[]}]})(props);\n};\nexport function LuListRestart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18a5 5 0 0 0 9-3 4.5 4.5 0 0 0-4.5-4.5c-1.33 0-2.54.54-3.41 1.41L11 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10v4h4\"},\"child\":[]}]})(props);\n};\nexport function LuListStart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18V8a2 2 0 0 0-2-2h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 8-2-2 2-2\"},\"child\":[]}]})(props);\n};\nexport function LuListTodo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"3\",\"y\":\"5\",\"width\":\"6\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 17 2 2 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h8\"},\"child\":[]}]})(props);\n};\nexport function LuListTree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v4c0 1.1.9 2 2 2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10v6c0 1.1.9 2 2 2h3\"},\"child\":[]}]})(props);\n};\nexport function LuListVideo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 12 5 3-5 3v-6Z\"},\"child\":[]}]})(props);\n};\nexport function LuListX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 10-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 10 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuList (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"21\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"21\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"3.01\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"3.01\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"3.01\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuLoader2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1-6.219-8.56\"},\"child\":[]}]})(props);\n};\nexport function LuLoader (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"18\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4.93\",\"x2\":\"7.76\",\"y1\":\"4.93\",\"y2\":\"7.76\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16.24\",\"x2\":\"19.07\",\"y1\":\"16.24\",\"y2\":\"19.07\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"6\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4.93\",\"x2\":\"7.76\",\"y1\":\"19.07\",\"y2\":\"16.24\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16.24\",\"x2\":\"19.07\",\"y1\":\"7.76\",\"y2\":\"4.93\"},\"child\":[]}]})(props);\n};\nexport function LuLocateFixed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"5\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"19\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"7\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuLocateOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"5\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"19\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.11 7.11C5.83 8.39 5 10.1 5 12c0 3.87 3.13 7 7 7 1.9 0 3.61-.83 4.89-2.11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.71 13.96c.19-.63.29-1.29.29-1.96 0-3.87-3.13-7-7-7-.67 0-1.33.1-1.96.29\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuLocate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"5\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"19\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"7\"},\"child\":[]}]})(props);\n};\nexport function LuLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"11\",\"x\":\"3\",\"y\":\"11\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11V7a5 5 0 0 1 10 0v4\"},\"child\":[]}]})(props);\n};\nexport function LuLogIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h4a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2h-4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"10 17 15 12 10 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"3\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuLogOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 17 21 12 16 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"9\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuLollipop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-4.3-4.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11a2 2 0 0 0 4 0 4 4 0 0 0-8 0 6 6 0 0 0 12 0\"},\"child\":[]}]})(props);\n};\nexport function LuLuggage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20h0a2 2 0 0 1-2-2V8a2 2 0 0 1 2-2h12a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2h0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18V4a2 2 0 0 1 2-2h4a2 2 0 0 1 2 2v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"20\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"20\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuMagnet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 15-4-4 6.75-6.77a7.79 7.79 0 0 1 11 11L13 22l-4-4 6.39-6.36a2.14 2.14 0 0 0-3-3L6 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 8 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuMailCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 13V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12c0 1.1.9 2 2 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 19 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuMailMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 15V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12c0 1.1.9 2 2 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function LuMailOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.2 8.4c.5.38.8.97.8 1.6v10a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V10a2 2 0 0 1 .8-1.6l8-6a2 2 0 0 1 2.4 0l8 6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 10-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 10\"},\"child\":[]}]})(props);\n};\nexport function LuMailPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 13V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12c0 1.1.9 2 2 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function LuMailQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10.5V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12c0 1.1.9 2 2 2h12.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15.28c.2-.4.5-.8.9-1a2.1 2.1 0 0 1 2.6.4c.3.4.5.8.5 1.3 0 1.3-2 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22v.01\"},\"child\":[]}]})(props);\n};\nexport function LuMailSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12.5V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12c0 1.1.9 2 2 2h7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21a3 3 0 1 0 0-6 3 3 0 0 0 0 6v0Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 22-1.5-1.5\"},\"child\":[]}]})(props);\n};\nexport function LuMailWarning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10.5V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12c0 1.1.9 2 2 2h12.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 22v.01\"},\"child\":[]}]})(props);\n};\nexport function LuMailX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 13V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v12c0 1.1.9 2 2 2h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 17 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 17-4 4\"},\"child\":[]}]})(props);\n};\nexport function LuMail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"16\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-8.97 5.7a1.94 1.94 0 0 1-2.06 0L2 7\"},\"child\":[]}]})(props);\n};\nexport function LuMailbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V9.5C2 7 4 5 6.5 5H18c2.2 0 4 1.8 4 4v8Z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"15,9 18,9 18,11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 5C9 5 11 7 11 9.5V17a2 2 0 0 1-2 2v0\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"7\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuMails (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"13\",\"x\":\"6\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 7-7.1 3.78c-.57.3-1.23.3-1.8 0L6 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8v11c0 1.1.9 2 2 2h14\"},\"child\":[]}]})(props);\n};\nexport function LuMapPinOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.43 5.43A8.06 8.06 0 0 0 4 10c0 6 8 12 8 12a29.94 29.94 0 0 0 5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.18 13.52A8.66 8.66 0 0 0 20 10a8 8 0 0 0-8-8 7.88 7.88 0 0 0-3.52.82\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.13 9.13A2.78 2.78 0 0 0 9 10a3 3 0 0 0 3 3 2.78 2.78 0 0 0 .87-.13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.9 9.25a3 3 0 0 0-2.15-2.16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuMapPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10c0 6-8 12-8 12s-8-6-8-12a8 8 0 0 1 16 0Z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuMap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"3 6 9 3 15 6 21 3 21 18 15 21 9 18 3 21\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9\",\"y1\":\"3\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15\",\"y1\":\"6\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuMartini (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 3-7 8-7-8Z\"},\"child\":[]}]})(props);\n};\nexport function LuMaximize2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"15 3 21 3 21 9\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"9 21 3 21 3 15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"14\",\"y1\":\"3\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"10\",\"y1\":\"21\",\"y2\":\"14\"},\"child\":[]}]})(props);\n};\nexport function LuMaximize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3H5a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8V5a2 2 0 0 0-2-2h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v3a2 2 0 0 0 2 2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21h3a2 2 0 0 0 2-2v-3\"},\"child\":[]}]})(props);\n};\nexport function LuMedal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.21 15 2.66 7.14a2 2 0 0 1 .13-2.2L4.4 2.8A2 2 0 0 1 6 2h12a2 2 0 0 1 1.6.8l1.6 2.14a2 2 0 0 1 .14 2.2L16.79 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12 5.12 2.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 12 5.88-9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7h8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"17\",\"r\":\"5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-2h-.5\"},\"child\":[]}]})(props);\n};\nexport function LuMegaphoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.26 9.26 3 11v3l14.14 3.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15.34V6l-7.31 2.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.6 16.8a3 3 0 1 1-5.8-1.6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuMegaphone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 11 18-5v12L3 14v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.6 16.8a3 3 0 1 1-5.8-1.6\"},\"child\":[]}]})(props);\n};\nexport function LuMeh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuMemoryStick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v1.1a2 2 0 0 0 0 3.837V17a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2v-5.1a2 2 0 0 0 0-3.837Z\"},\"child\":[]}]})(props);\n};\nexport function LuMenuSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h10\"},\"child\":[]}]})(props);\n};\nexport function LuMenu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuMerge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8 6 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v10.3a4 4 0 0 1-1.172 2.872L4 22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 22-5-5\"},\"child\":[]}]})(props);\n};\nexport function LuMessageCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 21 1.9-5.7a8.5 8.5 0 1 1 3.8 3.8z\"},\"child\":[]}]})(props);\n};\nexport function LuMessageSquareDashed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6V5c0-1.1.9-2 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3h1c1.1 0 2 .9 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15c0 1.1-.9 2-2 2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 17-4 4v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v-2\"},\"child\":[]}]})(props);\n};\nexport function LuMessageSquarePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15a2 2 0 0 1-2 2H7l-4 4V5a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"10\",\"y2\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"7\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuMessageSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15a2 2 0 0 1-2 2H7l-4 4V5a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2z\"},\"child\":[]}]})(props);\n};\nexport function LuMessagesSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a2 2 0 0 1-2 2H6l-4 4V4c0-1.1.9-2 2-2h8a2 2 0 0 1 2 2v5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h2a2 2 0 0 1 2 2v11l-4-4h-6a2 2 0 0 1-2-2v-1\"},\"child\":[]}]})(props);\n};\nexport function LuMic2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 8-9.04 9.06a2.82 2.82 0 1 0 3.98 3.98L16 12\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"7\",\"r\":\"5\"},\"child\":[]}]})(props);\n};\nexport function LuMicOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.89 13.23A7.12 7.12 0 0 0 19 12v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10v2a7 7 0 0 0 12 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9.34V5a3 3 0 0 0-5.68-1.33\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v3a3 3 0 0 0 5.12 2.12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"19\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuMic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a3 3 0 0 0-3 3v7a3 3 0 0 0 6 0V5a3 3 0 0 0-3-3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10v2a7 7 0 0 1-14 0v-2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"19\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuMicroscope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 22h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 22a7 7 0 1 0 0-14h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a2 2 0 0 1-2-2V6h6v4a2 2 0 0 1-2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6V3a1 1 0 0 0-1-1H9a1 1 0 0 0-1 1v3\"},\"child\":[]}]})(props);\n};\nexport function LuMicrowave (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"15\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"7\",\"x\":\"6\",\"y\":\"8\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v2\"},\"child\":[]}]})(props);\n};\nexport function LuMilestone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6H5a2 2 0 0 0-2 2v3a2 2 0 0 0 2 2h13l4-3.5L18 6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v3\"},\"child\":[]}]})(props);\n};\nexport function LuMilkOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 2v1.343M15 2v2.789a4 4 0 0 0 .672 2.219l.656.984a4 4 0 0 1 .672 2.22v1.131M7.8 7.8l-.128.192A4 4 0 0 0 7 10.212V20a2 2 0 0 0 2 2h6a2 2 0 0 0 2-2v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15a6.47 6.47 0 0 1 5 0 6.472 6.472 0 0 0 3.435.435\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuMilk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 2v2.789a4 4 0 0 1-.672 2.219l-.656.984A4 4 0 0 0 7 10.212V20a2 2 0 0 0 2 2h6a2 2 0 0 0 2-2v-9.789a4 4 0 0 0-.672-2.219l-.656-.984A4 4 0 0 1 15 4.788V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15a6.472 6.472 0 0 1 5 0 6.47 6.47 0 0 0 5 0\"},\"child\":[]}]})(props);\n};\nexport function LuMinimize2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"4 14 10 14 10 20\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"20 10 14 10 14 4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"21\",\"y1\":\"10\",\"y2\":\"3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"10\",\"y1\":\"21\",\"y2\":\"14\"},\"child\":[]}]})(props);\n};\nexport function LuMinimize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v3a2 2 0 0 1-2 2H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8h-3a2 2 0 0 1-2-2V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h3a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-3a2 2 0 0 1 2-2h3\"},\"child\":[]}]})(props);\n};\nexport function LuMinusCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]}]})(props);\n};\nexport function LuMinusSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]}]})(props);\n};\nexport function LuMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 10 2 2 4-4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12v3a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 10-3 3-3-3\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17H4a2 2 0 0 1-2-2V5c0-1.5 1-2 1-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 15V5a2 2 0 0 0-2-2H9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13V7\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorPlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10 7 5 3-5 3Z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorSmartphone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v7a2 2 0 0 0 2 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19v-3.96 3.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h5\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"10\",\"x\":\"16\",\"y\":\"12\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorSpeaker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 20H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9h.01\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"16\",\"x\":\"12\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6H4a2 2 0 0 0-2 2v6a2 2 0 0 0 2 2h4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"15\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorStop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"9\",\"y\":\"7\",\"width\":\"6\",\"height\":\"6\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 10 3-3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13V7\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitorX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m14.5 12.5-5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9.5 12.5 5-5\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]}]})(props);\n};\nexport function LuMonitor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"16\",\"y1\":\"21\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"17\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuMoonStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a6 6 0 0 0 9 9 9 9 0 1 1-9-9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5h-4\"},\"child\":[]}]})(props);\n};\nexport function LuMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a6 6 0 0 0 9 9 9 9 0 1 1-9-9Z\"},\"child\":[]}]})(props);\n};\nexport function LuMoreHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuMoreVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuMountainSnow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8 3 4 8 5-5 5 15H2L8 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.14 15.08c2.62-1.57 5.24-1.43 7.86.42 2.74 1.94 5.49 2 8.23.19\"},\"child\":[]}]})(props);\n};\nexport function LuMountain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8 3 4 8 5-5 5 15H2L8 3z\"},\"child\":[]}]})(props);\n};\nexport function LuMousePointer2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4 4 7.07 17 2.51-7.39L21 11.07z\"},\"child\":[]}]})(props);\n};\nexport function LuMousePointerClick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 9 5 12 1.774-5.226L21 14 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.071 16.071 4.243 4.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7.188 2.239.777 2.897M5.136 7.965l-2.898-.777M13.95 4.05l-2.122 2.122m-5.657 5.656-2.12 2.122\"},\"child\":[]}]})(props);\n};\nexport function LuMousePointer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 3 7.07 16.97 2.51-7.39 7.39-2.51L3 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 13 6 6\"},\"child\":[]}]})(props);\n};\nexport function LuMouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"5\",\"y\":\"2\",\"width\":\"14\",\"height\":\"20\",\"rx\":\"7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v4\"},\"child\":[]}]})(props);\n};\nexport function LuMove3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3v16h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 19 6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 6 3-3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 16 3 3-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuMoveDiagonal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"5 11 5 5 11 5\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"19 13 19 19 13 19\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"19\",\"y1\":\"5\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuMoveDiagonal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"13 5 19 5 19 11\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"11 19 5 19 5 13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"5\",\"y1\":\"5\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuMoveDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19H5V13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5L5 19\"},\"child\":[]}]})(props);\n};\nexport function LuMoveDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13V19H13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5L19 19\"},\"child\":[]}]})(props);\n};\nexport function LuMoveDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18L12 22L16 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2V22\"},\"child\":[]}]})(props);\n};\nexport function LuMoveHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"18 8 22 12 18 16\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"6 8 2 12 6 16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuMoveLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8L2 12L6 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12H22\"},\"child\":[]}]})(props);\n};\nexport function LuMoveRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8L22 12L18 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12H22\"},\"child\":[]}]})(props);\n};\nexport function LuMoveUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11V5H11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5L19 19\"},\"child\":[]}]})(props);\n};\nexport function LuMoveUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5H19V11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5L5 19\"},\"child\":[]}]})(props);\n};\nexport function LuMoveUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6L12 2L16 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2V22\"},\"child\":[]}]})(props);\n};\nexport function LuMoveVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 18 12 22 16 18\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 6 12 2 16 6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuMove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"5 9 2 12 5 15\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"9 5 12 2 15 5\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"15 19 12 22 9 19\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"19 9 22 12 19 15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuMusic2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"18\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18V2l7 4\"},\"child\":[]}]})(props);\n};\nexport function LuMusic3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18V2\"},\"child\":[]}]})(props);\n};\nexport function LuMusic4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18V5l12-2v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 9 12-2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"16\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuMusic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18V5l12-2v13\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"16\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuNavigation2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.31 9.31 5 21l7-4 7 4-1.17-3.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.53 8.88 12 2l-1.17 3.17\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuNavigation2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"12 2 19 21 12 17 5 21 12 2\"},\"child\":[]}]})(props);\n};\nexport function LuNavigationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.43 8.43 3 11l8 2 2 8 2.57-5.43\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.39 11.73 22 2l-9.73 4.61\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuNavigation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"3 11 22 2 13 21 11 13 3 11\"},\"child\":[]}]})(props);\n};\nexport function LuNetwork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"16\",\"y\":\"16\",\"width\":\"6\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"2\",\"y\":\"16\",\"width\":\"6\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"9\",\"y\":\"2\",\"width\":\"6\",\"height\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16v-3a1 1 0 0 1 1-1h12a1 1 0 0 1 1 1v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12V8\"},\"child\":[]}]})(props);\n};\nexport function LuNewspaper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h16a2 2 0 0 0 2-2V4a2 2 0 0 0-2-2H8a2 2 0 0 0-2 2v16a2 2 0 0 1-2 2Zm0 0a2 2 0 0 1-2-2v-9c0-1.1.9-2 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h8v4h-8V6Z\"},\"child\":[]}]})(props);\n};\nexport function LuNfc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8.32a7.43 7.43 0 0 1 0 7.36\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.46 6.21a11.76 11.76 0 0 1 0 11.58\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.91 4.1a15.91 15.91 0 0 1 .01 15.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.37 2a20.16 20.16 0 0 1 0 20\"},\"child\":[]}]})(props);\n};\nexport function LuNutOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10v4a7.004 7.004 0 0 0 5.277 6.787c.412.104.802.292 1.102.592L12 22l.621-.621c.3-.3.69-.488 1.102-.592a7.01 7.01 0 0 0 4.125-2.939\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10v3.343\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c-1.349-.573-1.905-1.005-2.5-2-.546.902-1.048 1.353-2.5 2-1.018-.644-1.46-1.08-2-2-1.028.71-1.69.918-3 1 1.081-1.048 1.757-2.03 2-3 .194-.776.84-1.551 1.79-2.21m11.654 5.997c.887-.457 1.28-.891 1.556-1.787 1.032.916 1.683 1.157 3 1-1.297-1.036-1.758-2.03-2-3-.5-2-4-4-8-4-.74 0-1.461.068-2.15.192\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuNut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10v4a7.004 7.004 0 0 0 5.277 6.787c.412.104.802.292 1.102.592L12 22l.621-.621c.3-.3.69-.488 1.102-.592A7.003 7.003 0 0 0 19 14v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4C8 4 4.5 6 4 8c-.243.97-.919 1.952-2 3 1.31-.082 1.972-.29 3-1 .54.92.982 1.356 2 2 1.452-.647 1.954-1.098 2.5-2 .595.995 1.151 1.427 2.5 2 1.31-.621 1.862-1.058 2.5-2 .629.977 1.162 1.423 2.5 2 1.209-.548 1.68-.967 2-2 1.032.916 1.683 1.157 3 1-1.297-1.036-1.758-2.03-2-3-.5-2-4-4-8-4Z\"},\"child\":[]}]})(props);\n};\nexport function LuOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"7.86 2 16.14 2 22 7.86 22 16.14 16.14 22 7.86 22 2 16.14 2 7.86 7.86 2\"},\"child\":[]}]})(props);\n};\nexport function LuOption (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3h6l6 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3h7\"},\"child\":[]}]})(props);\n};\nexport function LuOrbit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"5\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.4 21.9a10 10 0 0 0 9.941-15.416\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 2.1a10 10 0 0 0-9.841 15.416\"},\"child\":[]}]})(props);\n};\nexport function LuOutdent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"7 8 3 12 7 16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"11\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"11\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"11\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuPackage2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h18v10a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 9 2.45-4.9A2 2 0 0 1 7.24 3h9.52a2 2 0 0 1 1.8 1.1L21 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v6\"},\"child\":[]}]})(props);\n};\nexport function LuPackageCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 16 2 2 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l2-1.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 9.4 7.55 4.24\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.29 7 12 12 20.71 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuPackageMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l2-1.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 9.4 7.55 4.24\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.29 7 12 12 20.71 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuPackageOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.91 8.84 8.56 2.23a1.93 1.93 0 0 0-1.81 0L3.1 4.13a2.12 2.12 0 0 0-.05 3.69l12.22 6.93a2 2 0 0 0 1.94 0L21 12.51a2.12 2.12 0 0 0-.09-3.67Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.09 8.84 12.35-6.61a1.93 1.93 0 0 1 1.81 0l3.65 1.9a2.12 2.12 0 0 1 .1 3.69L8.73 14.75a2 2 0 0 1-1.94 0L3 12.51a2.12 2.12 0 0 1 .09-3.67Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13.5v3.37a2.06 2.06 0 0 1-1.11 1.83l-6 3.08a1.93 1.93 0 0 1-1.78 0l-6-3.08A2.06 2.06 0 0 1 4 16.87V13.5\"},\"child\":[]}]})(props);\n};\nexport function LuPackagePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l2-1.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 9.4 7.55 4.24\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.29 7 12 12 20.71 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuPackageSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l2-1.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 9.4 7.55 4.24\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.29 7 12 12 20.71 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18.5\",\"cy\":\"15.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.27 17.27 22 19\"},\"child\":[]}]})(props);\n};\nexport function LuPackageX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l2-1.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 9.4 7.55 4.24\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.29 7 12 12 20.71 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 13 5 5m-5 0 5-5\"},\"child\":[]}]})(props);\n};\nexport function LuPackage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 9.4 7.55 4.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.29 7 12 12 20.71 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"22\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuPaintBucket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19 11-8-8-8.6 8.6a2 2 0 0 0 0 2.8l5.2 5.2c.8.8 2 .8 2.8 0L19 11Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 2 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 13h15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 20a2 2 0 1 1-4 0c0-1.6 1.7-2.4 2-4 .3 1.6 2 2.4 2 4Z\"},\"child\":[]}]})(props);\n};\nexport function LuPaintbrush2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19.9V16h3a2 2 0 0 0 2-2v-2H5v2c0 1.1.9 2 2 2h3v3.9a2 2 0 1 0 4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12V2h12v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v2\"},\"child\":[]}]})(props);\n};\nexport function LuPaintbrush (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.37 2.63 14 7l-1.59-1.59a2 2 0 0 0-2.82 0L8 7l9 9 1.59-1.59a2 2 0 0 0 0-2.82L17 10l4.37-4.37a2.12 2.12 0 1 0-3-3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8c-2 3-4 3.5-7 4l8 10c2-1 6-5 6-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 17.5 4.5 15\"},\"child\":[]}]})(props);\n};\nexport function LuPalette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"13.5\",\"cy\":\"6.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"10.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"7.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"12.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2C6.5 2 2 6.5 2 12s4.5 10 10 10c.926 0 1.648-.746 1.648-1.688 0-.437-.18-.835-.437-1.125-.29-.289-.438-.652-.438-1.125a1.64 1.64 0 0 1 1.668-1.668h1.996c3.051 0 5.555-2.503 5.555-5.554C21.965 6.012 17.461 2 12 2z\"},\"child\":[]}]})(props);\n};\nexport function LuPalmtree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8c0-2.76-2.46-5-5.5-5S2 5.24 2 8h2l1-1 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7.14A5.82 5.82 0 0 1 16.5 6c3.04 0 5.5 2.24 5.5 5h-3l-1-1-1 1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.89 9.71c-2.15 2.15-2.3 5.47-.35 7.43l4.24-4.25.7-.7.71-.71 2.12-2.12c-1.95-1.96-5.27-1.8-7.42.35z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15.5c.5 2.5-.17 4.5-1 6.5h4c2-5.5-.5-12-1-14\"},\"child\":[]}]})(props);\n};\nexport function LuPanelBottomClose (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 8-3 3-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelBottomInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h1\"},\"child\":[]}]})(props);\n};\nexport function LuPanelBottomOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 10 3-3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuPanelLeftClose (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 15-3-3 3-3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelLeftInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v1\"},\"child\":[]}]})(props);\n};\nexport function LuPanelLeftOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 9 3 3-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuPanelRightClose (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 9 3 3-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelRightInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v1\"},\"child\":[]}]})(props);\n};\nexport function LuPanelRightOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 15-3-3 3-3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuPanelTopClose (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 16 3-3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelTopInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h1\"},\"child\":[]}]})(props);\n};\nexport function LuPanelTopOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 14-3 3-3-3\"},\"child\":[]}]})(props);\n};\nexport function LuPanelTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuPaperclip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.44 11.05-9.19 9.19a6 6 0 0 1-8.49-8.49l8.57-8.57A4 4 0 1 1 18 8.84l-8.59 8.57a2 2 0 0 1-2.83-2.83l8.49-8.48\"},\"child\":[]}]})(props);\n};\nexport function LuParentheses (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21s-4-3-4-9 4-9 4-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3s4 3 4 9-4 9-4 9\"},\"child\":[]}]})(props);\n};\nexport function LuParkingCircleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 5 14 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13a3 3 0 1 0 0-6H9v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-2.34\"},\"child\":[]}]})(props);\n};\nexport function LuParkingCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17V7h4a3 3 0 0 1 0 6H9\"},\"child\":[]}]})(props);\n};\nexport function LuParkingSquareOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 3.6A2 2 0 0 1 5 3h14a2 2 0 0 1 2 2v14a2 2 0 0 1-.59 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8.7V19a2 2 0 0 0 2 2h10.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13a3 3 0 1 0 0-6H9v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-2.3\"},\"child\":[]}]})(props);\n};\nexport function LuParkingSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17V7h4a3 3 0 0 1 0 6H9\"},\"child\":[]}]})(props);\n};\nexport function LuPartyPopper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.8 11.3 2 22l10.7-3.79\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 2-2.24.75a2.9 2.9 0 0 0-1.96 3.12v0c.1.86-.57 1.63-1.45 1.63h-.38c-.86 0-1.6.6-1.76 1.44L14 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 13-.82-.33c-.86-.34-1.82.2-1.98 1.11v0c-.11.7-.72 1.22-1.43 1.22H17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11 2 .33.82c.34.86-.2 1.82-1.11 1.98v0C9.52 4.9 9 5.52 9 6.23V7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13c1.93 1.93 2.83 4.17 2 5-.83.83-3.07-.07-5-2-1.93-1.93-2.83-4.17-2-5 .83-.83 3.07.07 5 2Z\"},\"child\":[]}]})(props);\n};\nexport function LuPauseCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"15\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"14\",\"y1\":\"15\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuPauseOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.714 2h8.572L22 7.714v8.572L16.286 22H7.714L2 16.286V7.714L7.714 2z\"},\"child\":[]}]})(props);\n};\nexport function LuPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"16\",\"x\":\"6\",\"y\":\"4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"16\",\"x\":\"14\",\"y\":\"4\"},\"child\":[]}]})(props);\n};\nexport function LuPcCase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"20\",\"x\":\"5\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]}]})(props);\n};\nexport function LuPenLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 3.5a2.12 2.12 0 0 1 3 3L7 19l-4 1 1-4Z\"},\"child\":[]}]})(props);\n};\nexport function LuPenSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4H4a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 2.5a2.12 2.12 0 0 1 3 3L12 15l-4 1 1-4Z\"},\"child\":[]}]})(props);\n};\nexport function LuPenTool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 19 7-7 3 3-7 7-3-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 13-1.5-7.5L2 2l3.5 14.5L13 18l5-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 7.586 7.586\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuPen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3a2.85 2.83 0 1 1 4 4L7.5 20.5 2 22l1.5-5.5Z\"},\"child\":[]}]})(props);\n};\nexport function LuPencilLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 3.5a2.12 2.12 0 0 1 3 3L7 19l-4 1 1-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 5 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuPencilRuler (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15 5 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7 8.7 2.7a2.41 2.41 0 0 0-3.4 0L2.7 5.3a2.41 2.41 0 0 0 0 3.4L7 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 6 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 22 5.5-1.5L21.17 6.83a2.82 2.82 0 0 0-4-4L3.5 16.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 16 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 11 4.3 4.3c.94.94.94 2.46 0 3.4l-2.6 2.6c-.94.94-2.46.94-3.4 0L11 17\"},\"child\":[]}]})(props);\n};\nexport function LuPencil (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3a2.85 2.83 0 1 1 4 4L7.5 20.5 2 22l1.5-5.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 5 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuPercent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"5\",\"y1\":\"5\",\"y2\":\"19\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"6.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"17.5\",\"r\":\"2.5\"},\"child\":[]}]})(props);\n};\nexport function LuPersonStanding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"5\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 20 3-6 3 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 8 6 2 6-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4\"},\"child\":[]}]})(props);\n};\nexport function LuPhoneCall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.05 2a9 9 0 0 1 8 7.94\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.05 6A5 5 0 0 1 18 10\"},\"child\":[]}]})(props);\n};\nexport function LuPhoneForwarded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"18 2 22 6 18 10\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"22\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z\"},\"child\":[]}]})(props);\n};\nexport function LuPhoneIncoming (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 2 16 8 22 8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z\"},\"child\":[]}]})(props);\n};\nexport function LuPhoneMissed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"16\",\"y1\":\"2\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z\"},\"child\":[]}]})(props);\n};\nexport function LuPhoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.68 13.31a16 16 0 0 0 3.41 2.6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7 2 2 0 0 1 1.72 2v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.42 19.42 0 0 1-3.33-2.67m-2.67-3.34a19.79 19.79 0 0 1-3.07-8.63A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"2\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuPhoneOutgoing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"22 8 22 2 16 2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"22\",\"y1\":\"8\",\"y2\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z\"},\"child\":[]}]})(props);\n};\nexport function LuPhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z\"},\"child\":[]}]})(props);\n};\nexport function LuPiSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17a2 2 0 0 1-2-2V7\"},\"child\":[]}]})(props);\n};\nexport function LuPi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9\",\"y1\":\"4\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7c0-1.7 1.3-3 3-3h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20c-1.7 0-3-1.3-3-3V4\"},\"child\":[]}]})(props);\n};\nexport function LuPictureInPicture2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9V6a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v10c0 1.1.9 2 2 2h4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"7\",\"x\":\"12\",\"y\":\"13\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuPictureInPicture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4.5v5H3m-1-6 6 6m13 0v-3c0-1.16-.84-2-2-2h-7m-9 9v2c0 1.05.95 2 2 2h3\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"10\",\"height\":\"7\",\"x\":\"12\",\"y\":\"13.5\",\"ry\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuPieChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.21 15.89A10 10 0 1 1 8 2.83\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12A10 10 0 0 0 12 2v10z\"},\"child\":[]}]})(props);\n};\nexport function LuPiggyBank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5c-1.5 0-2.8 1.4-3 2-3.5-1.5-11-.3-11 5 0 1.8 0 3 2 4.5V20h4v-2h3v2h4v-4c1-.5 1.7-1 2-2h2v-4h-2c0-1-.5-1.5-1-2h0V5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9v1c0 1.1.9 2 2 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11h0\"},\"child\":[]}]})(props);\n};\nexport function LuPilcrowSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12H9.5a2.5 2.5 0 0 1 0-5H17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v10\"},\"child\":[]}]})(props);\n};\nexport function LuPilcrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4H9.5a4.5 4.5 0 0 0 0 9H13\"},\"child\":[]}]})(props);\n};\nexport function LuPill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10.5 20.5 10-10a4.95 4.95 0 1 0-7-7l-10 10a4.95 4.95 0 1 0 7 7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 8.5 7 7\"},\"child\":[]}]})(props);\n};\nexport function LuPinOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"17\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v1.76a2 2 0 0 1-1.11 1.79l-1.78.9A2 2 0 0 0 5 15.24V17h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9.34V6h1a2 2 0 0 0 0-4H7.89\"},\"child\":[]}]})(props);\n};\nexport function LuPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"17\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h14v-1.76a2 2 0 0 0-1.11-1.79l-1.78-.9A2 2 0 0 1 15 10.76V6h1a2 2 0 0 0 0-4H8a2 2 0 0 0 0 4h1v4.76a2 2 0 0 1-1.11 1.79l-1.78.9A2 2 0 0 0 5 15.24Z\"},\"child\":[]}]})(props);\n};\nexport function LuPipette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 22 1-1h3l9-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-3l9-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 6 3.4-3.4a2.1 2.1 0 1 1 3 3L18 9l.4.4a2.1 2.1 0 1 1-3 3l-3.8-3.8a2.1 2.1 0 1 1 3-3l.4.4Z\"},\"child\":[]}]})(props);\n};\nexport function LuPizza (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 16 20 6-6-20A20 20 0 0 0 2 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.71 17.11a17.04 17.04 0 0 1 11.4-11.4\"},\"child\":[]}]})(props);\n};\nexport function LuPlaneLanding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.77 10.77 2 9l2-4.5 1.1.55c.55.28.9.84.9 1.45s.35 1.17.9 1.45L8 8.5l3-6 1.05.53a2 2 0 0 1 1.09 1.52l.72 5.4a2 2 0 0 0 1.09 1.52l4.4 2.2c.42.22.78.55 1.01.96l.6 1.03c.49.88-.06 1.98-1.06 2.1l-1.18.15c-.47.06-.95-.02-1.37-.24L4.29 11.15a2 2 0 0 1-.52-.38Z\"},\"child\":[]}]})(props);\n};\nexport function LuPlaneTakeoff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.36 17.4 4 17l-2-4 1.1-.55a2 2 0 0 1 1.8 0l.17.1a2 2 0 0 0 1.8 0L8 12 5 6l.9-.45a2 2 0 0 1 2.09.2l4.02 3a2 2 0 0 0 2.1.2l4.19-2.06a2.41 2.41 0 0 1 1.73-.17L21 7a1.4 1.4 0 0 1 .87 1.99l-.38.76c-.23.46-.6.84-1.07 1.08L7.58 17.2a2 2 0 0 1-1.22.18Z\"},\"child\":[]}]})(props);\n};\nexport function LuPlane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 19.2 16 11l3.5-3.5C21 6 21.5 4 21 3c-1-.5-3 0-4.5 1.5L13 8 4.8 6.2c-.5-.1-.9.1-1.1.5l-.3.5c-.2.5-.1 1 .3 1.3L9 12l-2 3H4l-1 1 3 2 2 3 1-1v-3l3-2 3.5 5.3c.3.4.8.5 1.3.3l.5-.2c.4-.3.6-.7.5-1.2z\"},\"child\":[]}]})(props);\n};\nexport function LuPlayCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"10 8 16 12 10 16 10 8\"},\"child\":[]}]})(props);\n};\nexport function LuPlaySquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 8 6 4-6 4Z\"},\"child\":[]}]})(props);\n};\nexport function LuPlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"5 3 19 12 5 21 5 3\"},\"child\":[]}]})(props);\n};\nexport function LuPlug2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11V8h12v3a6 6 0 1 1-12 0v0Z\"},\"child\":[]}]})(props);\n};\nexport function LuPlugZap2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13 2-2 2.5h3L12 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19c-1.7 0-3-1.3-3-3v-2h8v2c0 1.7-1.3 3-3 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-3\"},\"child\":[]}]})(props);\n};\nexport function LuPlugZap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.3 20.3a2.4 2.4 0 0 0 3.4 0L12 18l-6-6-2.3 2.3a2.4 2.4 0 0 0 0 3.4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 22 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 13.5 10 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 16.5 13 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 3-4 4h6l-4 4\"},\"child\":[]}]})(props);\n};\nexport function LuPlug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v5a4 4 0 0 1-4 4h-4a4 4 0 0 1-4-4V8Z\"},\"child\":[]}]})(props);\n};\nexport function LuPlusCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function LuPlusSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function LuPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v14\"},\"child\":[]}]})(props);\n};\nexport function LuPocketKnife (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2v1c0 1 2 1 2 2S3 6 3 7s2 1 2 2-2 1-2 2 2 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.83 8.83a4 4 0 0 0-5.66-5.66l-12 12a4 4 0 1 0 5.66 5.66Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11.66V22a4 4 0 0 0 4-4V6\"},\"child\":[]}]})(props);\n};\nexport function LuPocket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h16a2 2 0 0 1 2 2v6a10 10 0 0 1-10 10A10 10 0 0 1 2 11V5a2 2 0 0 1 2-2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 10 12 14 16 10\"},\"child\":[]}]})(props);\n};\nexport function LuPodcast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"11\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 0 1 2 0c0 .5-.34 3-.5 4.5a.5.5 0 0 1-1 0c-.16-1.5-.5-4-.5-4.5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14a5 5 0 1 1 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18.5a9 9 0 1 0-10 0\"},\"child\":[]}]})(props);\n};\nexport function LuPointer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 14a8 8 0 0 1-8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v-1a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10V9a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.5V4a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11a2 2 0 1 1 4 0v3a8 8 0 0 1-8 8h-2c-2.8 0-4.5-.86-5.99-2.34l-3.6-3.6a2 2 0 0 1 2.83-2.82L7 15\"},\"child\":[]}]})(props);\n};\nexport function LuPopcorn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8a2 2 0 0 0 0-4 2 2 0 0 0-4 0 2 2 0 0 0-4 0 2 2 0 0 0-4 0 2 2 0 0 0 0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 22 9 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 22 1-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8c.5 0 .9.4.8 1l-2.6 12c-.1.5-.7 1-1.2 1H7c-.6 0-1.1-.4-1.2-1L3.2 9c-.1-.6.3-1 .8-1Z\"},\"child\":[]}]})(props);\n};\nexport function LuPopsicle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.6 14.4c.8-.8.8-2 0-2.8l-8.1-8.1a4.95 4.95 0 1 0-7.1 7.1l8.1 8.1c.9.7 2.1.7 2.9-.1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 22-5.5-5.5\"},\"child\":[]}]})(props);\n};\nexport function LuPoundSterling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7c0-5.333-8-5.333-8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13h10\"},\"child\":[]}]})(props);\n};\nexport function LuPowerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.36 6.64A9 9 0 0 1 20.77 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.16 6.16a9 9 0 1 0 12.68 12.68\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]}]})(props);\n};\nexport function LuPower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.36 6.64a9 9 0 1 1-12.73 0\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuPresentation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v11a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 21 5-5 5 5\"},\"child\":[]}]})(props);\n};\nexport function LuPrinter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"6 9 6 2 18 2 18 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18H4a2 2 0 0 1-2-2v-5a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v5a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"8\",\"x\":\"6\",\"y\":\"14\"},\"child\":[]}]})(props);\n};\nexport function LuProjector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7 3 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 7 2-2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"13\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.83 12H20a2 2 0 0 1 2 2v4a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2v-4a2 2 0 0 1 2-2h2.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h2\"},\"child\":[]}]})(props);\n};\nexport function LuPuzzle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.439 7.85c-.049.322.059.648.289.878l1.568 1.568c.47.47.706 1.087.706 1.704s-.235 1.233-.706 1.704l-1.611 1.611a.98.98 0 0 1-.837.276c-.47-.07-.802-.48-.968-.925a2.501 2.501 0 1 0-3.214 3.214c.446.166.855.497.925.968a.979.979 0 0 1-.276.837l-1.61 1.61a2.404 2.404 0 0 1-1.705.707 2.402 2.402 0 0 1-1.704-.706l-1.568-1.568a1.026 1.026 0 0 0-.877-.29c-.493.074-.84.504-1.02.968a2.5 2.5 0 1 1-3.237-3.237c.464-.18.894-.527.967-1.02a1.026 1.026 0 0 0-.289-.877l-1.568-1.568A2.402 2.402 0 0 1 1.998 12c0-.617.236-1.234.706-1.704L4.23 8.77c.24-.24.581-.353.917-.303.515.077.877.528 1.073 1.01a2.5 2.5 0 1 0 3.259-3.259c-.482-.196-.933-.558-1.01-1.073-.05-.336.062-.676.303-.917l1.525-1.525A2.402 2.402 0 0 1 12 1.998c.617 0 1.234.236 1.704.706l1.568 1.568c.23.23.556.338.877.29.493-.074.84-.504 1.02-.968a2.5 2.5 0 1 1 3.237 3.237c-.464.18-.894.527-.967 1.02Z\"},\"child\":[]}]})(props);\n};\nexport function LuQrCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"5\",\"height\":\"5\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"5\",\"height\":\"5\",\"x\":\"16\",\"y\":\"3\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"5\",\"height\":\"5\",\"x\":\"3\",\"y\":\"16\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16h-3a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v3a2 2 0 0 1-2 2H7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-1\"},\"child\":[]}]})(props);\n};\nexport function LuQuote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21c3 0 7-1 7-8V5c0-1.25-.756-2.017-2-2H4c-1.25 0-2 .75-2 1.972V11c0 1.25.75 2 2 2 1 0 1 0 1 1v1c0 1-1 2-2 2s-1 .008-1 1.031V20c0 1 0 1 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21c3 0 7-1 7-8V5c0-1.25-.757-2.017-2-2h-4c-1.25 0-2 .75-2 1.972V11c0 1.25.75 2 2 2h.75c0 2.25.25 4-2.75 4v3c0 1 0 1 1 1z\"},\"child\":[]}]})(props);\n};\nexport function LuRadar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.07 4.93A10 10 0 0 0 6.99 3.34\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.29 9.62A10 10 0 1 0 21.31 8.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.24 7.76A6 6 0 1 0 8.23 16.67\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.99 11.66A6 6 0 0 1 15.77 16.67\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13.41 10.59 5.66-5.66\"},\"child\":[]}]})(props);\n};\nexport function LuRadiation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.2c-.3-.5-.9-.7-1.3-.4C3.9 5.5 2.3 8.1 2 11c-.1.5.4 1 1 1h5c0-1.5.8-2.8 2-3.4-1.1-1.9-2-3.5-2.5-4.4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12c.6 0 1-.4 1-1-.3-2.9-1.8-5.5-4.1-7.1-.4-.3-1.1-.2-1.3.3-.6.9-1.5 2.5-2.6 4.3 1.2.7 2 2 2 3.5h5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.8c-.3.5-.1 1.1.4 1.3 2.6 1.2 5.6 1.2 8.2 0 .5-.2.7-.8.4-1.3-.5-.9-1.4-2.5-2.5-4.3-1.2.7-2.8.7-4 0-1.1 1.8-2 3.4-2.5 4.3z\"},\"child\":[]}]})(props);\n};\nexport function LuRadioReceiver (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"8\",\"x\":\"2\",\"y\":\"8\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h0\"},\"child\":[]}]})(props);\n};\nexport function LuRadioTower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.9 16.1C1 12.2 1 5.8 4.9 1.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.8 4.7a6.14 6.14 0 0 0-.8 7.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"9\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.2 4.8c2 2 2.26 5.11.8 7.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.1 1.9a9.96 9.96 0 0 1 0 14.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 18h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 22 4-11 4 11\"},\"child\":[]}]})(props);\n};\nexport function LuRadio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.9 19.1C1 15.2 1 8.8 4.9 4.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.8 16.2c-2.3-2.3-2.3-6.1 0-8.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.2 7.8c2.3 2.3 2.3 6.1 0 8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.1 4.9C23 8.8 23 15.1 19.1 19\"},\"child\":[]}]})(props);\n};\nexport function LuRainbow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17a10 10 0 0 0-20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17a6 6 0 0 1 12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17a2 2 0 0 1 4 0\"},\"child\":[]}]})(props);\n};\nexport function LuRat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5c0-1.7-1.3-3-3-3s-3 1.3-3 3c0 .8.3 1.5.8 2H11c-3.9 0-7 3.1-7 7v0c0 2.2 1.8 4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.8 3.9c.3-.3.6-.5 1-.7 1.5-.6 3.3.1 3.9 1.6.6 1.5-.1 3.3-1.6 3.9l1.6 2.8c.2.3.2.7.2 1-.2.8-.9 1.2-1.7 1.1 0 0-1.6-.3-2.7-.6H17c-1.7 0-3 1.3-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.2 18a3 3 0 0 0-2.2-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 22H4a2 2 0 0 1 0-4h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h.01\"},\"child\":[]}]})(props);\n};\nexport function LuRatio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"20\",\"x\":\"6\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuReceipt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 2v20l2-1 2 1 2-1 2 1 2-1 2 1 2-1 2 1V2l-2 1-2-1-2 1-2-1-2 1-2-1-2 1-2-1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h-6a2 2 0 1 0 0 4h4a2 2 0 1 1 0 4H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17V7\"},\"child\":[]}]})(props);\n};\nexport function LuRectangleHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuRectangleVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"20\",\"x\":\"6\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuRecycle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19H4.815a1.83 1.83 0 0 1-1.57-.881 1.785 1.785 0 0 1-.004-1.784L7.196 9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h8.203a1.83 1.83 0 0 0 1.556-.89 1.784 1.784 0 0 0 0-1.775l-1.226-2.12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 16-3 3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.293 13.596 7.196 9.5 3.1 10.598\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9.344 5.811 1.093-1.892A1.83 1.83 0 0 1 11.985 3a1.784 1.784 0 0 1 1.546.888l3.943 6.843\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13.378 9.633 4.096 1.098 1.097-4.096\"},\"child\":[]}]})(props);\n};\nexport function LuRedo2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15 14 5-5-5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9H9.5A5.5 5.5 0 0 0 4 14.5v0A5.5 5.5 0 0 0 9.5 20H13\"},\"child\":[]}]})(props);\n};\nexport function LuRedoDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"17\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7v6h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a9 9 0 0 1 9-9 9 9 0 0 1 6 2.3l3 2.7\"},\"child\":[]}]})(props);\n};\nexport function LuRedo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7v6h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a9 9 0 0 1 9-9 9 9 0 0 1 6 2.3l3 2.7\"},\"child\":[]}]})(props);\n};\nexport function LuRefreshCcwDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2v6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12A9 9 0 0 0 6 5.3L3 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 22v-6h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 15 6.7l3-2.7\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuRefreshCcw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 0 0-9-9 9.75 9.75 0 0 0-6.74 2.74L3 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 9 9 9.75 9.75 0 0 0 6.74-2.74L21 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h5v5\"},\"child\":[]}]})(props);\n};\nexport function LuRefreshCwOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8L18.74 5.74A9.75 9.75 0 0 0 12 3C11 3 10.03 3.16 9.13 3.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16H3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12C3 9.51 4 7.26 5.64 5.64\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 16 2.26 2.26A9.75 9.75 0 0 0 12 21c2.49 0 4.74-1 6.36-2.64\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12c0 1-.16 1.97-.47 2.87\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v5h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22 2 2\"},\"child\":[]}]})(props);\n};\nexport function LuRefreshCw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 1 9-9 9.75 9.75 0 0 1 6.74 2.74L21 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v5h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 0 1-9 9 9.75 9.75 0 0 1-6.74-2.74L3 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16H3v5\"},\"child\":[]}]})(props);\n};\nexport function LuRefrigerator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6a4 4 0 0 1 4-4h6a4 4 0 0 1 4 4v14a2 2 0 0 1-2 2H7a2 2 0 0 1-2-2V6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v6\"},\"child\":[]}]})(props);\n};\nexport function LuRegex (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12.67 5.5 8.66 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12.67 10.5 8.66-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2-2v-2z\"},\"child\":[]}]})(props);\n};\nexport function LuRemoveFormatting (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7V4h16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4 8 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 15 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 15-5 5\"},\"child\":[]}]})(props);\n};\nexport function LuRepeat1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17 2 4 4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v-1a4 4 0 0 1 4-4h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 22-4-4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13v1a4 4 0 0 1-4 4H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h1v4\"},\"child\":[]}]})(props);\n};\nexport function LuRepeat2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 9 3-3 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18H7a2 2 0 0 1-2-2V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 15-3 3-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h6a2 2 0 0 1 2 2v10\"},\"child\":[]}]})(props);\n};\nexport function LuRepeat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17 2 4 4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v-1a4 4 0 0 1 4-4h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7 22-4-4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13v1a4 4 0 0 1-4 4H3\"},\"child\":[]}]})(props);\n};\nexport function LuReplaceAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4c0-1.1.9-2 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2c1.1 0 2 .9 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8c0 1.1-.9 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10c-1.1 0-2-.9-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 7 3 3 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10V5c0-1.7 1.3-3 3-3h1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"8\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14c1.1 0 2 .9 2 2v4c0 1.1-.9 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14c1.1 0 2 .9 2 2v4c0 1.1-.9 2-2 2\"},\"child\":[]}]})(props);\n};\nexport function LuReplace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4c0-1.1.9-2 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2c1.1 0 2 .9 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8c0 1.1-.9 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10c-1.1 0-2-.9-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 7 3 3 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10V5c0-1.7 1.3-3 3-3h1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"8\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuReplyAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"7 17 2 12 7 7\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 17 7 12 12 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18v-2a4 4 0 0 0-4-4H7\"},\"child\":[]}]})(props);\n};\nexport function LuReply (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"9 17 4 12 9 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v-2a4 4 0 0 0-4-4H4\"},\"child\":[]}]})(props);\n};\nexport function LuRewind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"11 19 2 12 11 5 11 19\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"22 19 13 12 22 5 22 19\"},\"child\":[]}]})(props);\n};\nexport function LuRocket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 16.5c-1.5 1.26-2 5-2 5s3.74-.5 5-2c.71-.84.7-2.13-.09-2.91a2.18 2.18 0 0 0-2.91-.09z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15-3-3a22 22 0 0 1 2-3.95A12.88 12.88 0 0 1 22 2c0 2.72-.78 7.5-6 11a22.35 22.35 0 0 1-4 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12H4s.55-3.03 2-4c1.62-1.08 5 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v5s3.03-.55 4-2c1.08-1.62 0-5 0-5\"},\"child\":[]}]})(props);\n};\nexport function LuRockingChair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"3.5 2 6.5 12.5 18 12.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9.5\",\"x2\":\"5.5\",\"y1\":\"12.5\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"18.5\",\"y1\":\"12.5\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.75 18a13 13 0 0 0 18.5 0\"},\"child\":[]}]})(props);\n};\nexport function LuRollerCoaster (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19V5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19V6.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19v-7.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 19V9a4 4 0 0 1 4-4c2 0 4 1.33 6 4s4 4 6 4a4 4 0 1 0-3-6.65\"},\"child\":[]}]})(props);\n};\nexport function LuRotate3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.466 7.5C15.643 4.237 13.952 2 12 2 9.239 2 7 6.477 7 12s2.239 10 5 10c.342 0 .677-.069 1-.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15.194 13.707 3.814 1.86-1.86 3.814\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15.57c-1.804.885-4.274 1.43-7 1.43-5.523 0-10-2.239-10-5s4.477-5 10-5c4.838 0 8.873 1.718 9.8 4\"},\"child\":[]}]})(props);\n};\nexport function LuRotateCcw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 9-9 9.75 9.75 0 0 0-6.74 2.74L3 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v5h5\"},\"child\":[]}]})(props);\n};\nexport function LuRotateCw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1-9-9c2.52 0 4.93 1 6.74 2.74L21 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v5h-5\"},\"child\":[]}]})(props);\n};\nexport function LuRouter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"8\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.01 18H6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.01 18H10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.84 7.17a4 4 0 0 0-5.66 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.66 4.34a8 8 0 0 0-11.31 0\"},\"child\":[]}]})(props);\n};\nexport function LuRows (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuRss (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11a9 9 0 0 1 9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4a16 16 0 0 1 16 16\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"19\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuRuler (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.3 15.3a2.4 2.4 0 0 1 0 3.4l-2.6 2.6a2.4 2.4 0 0 1-3.4 0L2.7 8.7a2.41 2.41 0 0 1 0-3.4l2.6-2.6a2.41 2.41 0 0 1 3.4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14.5 12.5 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m11.5 9.5 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 6.5 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.5 15.5 2-2\"},\"child\":[]}]})(props);\n};\nexport function LuRussianRuble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11c5.333 0 5.333-8 0-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h5\"},\"child\":[]}]})(props);\n};\nexport function LuSailboat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18H2a4 4 0 0 0 4 4h12a4 4 0 0 0 4-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14 10 2 3 14h18Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v16\"},\"child\":[]}]})(props);\n};\nexport function LuSalad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9-9H3a9 9 0 0 0 9 9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.38 12a2.4 2.4 0 0 1-.4-4.77 2.4 2.4 0 0 1 3.2-2.77 2.4 2.4 0 0 1 3.47-.63 2.4 2.4 0 0 1 3.37 3.37 2.4 2.4 0 0 1-1.1 3.7 2.51 2.51 0 0 1 .03 1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 12 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.9 7.25A3.99 3.99 0 0 0 4 10c0 .73.2 1.41.54 2\"},\"child\":[]}]})(props);\n};\nexport function LuSandwich (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v3a1 1 0 0 0 1 1h16a1 1 0 0 0 1-1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19H4a1 1 0 0 1-1-1v-2a1 1 0 0 1 1-1h16a1 1 0 0 1 1 1v2a1 1 0 0 1-1 1h-3.83\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 11 7.77-6.04a2 2 0 0 1 2.46 0L21 11H3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.97 19.77 7 15h12.5l-3.75 4.5a2 2 0 0 1-2.78.27Z\"},\"child\":[]}]})(props);\n};\nexport function LuSatelliteDish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a7.31 7.31 0 0 0 10 10Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 15 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13a6 6 0 0 0-6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13A10 10 0 0 0 11 3\"},\"child\":[]}]})(props);\n};\nexport function LuSatellite (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7 9 3 5 7l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 11 4 4-4 4-4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 12 4 4 6-6-4-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 8 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21a6 6 0 0 0-6-6\"},\"child\":[]}]})(props);\n};\nexport function LuSaveAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4a2 2 0 0 1 2-2h10l4 4v10.2a2 2 0 0 1-2 1.8H8a2 2 0 0 1-2-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v4h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18v-7h-8v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22H4a2 2 0 0 1-2-2V6\"},\"child\":[]}]})(props);\n};\nexport function LuSave (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h11l5 5v11a2 2 0 0 1-2 2z\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"17 21 17 13 7 13 7 21\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"7 3 7 8 15 8\"},\"child\":[]}]})(props);\n};\nexport function LuScale3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"5\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7v12h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 19 6-6\"},\"child\":[]}]})(props);\n};\nexport function LuScale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 16 3-8 3 8c-.87.65-1.92 1-3 1s-2.13-.35-3-1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 16 3-8 3 8c-.87.65-1.92 1-3 1s-2.13-.35-3-1Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h2c2 0 5-1 7-2 2 1 5 2 7 2h2\"},\"child\":[]}]})(props);\n};\nexport function LuScaling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3 9 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3H3v18h18v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15H9v-5\"},\"child\":[]}]})(props);\n};\nexport function LuScanFace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7V5a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v2a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21H5a2 2 0 0 1-2-2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14s1.5 2 4 2 4-2 4-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h.01\"},\"child\":[]}]})(props);\n};\nexport function LuScanLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7V5a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v2a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21H5a2 2 0 0 1-2-2v-2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7\",\"x2\":\"17\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7V5a2 2 0 0 1 2-2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v2a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21H5a2 2 0 0 1-2-2v-2\"},\"child\":[]}]})(props);\n};\nexport function LuScatterChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"7.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18.5\",\"cy\":\"5.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"11.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"16.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"14.5\",\"r\":\".5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]}]})(props);\n};\nexport function LuSchool2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 20V8h-4l-6-4-6 4H2v12a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 22v-5a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v5\"},\"child\":[]}]})(props);\n};\nexport function LuSchool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4 6 8-4 8 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 10 4 2v8a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2v-8l4-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 22v-4a2 2 0 0 0-2-2v0a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5v17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5v17\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"9\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuScissorsLineDashed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.42 9.42 8 12\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"8\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 6-8.58 8.58\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"16\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.8 14.8 14 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-2\"},\"child\":[]}]})(props);\n};\nexport function LuScissorsSquareDashedBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22a2 2 0 0 1-2-2V4a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v16a2 2 0 0 1-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 22H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22h-2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"8\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.414 9.414 12 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.8 14.8 18 18\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"16\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 6-8.586 8.586\"},\"child\":[]}]})(props);\n};\nexport function LuScissorsSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"20\",\"x\":\"2\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"8\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.414 9.414 12 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.8 14.8 18 18\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"16\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 6-8.586 8.586\"},\"child\":[]}]})(props);\n};\nexport function LuScissors (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"6\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.12 8.12 12 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4 8.12 15.88\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"18\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.8 14.8 20 20\"},\"child\":[]}]})(props);\n};\nexport function LuScreenShareOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3H4a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 3-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 3 5 5\"},\"child\":[]}]})(props);\n};\nexport function LuScreenShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3H4a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 8 5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h5v5\"},\"child\":[]}]})(props);\n};\nexport function LuScrollText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h12a2 2 0 0 0 2-2v-2H10v2a2 2 0 1 1-4 0V5a2 2 0 1 0-4 0v3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17V5a2 2 0 0 0-2-2H4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-5\"},\"child\":[]}]})(props);\n};\nexport function LuScroll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h12a2 2 0 0 0 2-2v-2H10v2a2 2 0 1 1-4 0V5a2 2 0 1 0-4 0v3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17V5a2 2 0 0 0-2-2H4\"},\"child\":[]}]})(props);\n};\nexport function LuSearchCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8 11 2 2 4-4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-4.3-4.3\"},\"child\":[]}]})(props);\n};\nexport function LuSearchCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 9-2 2 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 13 2-2-2-2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-4.3-4.3\"},\"child\":[]}]})(props);\n};\nexport function LuSearchSlash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13.5 8.5-5 5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-4.3-4.3\"},\"child\":[]}]})(props);\n};\nexport function LuSearchX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m13.5 8.5-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 8.5 5 5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-4.3-4.3\"},\"child\":[]}]})(props);\n};\nexport function LuSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 21-4.3-4.3\"},\"child\":[]}]})(props);\n};\nexport function LuSendHorizonal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m3 3 3 9-3 9 19-9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h16\"},\"child\":[]}]})(props);\n};\nexport function LuSendToBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"x\":\"14\",\"y\":\"14\",\"width\":\"8\",\"height\":\"8\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"2\",\"y\":\"2\",\"width\":\"8\",\"height\":\"8\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14v1a2 2 0 0 0 2 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h1a2 2 0 0 1 2 2v1\"},\"child\":[]}]})(props);\n};\nexport function LuSend (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m22 2-7 20-4-9-9-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 2 11 13\"},\"child\":[]}]})(props);\n};\nexport function LuSeparatorHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 8 12 4 16 8\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 16 12 20 8 16\"},\"child\":[]}]})(props);\n};\nexport function LuSeparatorVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"3\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 8 4 12 8 16\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 16 20 12 16 8\"},\"child\":[]}]})(props);\n};\nexport function LuServerCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10H4a2 2 0 0 1-2-2V4a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v4a2 2 0 0 1-2 2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-4a2 2 0 0 0-2-2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h.01\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 9-.88.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.88 14.12 9 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 15-.88-.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.88 9.88 9 9\"},\"child\":[]}]})(props);\n};\nexport function LuServerCrash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10H4a2 2 0 0 1-2-2V4a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v4a2 2 0 0 1-2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14H4a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-4a2 2 0 0 0-2-2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 6-4 6h6l-4 6\"},\"child\":[]}]})(props);\n};\nexport function LuServerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2h13a2 2 0 0 1 2 2v4a2 2 0 0 1-2 2h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10 2.5 2.5C2 2 2 2.5 2 5v3a2 2 0 0 0 2 2h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17v-1a2 2 0 0 0-2-2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a2 2 0 0 0-2 2v4a2 2 0 0 0 2 2h16.5l1-.5.5.5-8-8H4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]}]})(props);\n};\nexport function LuServer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"8\",\"x\":\"2\",\"y\":\"2\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"8\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6.01\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6.01\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuSettings2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7h-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17H5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"17\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7\",\"cy\":\"7\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuSettings (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.22 2h-.44a2 2 0 0 0-2 2v.18a2 2 0 0 1-1 1.73l-.43.25a2 2 0 0 1-2 0l-.15-.08a2 2 0 0 0-2.73.73l-.22.38a2 2 0 0 0 .73 2.73l.15.1a2 2 0 0 1 1 1.72v.51a2 2 0 0 1-1 1.74l-.15.09a2 2 0 0 0-.73 2.73l.22.38a2 2 0 0 0 2.73.73l.15-.08a2 2 0 0 1 2 0l.43.25a2 2 0 0 1 1 1.73V20a2 2 0 0 0 2 2h.44a2 2 0 0 0 2-2v-.18a2 2 0 0 1 1-1.73l.43-.25a2 2 0 0 1 2 0l.15.08a2 2 0 0 0 2.73-.73l.22-.39a2 2 0 0 0-.73-2.73l-.15-.08a2 2 0 0 1-1-1.74v-.5a2 2 0 0 1 1-1.74l.15-.09a2 2 0 0 0 .73-2.73l-.22-.38a2 2 0 0 0-2.73-.73l-.15.08a2 2 0 0 1-2 0l-.43-.25a2 2 0 0 1-1-1.73V4a2 2 0 0 0-2-2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]}]})(props);\n};\nexport function LuShapes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.3 10a.7.7 0 0 1-.626-1.079L11.4 3a.7.7 0 0 1 1.198-.043L16.3 8.9a.7.7 0 0 1-.572 1.1Z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"x\":\"3\",\"y\":\"14\",\"width\":\"7\",\"height\":\"7\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"17.5\",\"r\":\"3.5\"},\"child\":[]}]})(props);\n};\nexport function LuShare2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"5\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"19\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8.59\",\"x2\":\"15.42\",\"y1\":\"13.51\",\"y2\":\"17.49\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15.41\",\"x2\":\"8.59\",\"y1\":\"6.51\",\"y2\":\"10.49\"},\"child\":[]}]})(props);\n};\nexport function LuShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v8a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-8\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 6 12 2 8 6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuSheet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"15\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9\",\"y1\":\"9\",\"y2\":\"21\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15\",\"y1\":\"9\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuShieldAlert (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22s8-4 8-10V5l-8-3-8 3v7c0 6 8 10 8 10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function LuShieldCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22s8-4 8-10V5l-8-3-8 3v7c0 6 8 10 8 10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 12 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuShieldClose (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22s8-4 8-10V5l-8-3-8 3v7c0 6 8 10 8 10z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9.5\",\"x2\":\"14.5\",\"y1\":\"9\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14.5\",\"x2\":\"9.5\",\"y1\":\"9\",\"y2\":\"14\"},\"child\":[]}]})(props);\n};\nexport function LuShieldOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.69 14a6.9 6.9 0 0 0 .31-2V5l-8-3-3.16 1.18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.73 4.73 4 5v7c0 6 8 10 8 10a20.29 20.29 0 0 0 5.62-4.38\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuShieldQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22s8-4 8-10V5l-8-3-8 3v7c0 6 8 10 8 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.1 9a3 3 0 0 1 5.82 1c0 2-3 3-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22s8-4 8-10V5l-8-3-8 3v7c0 6 8 10 8 10z\"},\"child\":[]}]})(props);\n};\nexport function LuShip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 21c.6.5 1.2 1 2.5 1 2.5 0 2.5-2 5-2 1.3 0 1.9.5 2.5 1 .6.5 1.2 1 2.5 1 2.5 0 2.5-2 5-2 1.3 0 1.9.5 2.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.38 20A11.6 11.6 0 0 0 21 14l-9-4-9 4c0 2.9.94 5.34 2.81 7.76\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13V7a2 2 0 0 0-2-2H7a2 2 0 0 0-2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v3\"},\"child\":[]}]})(props);\n};\nexport function LuShirt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.38 3.46 16 2a4 4 0 0 1-8 0L3.62 3.46a2 2 0 0 0-1.34 2.23l.58 3.47a1 1 0 0 0 .99.84H6v10c0 1.1.9 2 2 2h8a2 2 0 0 0 2-2V10h2.15a1 1 0 0 0 .99-.84l.58-3.47a2 2 0 0 0-1.34-2.23z\"},\"child\":[]}]})(props);\n};\nexport function LuShoppingBag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2 3 6v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V6l-3-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10a4 4 0 0 1-8 0\"},\"child\":[]}]})(props);\n};\nexport function LuShoppingBasket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m5 11 4-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 11-4-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 11h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.5 11 1.6 7.4a2 2 0 0 0 2 1.6h9.8c.9 0 1.8-.7 2-1.6l1.7-7.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 11 1 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 15.5h15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 11-1 9\"},\"child\":[]}]})(props);\n};\nexport function LuShoppingCart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"21\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"21\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.05 2.05h2l2.66 12.42a2 2 0 0 0 2 1.58h9.78a2 2 0 0 0 1.95-1.57l1.65-7.43H5.12\"},\"child\":[]}]})(props);\n};\nexport function LuShovel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22v-5l5-5 5 5-5 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5 16 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 2 5 5-.5.5a3.53 3.53 0 0 1-5 0s0 0 0 0a3.53 3.53 0 0 1 0-5L17 2\"},\"child\":[]}]})(props);\n};\nexport function LuShowerHead (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4 4 2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5a4.95 4.95 0 0 0-7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5 5 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v.01\"},\"child\":[]}]})(props);\n};\nexport function LuShrink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m15 15 6 6m-6-6v4.8m0-4.8h4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19.8V15m0 0H4.2M9 15l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4.2V9m0 0h4.8M15 9l6-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4.2V9m0 0H4.2M9 9 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuShrub (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-7l-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v.8A6 6 0 0 1 13.8 20v0H10v0A6.5 6.5 0 0 1 7 8h0a5 5 0 0 1 10 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 14-2 2\"},\"child\":[]}]})(props);\n};\nexport function LuShuffle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18h1.4c1.3 0 2.5-.6 3.3-1.7l6.1-8.6c.7-1.1 2-1.7 3.3-1.7H22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 2 4 4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 6h1.9c1.5 0 2.9.9 3.6 2.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18h-5.9c-1.3 0-2.6-.7-3.3-1.8l-.5-.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 14 4 4-4 4\"},\"child\":[]}]})(props);\n};\nexport function LuSigmaSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8.9V7H8l4 5-4 5h8v-1.9\"},\"child\":[]}]})(props);\n};\nexport function LuSigma (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7V4H6l6 8-6 8h12v-3\"},\"child\":[]}]})(props);\n};\nexport function LuSignalHigh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20V8\"},\"child\":[]}]})(props);\n};\nexport function LuSignalLow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-4\"},\"child\":[]}]})(props);\n};\nexport function LuSignalMedium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-8\"},\"child\":[]}]})(props);\n};\nexport function LuSignalZero (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h.01\"},\"child\":[]}]})(props);\n};\nexport function LuSignal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20V8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuSiren (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12a5 5 0 0 1 5-5v0a5 5 0 0 1 5 5v6H7v-6Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20a2 2 0 0 1 2-2h10a2 2 0 0 1 2 2v2H5v-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 4.5 18 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.929 4.929.707.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6\"},\"child\":[]}]})(props);\n};\nexport function LuSkipBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"19 20 9 12 19 4 19 20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"5\",\"y1\":\"19\",\"y2\":\"5\"},\"child\":[]}]})(props);\n};\nexport function LuSkipForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"5 4 15 12 5 20 5 4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"19\",\"y1\":\"5\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuSkull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v2h8v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12.5 17-.5-1-.5 1h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20a2 2 0 0 0 1.56-3.25 8 8 0 1 0-11.12 0A2 2 0 0 0 8 20\"},\"child\":[]}]})(props);\n};\nexport function LuSlack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"3\",\"height\":\"8\",\"x\":\"13\",\"y\":\"2\",\"rx\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8.5V10h1.5A1.5 1.5 0 1 0 19 8.5\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"3\",\"height\":\"8\",\"x\":\"8\",\"y\":\"14\",\"rx\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15.5V14H3.5A1.5 1.5 0 1 0 5 15.5\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"3\",\"x\":\"14\",\"y\":\"13\",\"rx\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 19H14v1.5a1.5 1.5 0 1 0 1.5-1.5\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"3\",\"x\":\"2\",\"y\":\"8\",\"rx\":\"1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 5H10V3.5A1.5 1.5 0 1 0 8.5 5\"},\"child\":[]}]})(props);\n};\nexport function LuSlice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m8 14-6 6h9v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.37 3.63 8 14l3 3L21.37 6.63a2.12 2.12 0 1 0-3-3Z\"},\"child\":[]}]})(props);\n};\nexport function LuSlidersHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"14\",\"y1\":\"4\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"3\",\"y1\":\"4\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"12\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"3\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"16\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"3\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"14\",\"y1\":\"2\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"10\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"18\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuSliders (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"4\",\"y1\":\"21\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"4\",\"y1\":\"10\",\"y2\":\"3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"21\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"8\",\"y2\":\"3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"20\",\"x2\":\"20\",\"y1\":\"21\",\"y2\":\"16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"20\",\"x2\":\"20\",\"y1\":\"12\",\"y2\":\"3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"6\",\"y1\":\"14\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"14\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"22\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuSmartphoneCharging (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"20\",\"x\":\"5\",\"y\":\"2\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.667 8 10 12h4l-2.667 4\"},\"child\":[]}]})(props);\n};\nexport function LuSmartphoneNfc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"7\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8.32a7.43 7.43 0 0 1 0 7.36\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.46 6.21a11.76 11.76 0 0 1 0 11.58\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.91 4.1a15.91 15.91 0 0 1 .01 15.8\"},\"child\":[]}]})(props);\n};\nexport function LuSmartphone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"20\",\"x\":\"5\",\"y\":\"2\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h.01\"},\"child\":[]}]})(props);\n};\nexport function LuSmilePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 11v1a10 10 0 1 1-9-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14s1.5 2 4 2 4-2 4-2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2v6\"},\"child\":[]}]})(props);\n};\nexport function LuSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14s1.5 2 4 2 4-2 4-2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"9.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"15.01\",\"y1\":\"9\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuSnowflake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m20 16-4-4 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4 8 4 4-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 4-4 4-4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 20 4-4 4 4\"},\"child\":[]}]})(props);\n};\nexport function LuSofa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9V6a2 2 0 0 0-2-2H6a2 2 0 0 0-2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 11v5a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-5a2 2 0 0 0-4 0v2H6v-2a2 2 0 0 0-4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v9\"},\"child\":[]}]})(props);\n};\nexport function LuSoup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9-9H3a9 9 0 0 0 9 9Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12 22 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.25 3c.27.1.8.53.75 1.36-.06.83-.93 1.2-1 2.02-.05.78.34 1.24.73 1.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.25 3c.27.1.8.53.74 1.36-.05.83-.93 1.2-.98 2.02-.06.78.33 1.24.72 1.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.25 3c.27.1.8.53.75 1.36-.06.83-.93 1.2-1 2.02-.05.78.34 1.24.74 1.62\"},\"child\":[]}]})(props);\n};\nexport function LuSpace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17v1c0 .5-.5 1-1 1H3c-.5 0-1-.5-1-1v-1\"},\"child\":[]}]})(props);\n};\nexport function LuSpade (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9c-1.5 1.5-3 3.2-3 5.5A5.5 5.5 0 0 0 7.5 20c1.8 0 3-.5 4.5-2 1.5 1.5 2.7 2 4.5 2a5.5 5.5 0 0 0 5.5-5.5c0-2.3-1.5-4-3-5.5l-7-7-7 7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v4\"},\"child\":[]}]})(props);\n};\nexport function LuSparkle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 3-1.9 5.8a2 2 0 0 1-1.287 1.288L3 12l5.8 1.9a2 2 0 0 1 1.288 1.287L12 21l1.9-5.8a2 2 0 0 1 1.287-1.288L21 12l-5.8-1.9a2 2 0 0 1-1.288-1.287Z\"},\"child\":[]}]})(props);\n};\nexport function LuSparkles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m12 3-1.912 5.813a2 2 0 0 1-1.275 1.275L3 12l5.813 1.912a2 2 0 0 1 1.275 1.275L12 21l1.912-5.813a2 2 0 0 1 1.275-1.275L21 12l-5.813-1.912a2 2 0 0 1-1.275-1.275L12 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19h4\"},\"child\":[]}]})(props);\n};\nexport function LuSpeaker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"20\",\"x\":\"4\",\"y\":\"2\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"14\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]}]})(props);\n};\nexport function LuSpellCheck2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 16 6-12 6 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21c1.1 0 1.1-1 2.3-1s1.1 1 2.3 1c1.1 0 1.1-1 2.3-1 1.1 0 1.1 1 2.3 1 1.1 0 1.1-1 2.3-1 1.1 0 1.1 1 2.3 1 1.1 0 1.1-1 2.3-1\"},\"child\":[]}]})(props);\n};\nexport function LuSpellCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m6 16 6-12 6 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 20 2 2 4-4\"},\"child\":[]}]})(props);\n};\nexport function LuSpline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"5\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"5\",\"cy\":\"19\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17A12 12 0 0 1 17 5\"},\"child\":[]}]})(props);\n};\nexport function LuSplitSquareHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19H5c-1 0-2-1-2-2V7c0-1 1-2 2-2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5h3c1 0 2 1 2 2v10c0 1-1 2-2 2h-3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"4\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuSplitSquareVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8V5c0-1 1-2 2-2h10c1 0 2 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3c0 1-1 2-2 2H7c-1 0-2-1-2-2v-3\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuSplit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3H3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-8.3a4 4 0 0 0-1.172-2.872L3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 9 6-6\"},\"child\":[]}]})(props);\n};\nexport function LuSprayCan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h.01\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"4\",\"height\":\"4\",\"x\":\"15\",\"y\":\"5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 9 2 2v10c0 .6-.4 1-1 1h-6c-.6 0-1-.4-1-1V11l2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 14 8-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m13 19 8-2\"},\"child\":[]}]})(props);\n};\nexport function LuSprout (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20c5.5-2.5.8-6.4 3-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 9.4c1.1.8 1.8 2.2 2.3 3.7-2 .4-3.5.4-4.8-.3-1.2-.6-2.3-1.9-3-4.2 2.8-.5 4.4 0 5.5.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.1 6a7 7 0 0 0-1.1 4c1.9-.1 3.3-.6 4.3-1.4 1-1 1.6-2.3 1.7-4.6-2.7.1-4 1-4.9 2z\"},\"child\":[]}]})(props);\n};\nexport function LuSquareAsterisk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 14 7-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8.5 10 7 4\"},\"child\":[]}]})(props);\n};\nexport function LuSquareCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 10-2 2 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 14 2-2-2-2\"},\"child\":[]}]})(props);\n};\nexport function LuSquareDashedBottomCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m10 10-2 2 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 14 2-2-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21h1\"},\"child\":[]}]})(props);\n};\nexport function LuSquareDashedBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21h1\"},\"child\":[]}]})(props);\n};\nexport function LuSquareDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuSquareEqual (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h10\"},\"child\":[]}]})(props);\n};\nexport function LuSquareSlash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"15\",\"y2\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuSquareStack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10c-1.1 0-2-.9-2-2V4c0-1.1.9-2 2-2h4c1.1 0 2 .9 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16c-1.1 0-2-.9-2-2v-4c0-1.1.9-2 2-2h4c1.1 0 2 .9 2 2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"8\",\"x\":\"14\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuSquirrel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6a4 4 0 0 0-4 4 7 7 0 0 0-7 7c0-5 4-5 4-10.5a4.5 4.5 0 1 0-9 0 2.5 2.5 0 0 0 5 0C7 10 3 11 3 17c0 2.8 2.2 5 5 5h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20c0-1.7 1.3-3 3-3h1a2 2 0 0 0 2-2v-2a4 4 0 0 0-4-4V4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.2 22a3 3 0 0 0-2.2-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13h.01\"},\"child\":[]}]})(props);\n};\nexport function LuStamp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.27 13.73A2.5 2.5 0 0 0 17.5 13h-11A2.5 2.5 0 0 0 4 15.5V17a1 1 0 0 0 1 1h14a1 1 0 0 0 1-1v-1.5c0-.66-.26-1.3-.73-1.77Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13V8.5C14 7 15 7 15 5a3 3 0 0 0-3-3c-1.66 0-3 1-3 3s1 2 1 3.5V13\"},\"child\":[]}]})(props);\n};\nexport function LuStarHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17.8 5.8 21 7 14.1 2 9.3l7-1L12 2\"},\"child\":[]}]})(props);\n};\nexport function LuStarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.34 8.34 2 9.27l5 4.87L5.82 21 12 17.77 18.18 21l-.59-3.43\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 12.76 22 9.27l-6.91-1L12 2l-1.44 2.91\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"12 2 15.09 8.26 22 9.27 17 14.14 18.18 21.02 12 17.77 5.82 21.02 7 14.14 2 9.27 8.91 8.26 12 2\"},\"child\":[]}]})(props);\n};\nexport function LuStepBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"18\",\"x2\":\"18\",\"y1\":\"20\",\"y2\":\"4\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"14,20 4,12 14,4\"},\"child\":[]}]})(props);\n};\nexport function LuStepForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"6\",\"y1\":\"4\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"polygon\",\"attr\":{\"points\":\"10,4 20,12 10,20\"},\"child\":[]}]})(props);\n};\nexport function LuStethoscope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.8 2.3A.3.3 0 1 0 5 2H4a2 2 0 0 0-2 2v5a6 6 0 0 0 6 6v0a6 6 0 0 0 6-6V4a2 2 0 0 0-2-2h-1a.2.2 0 1 0 .3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15v1a6 6 0 0 0 6 6v0a6 6 0 0 0 6-6v-4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"20\",\"cy\":\"10\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuSticker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 3H5a2 2 0 0 0-2 2v14c0 1.1.9 2 2 2h14a2 2 0 0 0 2-2V8.5L15.5 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16s.8 1 2 1c1.3 0 2-1 2-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13h0\"},\"child\":[]}]})(props);\n};\nexport function LuStickyNote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 3H5a2 2 0 0 0-2 2v14c0 1.1.9 2 2 2h14a2 2 0 0 0 2-2V8.5L15.5 3Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v6h6\"},\"child\":[]}]})(props);\n};\nexport function LuStopCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"6\",\"x\":\"9\",\"y\":\"9\"},\"child\":[]}]})(props);\n};\nexport function LuStore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 7 4.41-4.41A2 2 0 0 1 7.83 2h8.34a2 2 0 0 1 1.42.59L22 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v8a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22v-4a2 2 0 0 0-2-2h-2a2 2 0 0 0-2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 7v3a2 2 0 0 1-2 2v0a2.7 2.7 0 0 1-1.59-.63.7.7 0 0 0-.82 0A2.7 2.7 0 0 1 16 12a2.7 2.7 0 0 1-1.59-.63.7.7 0 0 0-.82 0A2.7 2.7 0 0 1 12 12a2.7 2.7 0 0 1-1.59-.63.7.7 0 0 0-.82 0A2.7 2.7 0 0 1 8 12a2.7 2.7 0 0 1-1.59-.63.7.7 0 0 0-.82 0A2.7 2.7 0 0 1 4 12v0a2 2 0 0 1-2-2V7\"},\"child\":[]}]})(props);\n};\nexport function LuStretchHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"6\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"6\",\"x\":\"2\",\"y\":\"14\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuStretchVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"20\",\"x\":\"4\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"6\",\"height\":\"20\",\"x\":\"14\",\"y\":\"2\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuStrikethrough (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4H9a3 3 0 0 0-2.83 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a4 4 0 0 1 0 8H6\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]}]})(props);\n};\nexport function LuSubscript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4 5 8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 5-8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19h-4c0-1.5.44-2 1.5-2.5S20 15.33 20 14c0-.47-.17-.93-.48-1.29a2.11 2.11 0 0 0-2.62-.44c-.42.24-.74.62-.9 1.07\"},\"child\":[]}]})(props);\n};\nexport function LuSubtitles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15a2 2 0 0 1-2 2H7l-4 4V5a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2v10Z\"},\"child\":[]}]})(props);\n};\nexport function LuSunDim (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 6.343h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 17.657h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 17.657h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 6.343h.01\"},\"child\":[]}]})(props);\n};\nexport function LuSunMedium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18.364 5.636-.707.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.343 17.657-.707.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5.636 5.636.707.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.657 17.657.707.707\"},\"child\":[]}]})(props);\n};\nexport function LuSunMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 1 0 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.93 4.93 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.66 17.66 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.34 17.66-1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19.07 4.93-1.41 1.41\"},\"child\":[]}]})(props);\n};\nexport function LuSunSnow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a3 3 0 1 0 0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4V3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.64 18.36.7-.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.34 6.34-.7-.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 4-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 17 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 15-3-3 3-3\"},\"child\":[]}]})(props);\n};\nexport function LuSun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.93 4.93 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.66 17.66 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.34 17.66-1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19.07 4.93-1.41 1.41\"},\"child\":[]}]})(props);\n};\nexport function LuSunrise (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.93 10.93 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19.07 10.93-1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 6 4-4 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18a4 4 0 0 0-8 0\"},\"child\":[]}]})(props);\n};\nexport function LuSunset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m4.93 10.93 1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19.07 10.93-1.41 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 6-4 4-4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18a4 4 0 0 0-8 0\"},\"child\":[]}]})(props);\n};\nexport function LuSuperscript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m4 19 8-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 19-8-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h-4c0-1.5.442-2 1.5-2.5S20 8.334 20 7.002c0-.472-.17-.93-.484-1.29a2.105 2.105 0 0 0-2.617-.436c-.42.239-.738.614-.899 1.06\"},\"child\":[]}]})(props);\n};\nexport function LuSwissFranc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21V3h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.5h7\"},\"child\":[]}]})(props);\n};\nexport function LuSwitchCamera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19H4a2 2 0 0 1-2-2V7a2 2 0 0 1 2-2h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5h7a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2h-5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 22-3-3 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 2 3 3-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuSword (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"14.5 17.5 3 6 3 3 6 3 17.5 14.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"13\",\"x2\":\"19\",\"y1\":\"19\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"20\",\"y1\":\"16\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"21\",\"y1\":\"21\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuSwords (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"14.5 17.5 3 6 3 3 6 3 17.5 14.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"13\",\"x2\":\"19\",\"y1\":\"19\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"20\",\"y1\":\"16\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"21\",\"y1\":\"21\",\"y2\":\"19\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"14.5 6.5 18 3 21 3 21 6 17.5 9.5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"5\",\"x2\":\"9\",\"y1\":\"14\",\"y2\":\"18\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7\",\"x2\":\"4\",\"y1\":\"17\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"5\",\"y1\":\"19\",\"y2\":\"21\"},\"child\":[]}]})(props);\n};\nexport function LuSyringe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18 2 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17 7 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9 8.7 19.3c-1 1-2.5 1-3.4 0l-.6-.6c-1-1-1-2.5 0-3.4L15 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 11 4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 19-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 4 6 6\"},\"child\":[]}]})(props);\n};\nexport function LuTable2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3H5a2 2 0 0 0-2 2v4m6-6h10a2 2 0 0 1 2 2v4M9 3v18m0 0h10a2 2 0 0 0 2-2V9M9 21H5a2 2 0 0 1-2-2V9m0 0h18\"},\"child\":[]}]})(props);\n};\nexport function LuTableProperties (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v18\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15H3\"},\"child\":[]}]})(props);\n};\nexport function LuTable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h18\"},\"child\":[]}]})(props);\n};\nexport function LuTablet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"20\",\"x\":\"4\",\"y\":\"2\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuTablets (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"7\",\"cy\":\"7\",\"r\":\"5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"17\",\"r\":\"5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3.46 10.54 7.08-7.08\"},\"child\":[]}]})(props);\n};\nexport function LuTag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2H2v10l9.29 9.29c.94.94 2.48.94 3.42 0l6.58-6.58c.94-.94.94-2.48 0-3.42L12 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h.01\"},\"child\":[]}]})(props);\n};\nexport function LuTags (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5H2v7l6.29 6.29c.94.94 2.48.94 3.42 0l3.58-3.58c.94-.94.94-2.48 0-3.42L9 5Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9.01V9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 5 6.3 6.3a2.4 2.4 0 0 1 0 3.4L17 19\"},\"child\":[]}]})(props);\n};\nexport function LuTally1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuTally2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuTally3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuTally4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v16\"},\"child\":[]}]})(props);\n};\nexport function LuTally5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 6 2 18\"},\"child\":[]}]})(props);\n};\nexport function LuTarget (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuTent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20 10 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 20 9-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15-3 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 15 3 5\"},\"child\":[]}]})(props);\n};\nexport function LuTerminalSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m7 11 2-2-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13h4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuTerminal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"4 17 10 11 4 5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"20\",\"y1\":\"19\",\"y2\":\"19\"},\"child\":[]}]})(props);\n};\nexport function LuTestTube2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7 6.82 21.18a2.83 2.83 0 0 1-3.99-.01v0a2.83 2.83 0 0 1 0-4L17 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 2 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16H4\"},\"child\":[]}]})(props);\n};\nexport function LuTestTube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2v17.5c0 1.4-1.1 2.5-2.5 2.5h0c-1.4 0-2.5-1.1-2.5-2.5V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 16h-5\"},\"child\":[]}]})(props);\n};\nexport function LuTestTubes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 2v17.5A2.5 2.5 0 0 1 6.5 22v0A2.5 2.5 0 0 1 4 19.5V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2v17.5a2.5 2.5 0 0 1-2.5 2.5v0a2.5 2.5 0 0 1-2.5-2.5V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16H4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16h-5\"},\"child\":[]}]})(props);\n};\nexport function LuTextCursorInput (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h1a3 3 0 0 1 3 3 3 3 0 0 1 3-3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-1a3 3 0 0 1-3-3 3 3 0 0 1-3 3H5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16H4a2 2 0 0 1-2-2v-4a2 2 0 0 1 2-2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h7a2 2 0 0 1 2 2v4a2 2 0 0 1-2 2h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7v10\"},\"child\":[]}]})(props);\n};\nexport function LuTextCursor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22h-1a4 4 0 0 1-4-4V6a4 4 0 0 1 4-4h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22h1a4 4 0 0 0 4-4v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2h1a4 4 0 0 1 4 4v1\"},\"child\":[]}]})(props);\n};\nexport function LuTextQuote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v6\"},\"child\":[]}]})(props);\n};\nexport function LuTextSelect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3a2 2 0 0 0-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19a2 2 0 0 1-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21a2 2 0 0 1-2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14v1\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7\",\"x2\":\"15\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7\",\"x2\":\"17\",\"y1\":\"12\",\"y2\":\"12\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"7\",\"x2\":\"13\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6.1H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12.1H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.1 18H3\"},\"child\":[]}]})(props);\n};\nexport function LuThermometerSnowflake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 9 3 3-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6 9 9 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 18 3-3 1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v10.54a4 4 0 1 1-4 0V4a2 2 0 0 1 4 0Z\"},\"child\":[]}]})(props);\n};\nexport function LuThermometerSun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a4 4 0 0 0-2 7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6.6 18.4-1.4 1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v10.54a4 4 0 1 1-4 0V4a2 2 0 0 1 4 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.34 7.34 4.93 5.93\"},\"child\":[]}]})(props);\n};\nexport function LuThermometer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4v10.54a4 4 0 1 1-4 0V4a2 2 0 0 1 4 0Z\"},\"child\":[]}]})(props);\n};\nexport function LuThumbsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18.12 10 14H4.17a2 2 0 0 1-1.92-2.56l2.33-8A2 2 0 0 1 6.5 2H20a2 2 0 0 1 2 2v8a2 2 0 0 1-2 2h-2.76a2 2 0 0 0-1.79 1.11L12 22h0a3.13 3.13 0 0 1-3-3.88Z\"},\"child\":[]}]})(props);\n};\nexport function LuThumbsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5.88 14 10h5.83a2 2 0 0 1 1.92 2.56l-2.33 8A2 2 0 0 1 17.5 22H4a2 2 0 0 1-2-2v-8a2 2 0 0 1 2-2h2.76a2 2 0 0 0 1.79-1.11L12 2h0a3.13 3.13 0 0 1 3 3.88Z\"},\"child\":[]}]})(props);\n};\nexport function LuTicket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9a3 3 0 0 1 0 6v2a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-2a3 3 0 0 1 0-6V7a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11v2\"},\"child\":[]}]})(props);\n};\nexport function LuTimerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.6 11a8 8 0 0 0 1.7 8.7 8 8 0 0 0 8.7 1.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.4 7.4a8 8 0 0 1 10.3 1 8 8 0 0 1 .9 10.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-2\"},\"child\":[]}]})(props);\n};\nexport function LuTimerReset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8 8 0 0 1 8-7 8 8 0 1 1-5.3 14L4 17.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17H4v5\"},\"child\":[]}]})(props);\n};\nexport function LuTimer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"14\",\"y1\":\"2\",\"y2\":\"2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"15\",\"y1\":\"14\",\"y2\":\"11\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"14\",\"r\":\"8\"},\"child\":[]}]})(props);\n};\nexport function LuToggleLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"6\",\"ry\":\"6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuToggleRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"6\",\"ry\":\"6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"12\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuTornado (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8H6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12H9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20H9\"},\"child\":[]}]})(props);\n};\nexport function LuTouchpadOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4a2 2 0 0 0-2 2v12a2 2 0 0 0 2 2h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 14h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 2 20 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16V6a2 2 0 0 0-2-2H10\"},\"child\":[]}]})(props);\n};\nexport function LuTouchpad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"16\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 14h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-6\"},\"child\":[]}]})(props);\n};\nexport function LuTowerControl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.2 12.27 20 6H4l1.8 6.27a1 1 0 0 0 .95.73h10.5a1 1 0 0 0 .96-.73Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 6 1 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 6-1 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 2h-2\"},\"child\":[]}]})(props);\n};\nexport function LuToyBrick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"12\",\"x\":\"3\",\"y\":\"8\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8V5c0-.6-.4-1-1-1H6a1 1 0 0 0-1 1v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8V5c0-.6-.4-1-1-1h-3a1 1 0 0 0-1 1v3\"},\"child\":[]}]})(props);\n};\nexport function LuTrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"16\",\"height\":\"16\",\"x\":\"4\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 19-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 22-2-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15h0\"},\"child\":[]}]})(props);\n};\nexport function LuTrash2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6v14c0 1-1 2-2 2H7c-1 0-2-1-2-2V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6V4c0-1 1-2 2-2h4c1 0 2 1 2 2v2\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"10\",\"x2\":\"10\",\"y1\":\"11\",\"y2\":\"17\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"14\",\"x2\":\"14\",\"y1\":\"11\",\"y2\":\"17\"},\"child\":[]}]})(props);\n};\nexport function LuTrash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6v14c0 1-1 2-2 2H7c-1 0-2-1-2-2V6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6V4c0-1 1-2 2-2h4c1 0 2 1 2 2v2\"},\"child\":[]}]})(props);\n};\nexport function LuTreeDeciduous (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19h8a4 4 0 0 0 3.8-2.8 4 4 0 0 0-1.6-4.5c1-1.1 1-2.7.4-4-.7-1.2-2.2-2-3.6-1.7a3 3 0 0 0-3-3 3 3 0 0 0-3 3c-1.4-.2-2.9.5-3.6 1.7-.7 1.3-.5 2.9.4 4a4 4 0 0 0-1.6 4.5A4 4 0 0 0 8 19Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v3\"},\"child\":[]}]})(props);\n};\nexport function LuTreePine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m17 14 3 3.3a1 1 0 0 1-.7 1.7H4.7a1 1 0 0 1-.7-1.7L7 14h-.3a1 1 0 0 1-.7-1.7L9 9h-.2A1 1 0 0 1 8 7.3L12 3l4 4.3a1 1 0 0 1-.8 1.7H15l3 3.3a1 1 0 0 1-.7 1.7H17Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-3\"},\"child\":[]}]})(props);\n};\nexport function LuTrees (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v.2A3 3 0 0 1 8.9 16v0H5v0h0a3 3 0 0 1-1-5.8V10a3 3 0 0 1 6 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h8.3a1 1 0 0 0 .7-1.7L18 14h.3a1 1 0 0 0 .7-1.7L16 9h.2a1 1 0 0 0 .8-1.7L13 3l-1.4 1.5\"},\"child\":[]}]})(props);\n};\nexport function LuTrello (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"3\",\"height\":\"9\",\"x\":\"7\",\"y\":\"7\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"3\",\"height\":\"5\",\"x\":\"14\",\"y\":\"7\"},\"child\":[]}]})(props);\n};\nexport function LuTrendingDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"22 17 13.5 8.5 8.5 13.5 2 7\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 17 22 17 22 11\"},\"child\":[]}]})(props);\n};\nexport function LuTrendingUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"22 7 13.5 15.5 8.5 10.5 2 17\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 7 22 7 22 13\"},\"child\":[]}]})(props);\n};\nexport function LuTriangleRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18a2 2 0 0 1-2 2H3c-1.1 0-1.3-.6-.4-1.3L20.4 4.3c.9-.7 1.6-.4 1.6.7Z\"},\"child\":[]}]})(props);\n};\nexport function LuTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.73 18-8-14a2 2 0 0 0-3.48 0l-8 14A2 2 0 0 0 4 21h16a2 2 0 0 0 1.73-3Z\"},\"child\":[]}]})(props);\n};\nexport function LuTrophy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9H4.5a2.5 2.5 0 0 1 0-5H6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h1.5a2.5 2.5 0 0 0 0-5H18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14.66V17c0 .55-.47.98-.97 1.21C7.85 18.75 7 20.24 7 22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14.66V17c0 .55.47.98.97 1.21C16.15 18.75 17 20.24 17 22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2H6v7a6 6 0 0 0 12 0V2Z\"},\"child\":[]}]})(props);\n};\nexport function LuTruck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h4V5H2v12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17h2v-3.34a4 4 0 0 0-1.17-2.83L19 9h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"17.5\",\"r\":\"2.5\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"17.5\",\"r\":\"2.5\"},\"child\":[]}]})(props);\n};\nexport function LuTv2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"14\",\"x\":\"2\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuTv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"15\",\"x\":\"2\",\"y\":\"7\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"17 2 12 7 7 2\"},\"child\":[]}]})(props);\n};\nexport function LuTwitch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 2H3v16h5v4l4-4h5l4-4V2zm-10 9V7m5 4V7\"},\"child\":[]}]})(props);\n};\nexport function LuTwitter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 4s-.7 2.1-2 3.4c1.6 10-9.4 17.3-18 11.6 2.2.1 4.4-.6 6-2C3 15.5.5 9.6 3 5c2.2 2.6 5.6 4.1 9 4-.9-4.2 4-6.6 7-3.8 1.1 0 3-1.2 3-1.2z\"},\"child\":[]}]})(props);\n};\nexport function LuType (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"4 7 4 4 20 4 20 7\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"4\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuUmbrella (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a10.06 10.06 1 0 0-20 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v8a2 2 0 0 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v1\"},\"child\":[]}]})(props);\n};\nexport function LuUnderline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v6a6 6 0 0 0 12 0V4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"4\",\"x2\":\"20\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuUndo2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14 4 9l5-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h10.5a5.5 5.5 0 0 1 5.5 5.5v0a5.5 5.5 0 0 1-5.5 5.5H11\"},\"child\":[]}]})(props);\n};\nexport function LuUndoDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"17\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17a9 9 0 0 0-9-9 9 9 0 0 0-6 2.3L3 13\"},\"child\":[]}]})(props);\n};\nexport function LuUndo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17a9 9 0 0 0-9-9 9 9 0 0 0-6 2.3L3 13\"},\"child\":[]}]})(props);\n};\nexport function LuUnfoldHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 15 3-3-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5 9-3 3 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuUnfoldVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12H8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 19-3 3-3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 5-3-3-3 3\"},\"child\":[]}]})(props);\n};\nexport function LuUngroup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"6\",\"x\":\"5\",\"y\":\"4\",\"rx\":\"1\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"6\",\"x\":\"11\",\"y\":\"14\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuUnlink2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h2a5 5 0 0 1 0 10h-2m-6 0H7A5 5 0 0 1 7 7h2\"},\"child\":[]}]})(props);\n};\nexport function LuUnlink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m18.84 12.25 1.72-1.71h-.02a5.004 5.004 0 0 0-.12-7.07 5.006 5.006 0 0 0-6.95 0l-1.72 1.71\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m5.17 11.75-1.71 1.71a5.004 5.004 0 0 0 .12 7.07 5.006 5.006 0 0 0 6.95 0l1.71-1.71\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"8\",\"y1\":\"2\",\"y2\":\"5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"5\",\"y1\":\"8\",\"y2\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"16\",\"y1\":\"19\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"22\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuUnlock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"11\",\"x\":\"3\",\"y\":\"11\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11V7a5 5 0 0 1 9.9-1\"},\"child\":[]}]})(props);\n};\nexport function LuUnplug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m19 5 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2 22 3-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.3 20.3a2.4 2.4 0 0 0 3.4 0L12 18l-6-6-2.3 2.3a2.4 2.4 0 0 0 0 3.4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 13.5 10 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 16.5 13 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 6 6 6 2.3-2.3a2.4 2.4 0 0 0 0-3.4l-2.6-2.6a2.4 2.4 0 0 0-3.4 0Z\"},\"child\":[]}]})(props);\n};\nexport function LuUploadCloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 16-4-4-4 4\"},\"child\":[]}]})(props);\n};\nexport function LuUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v4a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"17 8 12 3 7 8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12\",\"y1\":\"3\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuUsb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"10\",\"cy\":\"7\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"4\",\"cy\":\"20\",\"r\":\"1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.7 19.3 19 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21 3-3 1 2 2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.26 7.68 5 12l2 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 14 5 2 3.5-3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m18 12 1-1 1 1-1 1Z\"},\"child\":[]}]})(props);\n};\nexport function LuUser2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"8\",\"r\":\"5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21a8 8 0 1 0-16 0\"},\"child\":[]}]})(props);\n};\nexport function LuUserCheck2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"9\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 11 18 13 22 9\"},\"child\":[]}]})(props);\n};\nexport function LuUserCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-2a4 4 0 0 0-4-4H6a4 4 0 0 0-4 4v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"7\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 11 18 13 22 9\"},\"child\":[]}]})(props);\n};\nexport function LuUserCircle2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuUserCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20.662V19a2 2 0 0 1 2-2h6a2 2 0 0 1 2 2v1.662\"},\"child\":[]}]})(props);\n};\nexport function LuUserCog2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"9\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"11\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21.6 9.5-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.27 12-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21.6 12.5-.87-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.27 10-.87-.5\"},\"child\":[]}]})(props);\n};\nexport function LuUserCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-2a4 4 0 0 0-4-4H6a4 4 0 0 0-4 4v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"7\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"19\",\"cy\":\"11\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21.6 9.5-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.27 12-.87.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m21.6 12.5-.87-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m17.27 10-.87-.5\"},\"child\":[]}]})(props);\n};\nexport function LuUserMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"9\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"16\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]}]})(props);\n};\nexport function LuUserMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-2a4 4 0 0 0-4-4H6a4 4 0 0 0-4 4v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"7\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"16\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]}]})(props);\n};\nexport function LuUserPlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"9\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"19\",\"y1\":\"8\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"16\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]}]})(props);\n};\nexport function LuUserPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-2a4 4 0 0 0-4-4H6a4 4 0 0 0-4 4v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"7\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"19\",\"x2\":\"19\",\"y1\":\"8\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"16\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]}]})(props);\n};\nexport function LuUserSquare2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"11\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuUserSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21v-2a2 2 0 0 1 2-2h6a2 2 0 0 1 2 2v2\"},\"child\":[]}]})(props);\n};\nexport function LuUserX2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"9\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"22\",\"y1\":\"8\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"17\",\"y1\":\"8\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuUserX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-2a4 4 0 0 0-4-4H6a4 4 0 0 0-4 4v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"7\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"17\",\"x2\":\"22\",\"y1\":\"8\",\"y2\":\"13\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"17\",\"y1\":\"8\",\"y2\":\"13\"},\"child\":[]}]})(props);\n};\nexport function LuUser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v-2a4 4 0 0 0-4-4H9a4 4 0 0 0-4 4v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"7\",\"r\":\"4\"},\"child\":[]}]})(props);\n};\nexport function LuUsers2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19a6 6 0 0 0-12 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"9\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19a6 6 0 0 0-6-6 4 4 0 1 0 0-8\"},\"child\":[]}]})(props);\n};\nexport function LuUsers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-2a4 4 0 0 0-4-4H6a4 4 0 0 0-4 4v2\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"7\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 21v-2a4 4 0 0 0-3-3.87\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3.13a4 4 0 0 1 0 7.75\"},\"child\":[]}]})(props);\n};\nexport function LuUtensilsCrossed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m16 2-2.3 2.3a3 3 0 0 0 0 4.2l1.8 1.8a3 3 0 0 0 4.2 0L22 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15 3.3 3.3a4.2 4.2 0 0 0 0 6l7.3 7.3c.7.7 2 .7 2.8 0L15 15Zm0 0 7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m2.1 21.8 6.4-6.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 5-7 7\"},\"child\":[]}]})(props);\n};\nexport function LuUtensils (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2v7c0 1.1.9 2 2 2h4a2 2 0 0 0 2-2V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2v20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15V2v0a5 5 0 0 0-5 5v6c0 1.1.9 2 2 2h3Zm0 0v7\"},\"child\":[]}]})(props);\n};\nexport function LuUtilityPole (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m19 5-7 7-7-7\"},\"child\":[]}]})(props);\n};\nexport function LuVariable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21s-4-3-4-9 4-9 4-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3s4 3 4 9-4 9-4 9\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"15\",\"x2\":\"9\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"9\",\"x2\":\"15\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuVegan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2a26.6 26.6 0 0 1 10 20c.9-6.82 1.5-9.5 4-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8c4 0 6-2 6-6-4 0-6 2-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.41 3.6a10 10 0 1 0 3 3\"},\"child\":[]}]})(props);\n};\nexport function LuVenetianMask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12a5 5 0 0 0 5 5 8 8 0 0 1 5 2 8 8 0 0 1 5-2 5 5 0 0 0 5-5V7h-5a8 8 0 0 0-5 2 8 8 0 0 0-5-2H2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11c1.5 0 3 .5 3 2-2 0-3 0-3-2Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11c-1.5 0-3 .5-3 2 2 0 3 0 3-2Z\"},\"child\":[]}]})(props);\n};\nexport function LuVibrateOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 8 2 2-2 2 2 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 8-2 2 2 2-2 2 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v10c0 .55.45 1 1 1h6c.55 0 1-.45 1-1v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10.34V6c0-.55-.45-1-1-1h-4.34\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuVibrate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 8 2 2-2 2 2 2-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m22 8-2 2 2 2-2 2 2 2\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"14\",\"x\":\"8\",\"y\":\"5\",\"rx\":\"1\"},\"child\":[]}]})(props);\n};\nexport function LuVideoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.66 6H14a2 2 0 0 1 2 2v2.34l1 1L22 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V8a2 2 0 0 1 2-2h2l10 10Z\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuVideo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m22 8-6 4 6 4V8Z\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"14\",\"height\":\"12\",\"x\":\"2\",\"y\":\"6\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuVideotape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"20\",\"height\":\"16\",\"x\":\"2\",\"y\":\"4\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8h20\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"14\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16\",\"cy\":\"14\",\"r\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuView (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12s2.545-5 7-5c4.454 0 7 5 7 5s-2.546 5-7 5c-4.455 0-7-5-7-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a1 1 0 1 0 0-2 1 1 0 0 0 0 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v2a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7V5a2 2 0 0 0-2-2H5a2 2 0 0 0-2 2v2\"},\"child\":[]}]})(props);\n};\nexport function LuVoicemail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"6\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"18\",\"cy\":\"12\",\"r\":\"4\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"6\",\"x2\":\"18\",\"y1\":\"16\",\"y2\":\"16\"},\"child\":[]}]})(props);\n};\nexport function LuVolume1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"11 5 6 9 2 9 2 15 6 15 11 19 11 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.54 8.46a5 5 0 0 1 0 7.07\"},\"child\":[]}]})(props);\n};\nexport function LuVolume2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"11 5 6 9 2 9 2 15 6 15 11 19 11 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.54 8.46a5 5 0 0 1 0 7.07\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.07 4.93a10 10 0 0 1 0 14.14\"},\"child\":[]}]})(props);\n};\nexport function LuVolumeX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"11 5 6 9 2 9 2 15 6 15 11 19 11 5\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"22\",\"x2\":\"16\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"16\",\"x2\":\"22\",\"y1\":\"9\",\"y2\":\"15\"},\"child\":[]}]})(props);\n};\nexport function LuVolume (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"11 5 6 9 2 9 2 15 6 15 11 19 11 5\"},\"child\":[]}]})(props);\n};\nexport function LuVote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m9 12 2 2 4-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7c0-1.1.9-2 2-2h10a2 2 0 0 1 2 2v12H5V7Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19H2\"},\"child\":[]}]})(props);\n};\nexport function LuWallet2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h12a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h14\"},\"child\":[]}]})(props);\n};\nexport function LuWalletCards (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h3c.8 0 1.6.3 2.1.9l1.1.9c1.6 1.6 4.1 1.6 5.7 0l1.1-.9c.5-.5 1.3-.9 2.1-.9H21\"},\"child\":[]}]})(props);\n};\nexport function LuWallet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12V7H5a2 2 0 0 1 0-4h14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14a2 2 0 0 0 2 2h16v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12a2 2 0 0 0 0 4h4v-4Z\"},\"child\":[]}]})(props);\n};\nexport function LuWallpaper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"8\",\"cy\":\"9\",\"r\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 17 6.1-6.1a2 2 0 0 1 2.81.01L22 15V5a2 2 0 0 0-2-2H4a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]}]})(props);\n};\nexport function LuWand2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m21.64 3.64-1.28-1.28a1.21 1.21 0 0 0-1.72 0L2.36 18.64a1.21 1.21 0 0 0 0 1.72l1.28 1.28a1.2 1.2 0 0 0 1.72 0L21.64 5.36a1.2 1.2 0 0 0 0-1.72Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m14 7 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8H3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3H9\"},\"child\":[]}]})(props);\n};\nexport function LuWand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4V2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 11.8 19 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 6.2 19 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m3 21 9-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.2 6.2 11 5\"},\"child\":[]}]})(props);\n};\nexport function LuWarehouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8.35V20a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V8.35A2 2 0 0 1 3.26 6.5l8-3.2a2 2 0 0 1 1.48 0l8 3.2A2 2 0 0 1 22 8.35Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h12\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"12\",\"height\":\"12\",\"x\":\"6\",\"y\":\"10\"},\"child\":[]}]})(props);\n};\nexport function LuWatch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"6\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"12 10 12 12 13 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16.13 7.66-.81-4.05a2 2 0 0 0-2-1.61h-2.68a2 2 0 0 0-2 1.61l-.78 4.05\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m7.88 16.36.8 4a2 2 0 0 0 2 1.61h2.72a2 2 0 0 0 2-1.61l.81-4.05\"},\"child\":[]}]})(props);\n};\nexport function LuWaves (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 6c.6.5 1.2 1 2.5 1C7 7 7 5 9.5 5c2.6 0 2.4 2 5 2 2.5 0 2.5-2 5-2 1.3 0 1.9.5 2.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12c.6.5 1.2 1 2.5 1 2.5 0 2.5-2 5-2 2.6 0 2.4 2 5 2 2.5 0 2.5-2 5-2 1.3 0 1.9.5 2.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18c.6.5 1.2 1 2.5 1 2.5 0 2.5-2 5-2 2.6 0 2.4 2 5 2 2.5 0 2.5-2 5-2 1.3 0 1.9.5 2.5 1\"},\"child\":[]}]})(props);\n};\nexport function LuWebcam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"10\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-4\"},\"child\":[]}]})(props);\n};\nexport function LuWebhook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16.98h-5.99c-1.1 0-1.95.94-2.48 1.9A4 4 0 0 1 2 17c.01-.7.2-1.4.57-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 17 3.13-5.78c.53-.97.1-2.18-.5-3.1a4 4 0 1 1 6.89-4.06\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m12 6 3.13 5.73C15.66 12.7 16.9 13 18 13a4 4 0 0 1 0 8\"},\"child\":[]}]})(props);\n};\nexport function LuWheatOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"m2 22 10-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 8-1.17 1.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.47 12.53 5 11l1.53 1.53a3.5 3.5 0 0 1 0 4.94L5 19l-1.53-1.53a3.5 3.5 0 0 1 0-4.94Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m8 8-.53.53a3.5 3.5 0 0 0 0 4.94L9 15l1.53-1.53c.55-.55.88-1.25.98-1.97\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.91 5.26c.15-.26.34-.51.56-.73L13 3l1.53 1.53a3.5 3.5 0 0 1 .28 4.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2h2v2a4 4 0 0 1-4 4h-2V6a4 4 0 0 1 4-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.47 17.47 13 19l-1.53 1.53a3.5 3.5 0 0 1-4.94 0L5 19l1.53-1.53a3.5 3.5 0 0 1 4.94 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m16 16-.53.53a3.5 3.5 0 0 1-4.94 0L9 15l1.53-1.53a3.49 3.49 0 0 1 1.97-.98\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.74 13.09c.26-.15.51-.34.73-.56L21 11l-1.53-1.53a3.5 3.5 0 0 0-4.62-.28\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuWheat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22 16 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.47 12.53 5 11l1.53 1.53a3.5 3.5 0 0 1 0 4.94L5 19l-1.53-1.53a3.5 3.5 0 0 1 0-4.94Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.47 8.53 9 7l1.53 1.53a3.5 3.5 0 0 1 0 4.94L9 15l-1.53-1.53a3.5 3.5 0 0 1 0-4.94Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.47 4.53 13 3l1.53 1.53a3.5 3.5 0 0 1 0 4.94L13 11l-1.53-1.53a3.5 3.5 0 0 1 0-4.94Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2h2v2a4 4 0 0 1-4 4h-2V6a4 4 0 0 1 4-4Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.47 17.47 13 19l-1.53 1.53a3.5 3.5 0 0 1-4.94 0L5 19l1.53-1.53a3.5 3.5 0 0 1 4.94 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.47 13.47 17 15l-1.53 1.53a3.5 3.5 0 0 1-4.94 0L9 15l1.53-1.53a3.5 3.5 0 0 1 4.94 0Z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.47 9.47 21 11l-1.53 1.53a3.5 3.5 0 0 1-4.94 0L13 11l1.53-1.53a3.5 3.5 0 0 1 4.94 0Z\"},\"child\":[]}]})(props);\n};\nexport function LuWholeWord (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"7\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17\",\"cy\":\"12\",\"r\":\"3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17v1c0 .5-.5 1-1 1H3c-.5 0-1-.5-1-1v-1\"},\"child\":[]}]})(props);\n};\nexport function LuWifiOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 16.5a5 5 0 0 1 7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8.82a15 15 0 0 1 4.17-2.65\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.66 5c4.01-.36 8.14.9 11.34 3.76\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.85 11.25a10 10 0 0 1 2.22 1.68\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13a10 10 0 0 1 5.24-2.76\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuWifi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13a10 10 0 0 1 14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 16.5a5 5 0 0 1 7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8.82a15 15 0 0 1 20 0\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"12\",\"x2\":\"12.01\",\"y1\":\"20\",\"y2\":\"20\"},\"child\":[]}]})(props);\n};\nexport function LuWind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.7 7.7a2.5 2.5 0 1 1 1.8 4.3H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.6 4.6A2 2 0 1 1 11 8H2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.6 19.4A2 2 0 1 0 14 16H2\"},\"child\":[]}]})(props);\n};\nexport function LuWineOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h3m7 0h-1.343\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.307 7.307A12.33 12.33 0 0 0 7 10a5 5 0 0 0 7.391 4.391M8.638 2.981C8.75 2.668 8.872 2.34 9 2h6c1.5 4 2 6 2 8 0 .407-.05.809-.145 1.198\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuWine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15a5 5 0 0 0 5-5c0-2-.5-4-2-8H9c-1.5 4-2 6-2 8a5 5 0 0 0 5 5Z\"},\"child\":[]}]})(props);\n};\nexport function LuWorkflow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"8\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11v4a2 2 0 0 0 2 2h4\"},\"child\":[]},{\"tag\":\"rect\",\"attr\":{\"width\":\"8\",\"height\":\"8\",\"x\":\"13\",\"y\":\"13\",\"rx\":\"2\"},\"child\":[]}]})(props);\n};\nexport function LuWrapText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"21\",\"y1\":\"6\",\"y2\":\"6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h15a3 3 0 1 1 0 6h-4\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"16 16 14 18 16 20\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"3\",\"x2\":\"10\",\"y1\":\"18\",\"y2\":\"18\"},\"child\":[]}]})(props);\n};\nexport function LuWrench (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.7 6.3a1 1 0 0 0 0 1.4l1.6 1.6a1 1 0 0 0 1.4 0l3.77-3.77a6 6 0 0 1-7.94 7.94l-6.91 6.91a2.12 2.12 0 0 1-3-3l6.91-6.91a6 6 0 0 1 7.94-7.94l-3.76 3.76z\"},\"child\":[]}]})(props);\n};\nexport function LuXCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\"10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 9-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 9 6 6\"},\"child\":[]}]})(props);\n};\nexport function LuXOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"7.86 2 16.14 2 22 7.86 22 16.14 16.14 22 7.86 22 2 16.14 2 7.86 7.86 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 9-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 9 6 6\"},\"child\":[]}]})(props);\n};\nexport function LuXSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"rect\",\"attr\":{\"width\":\"18\",\"height\":\"18\",\"x\":\"3\",\"y\":\"3\",\"rx\":\"2\",\"ry\":\"2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m15 9-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m9 9 6 6\"},\"child\":[]}]})(props);\n};\nexport function LuX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6 6 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m6 6 12 12\"},\"child\":[]}]})(props);\n};\nexport function LuYoutube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 17a24.12 24.12 0 0 1 0-10 2 2 0 0 1 1.4-1.4 49.56 49.56 0 0 1 16.2 0A2 2 0 0 1 21.5 7a24.12 24.12 0 0 1 0 10 2 2 0 0 1-1.4 1.4 49.55 49.55 0 0 1-16.2 0A2 2 0 0 1 2.5 17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"m10 15 5-3-5-3z\"},\"child\":[]}]})(props);\n};\nexport function LuZapOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polyline\",\"attr\":{\"points\":\"12.41 6.75 13 2 10.57 4.92\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"18.57 12.91 21 10 15.66 10\"},\"child\":[]},{\"tag\":\"polyline\",\"attr\":{\"points\":\"8 8 3 14 12 14 11 22 16 16\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"2\",\"x2\":\"22\",\"y1\":\"2\",\"y2\":\"22\"},\"child\":[]}]})(props);\n};\nexport function LuZap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"polygon\",\"attr\":{\"points\":\"13 2 3 14 12 14 11 22 21 10 12 10 13 2\"},\"child\":[]}]})(props);\n};\nexport function LuZoomIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"16.65\",\"y1\":\"21\",\"y2\":\"16.65\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"11\",\"x2\":\"11\",\"y1\":\"8\",\"y2\":\"14\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"14\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]}]})(props);\n};\nexport function LuZoomOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"11\",\"cy\":\"11\",\"r\":\"8\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"21\",\"x2\":\"16.65\",\"y1\":\"21\",\"y2\":\"16.65\"},\"child\":[]},{\"tag\":\"line\",\"attr\":{\"x1\":\"8\",\"x2\":\"14\",\"y1\":\"11\",\"y2\":\"11\"},\"child\":[]}]})(props);\n};\n","// THIS FILE IS AUTO GENERATED\nimport { GenIcon } from '../lib/index.mjs';\nexport function TbAccessibleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-1.051 6.844a1 1 0 0 0 -1.152 -.663l-.113 .03l-2.684 .895l-2.684 -.895l-.113 -.03a1 1 0 0 0 -.628 1.884l.109 .044l2.316 .771v.976l-1.832 2.75l-.06 .1a1 1 0 0 0 .237 1.21l.1 .076l.101 .06a1 1 0 0 0 1.21 -.237l.076 -.1l1.168 -1.752l1.168 1.752l.07 .093a1 1 0 0 0 1.653 -1.102l-.059 -.1l-1.832 -2.75v-.977l2.316 -.771l.109 -.044a1 1 0 0 0 .524 -1.221zm-3.949 -4.184a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbAdCircleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10c-5.43 0 -9.848 -4.327 -9.996 -9.72l-.004 -.28l.004 -.28c.148 -5.393 4.566 -9.72 9.996 -9.72zm-3.5 6a2.5 2.5 0 0 0 -2.495 2.336l-.005 .164v4.5l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-1h1v1l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-4.5l-.005 -.164a2.5 2.5 0 0 0 -2.495 -2.336zm6.5 0h-1a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h1a3 3 0 0 0 3 -3v-2a3 3 0 0 0 -3 -3zm0 2a1 1 0 0 1 1 1v2a1 1 0 0 1 -.883 .993l-.117 .007v-4zm-6.5 0a.5 .5 0 0 1 .492 .41l.008 .09v1.5h-1v-1.5l.008 -.09a.5 .5 0 0 1 .492 -.41z\"},\"child\":[]}]})(props);\n};\nexport function TbAdFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-14a3 3 0 0 0 -3 3v10a3 3 0 0 0 3 3h14a3 3 0 0 0 3 -3v-10a3 3 0 0 0 -3 -3zm-10 4a3 3 0 0 1 2.995 2.824l.005 .176v4a1 1 0 0 1 -1.993 .117l-.007 -.117v-1h-2v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-4a3 3 0 0 1 3 -3zm0 2a1 1 0 0 0 -.993 .883l-.007 .117v1h2v-1a1 1 0 0 0 -1 -1zm8 -2a1 1 0 0 1 .993 .883l.007 .117v6a1 1 0 0 1 -.883 .993l-.117 .007h-1.5a2.5 2.5 0 1 1 .326 -4.979l.174 .029v-2.05a1 1 0 0 1 .883 -.993l.117 -.007zm-1.41 5.008l-.09 -.008a.5 .5 0 0 0 -.09 .992l.09 .008h.5v-.5l-.008 -.09a.5 .5 0 0 0 -.318 -.379l-.084 -.023z\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3a1 1 0 0 1 .993 .883l.007 .117v3.171a3.001 3.001 0 0 1 0 5.658v7.171a1 1 0 0 1 -1.993 .117l-.007 -.117v-7.17a3.002 3.002 0 0 1 -1.995 -2.654l-.005 -.176l.005 -.176a3.002 3.002 0 0 1 1.995 -2.654v-3.17a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a1 1 0 0 1 .993 .883l.007 .117v9.171a3.001 3.001 0 0 1 0 5.658v1.171a1 1 0 0 1 -1.993 .117l-.007 -.117v-1.17a3.002 3.002 0 0 1 -1.995 -2.654l-.005 -.176l.005 -.176a3.002 3.002 0 0 1 1.995 -2.654v-9.17a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a1 1 0 0 1 .993 .883l.007 .117v.171a3.001 3.001 0 0 1 0 5.658v10.171a1 1 0 0 1 -1.993 .117l-.007 -.117v-10.17a3.002 3.002 0 0 1 -1.995 -2.654l-.005 -.176l.005 -.176a3.002 3.002 0 0 1 1.995 -2.654v-.17a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbAffiliateFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 3a2.5 2.5 0 1 1 -.912 4.828l-4.556 4.555a5.475 5.475 0 0 1 .936 3.714l2.624 .787a2.5 2.5 0 1 1 -.575 1.916l-2.623 -.788a5.5 5.5 0 0 1 -10.39 -2.29l-.004 -.222l.004 -.221a5.5 5.5 0 0 1 2.984 -4.673l-.788 -2.624a2.498 2.498 0 0 1 -2.194 -2.304l-.006 -.178l.005 -.164a2.5 2.5 0 1 1 4.111 2.071l.787 2.625a5.475 5.475 0 0 1 3.714 .936l4.555 -4.556a2.487 2.487 0 0 1 -.167 -.748l-.005 -.164l.005 -.164a2.5 2.5 0 0 1 2.495 -2.336z\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmMinusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6.072a8 8 0 1 1 -11.995 7.213l-.005 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643zm-2 5.928h-4l-.117 .007a1 1 0 0 0 .117 1.993h4l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.412 3.191a1 1 0 0 1 1.273 1.539l-.097 .08l-2.75 2a1 1 0 0 1 -1.273 -1.54l.097 -.08l2.75 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.191 3.412a1 1 0 0 1 1.291 -.288l.106 .067l2.75 2a1 1 0 0 1 -1.07 1.685l-.106 -.067l-2.75 -2a1 1 0 0 1 -.22 -1.397z\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmPlusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6.072a8 8 0 1 1 -11.995 7.213l-.005 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643zm-4 3.928a1 1 0 0 0 -1 1v1h-1l-.117 .007a1 1 0 0 0 .117 1.993h1v1l.007 .117a1 1 0 0 0 1.993 -.117v-1h1l.117 -.007a1 1 0 0 0 -.117 -1.993h-1v-1l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.412 3.191a1 1 0 0 1 1.273 1.539l-.097 .08l-2.75 2a1 1 0 0 1 -1.273 -1.54l.097 -.08l2.75 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.191 3.412a1 1 0 0 1 1.291 -.288l.106 .067l2.75 2a1 1 0 0 1 -1.07 1.685l-.106 -.067l-2.75 -2a1 1 0 0 1 -.22 -1.397z\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmSnoozeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6.072a8 8 0 1 1 -11.995 7.213l-.005 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643zm-2 3.928h-4l-.117 .007a1 1 0 0 0 -.883 .993l.007 .117a1 1 0 0 0 .993 .883h1.584l-2.291 2.293l-.076 .084c-.514 .637 -.07 1.623 .783 1.623h4l.117 -.007a1 1 0 0 0 .883 -.993l-.007 -.117a1 1 0 0 0 -.993 -.883h-1.586l2.293 -2.293l.076 -.084c.514 -.637 .07 -1.623 -.783 -1.623z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.412 3.191a1 1 0 0 1 1.273 1.539l-.097 .08l-2.75 2a1 1 0 0 1 -1.273 -1.54l.097 -.08l2.75 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.191 3.412a1 1 0 0 1 1.291 -.288l.106 .067l2.75 2a1 1 0 0 1 -1.07 1.685l-.106 -.067l-2.75 -2a1 1 0 0 1 -.22 -1.397z\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6.072a8 8 0 1 1 -11.995 7.213l-.005 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643zm-4 2.928a1 1 0 0 0 -1 1v3l.007 .117a1 1 0 0 0 .993 .883h2l.117 -.007a1 1 0 0 0 .883 -.993l-.007 -.117a1 1 0 0 0 -.993 -.883h-1v-2l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.412 3.191a1 1 0 0 1 1.273 1.539l-.097 .08l-2.75 2a1 1 0 0 1 -1.273 -1.54l.097 -.08l2.75 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.191 3.412a1 1 0 0 1 1.291 -.288l.106 .067l2.75 2a1 1 0 0 1 -1.07 1.685l-.106 -.067l-2.75 -2a1 1 0 0 1 -.22 -1.397z\"},\"child\":[]}]})(props);\n};\nexport function TbAlertCircleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10a10 10 0 0 1 -19.995 .324l-.005 -.324l.004 -.28c.148 -5.393 4.566 -9.72 9.996 -9.72zm.01 13l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-.01 -8a1 1 0 0 0 -.993 .883l-.007 .117v4l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlertHexagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.026 -.097l.19 .097l6.775 3.995l.096 .063l.092 .077l.107 .075a3.224 3.224 0 0 1 1.266 2.188l.018 .202l.005 .204v7.284c0 1.106 -.57 2.129 -1.454 2.693l-.17 .1l-6.803 4.302c-.918 .504 -2.019 .535 -3.004 .068l-.196 -.1l-6.695 -4.237a3.225 3.225 0 0 1 -1.671 -2.619l-.007 -.207v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098zm1.585 13.586l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-.01 -8a1 1 0 0 0 -.993 .883l-.007 .117v4l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlertOctagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.897 1a4 4 0 0 1 2.664 1.016l.165 .156l4.1 4.1a4 4 0 0 1 1.168 2.605l.006 .227v5.794a4 4 0 0 1 -1.016 2.664l-.156 .165l-4.1 4.1a4 4 0 0 1 -2.603 1.168l-.227 .006h-5.795a3.999 3.999 0 0 1 -2.664 -1.017l-.165 -.156l-4.1 -4.1a4 4 0 0 1 -1.168 -2.604l-.006 -.227v-5.794a4 4 0 0 1 1.016 -2.664l.156 -.165l4.1 -4.1a4 4 0 0 1 2.605 -1.168l.227 -.006h5.793zm-2.887 14l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-.01 -8a1 1 0 0 0 -.993 .883l-.007 .117v4l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlertSquareRoundedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm.01 13l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-.01 -8a1 1 0 0 0 -.993 .883l-.007 .117v4l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlertSquareFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 2.995 2.824l.005 .176v14a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h14zm-6.99 13l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-.01 -8a1 1 0 0 0 -.993 .883l-.007 .117v4l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlertTriangleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.67c.955 0 1.845 .467 2.39 1.247l.105 .16l8.114 13.548a2.914 2.914 0 0 1 -2.307 4.363l-.195 .008h-16.225a2.914 2.914 0 0 1 -2.582 -4.2l.099 -.185l8.11 -13.538a2.914 2.914 0 0 1 2.491 -1.403zm.01 13.33l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-.01 -7a1 1 0 0 0 -.993 .883l-.007 .117v4l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlienFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.004 2c4.942 0 8.288 2.503 8.85 6.444a12.884 12.884 0 0 1 -2.163 9.308a11.794 11.794 0 0 1 -3.51 3.356c-1.982 1.19 -4.376 1.19 -6.373 -.008a11.763 11.763 0 0 1 -3.489 -3.34a12.808 12.808 0 0 1 -2.171 -9.306c.564 -3.95 3.91 -6.454 8.856 -6.454zm1.913 14.6a1 1 0 0 0 -1.317 -.517l-.146 .055a1.5 1.5 0 0 1 -1.054 -.055l-.11 -.04a1 1 0 0 0 -.69 1.874a3.5 3.5 0 0 0 2.8 0a1 1 0 0 0 .517 -1.317zm-5.304 -6.39a1 1 0 0 0 -1.32 1.497l2 2l.094 .083a1 1 0 0 0 1.32 -1.497l-2 -2zm8.094 .083a1 1 0 0 0 -1.414 0l-2 2l-.083 .094a1 1 0 0 0 1.497 1.32l2 -2l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxBottomCenterFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-9.333 13a1 1 0 0 0 -1 1v2l.007 .117a1 1 0 0 0 1.993 -.117v-2l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 -4a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 1.993 -.117v-6l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 2a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxBottomLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-12.333 13a1 1 0 0 0 -1 1v2l.007 .117a1 1 0 0 0 1.993 -.117v-2l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 -4a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 1.993 -.117v-6l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 2a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxBottomRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-6.333 13a1 1 0 0 0 -1 1v2l.007 .117a1 1 0 0 0 1.993 -.117v-2l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 -4a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 1.993 -.117v-6l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 2a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxCenterMiddleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 2.995 2.824l.005 .176v14a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.993 -2.802l-.007 -.198v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h14zm-6 12h-2l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h2l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm2 -3h-6l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h6l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-1 -3h-4l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h4l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxLeftBottomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-10.333 15h-2l-.117 .007a1 1 0 0 0 .117 1.993h2l.117 -.007a1 1 0 0 0 -.117 -1.993zm4 -3h-6l-.117 .007a1 1 0 0 0 .117 1.993h6l.117 -.007a1 1 0 0 0 -.117 -1.993zm-2 -3h-4l-.117 .007a1 1 0 0 0 .117 1.993h4l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxLeftMiddleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-10.333 12h-2l-.117 .007a1 1 0 0 0 .117 1.993h2l.117 -.007a1 1 0 0 0 -.117 -1.993zm4 -3h-6l-.117 .007a1 1 0 0 0 .117 1.993h6l.117 -.007a1 1 0 0 0 -.117 -1.993zm-2 -3h-4l-.117 .007a1 1 0 0 0 .117 1.993h4l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxLeftTopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-10.333 9h-2l-.117 .007a1 1 0 0 0 .117 1.993h2l.117 -.007a1 1 0 0 0 -.117 -1.993zm4 -3h-6l-.117 .007a1 1 0 0 0 .117 1.993h6l.117 -.007a1 1 0 0 0 -.117 -1.993zm-2 -3h-4l-.117 .007a1 1 0 0 0 .117 1.993h4l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxRightBottomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-.333 15h-2l-.117 .007a1 1 0 0 0 .117 1.993h2l.117 -.007a1 1 0 0 0 -.117 -1.993zm0 -3h-6l-.117 .007a1 1 0 0 0 .117 1.993h6l.117 -.007a1 1 0 0 0 -.117 -1.993zm0 -3h-4l-.117 .007a1 1 0 0 0 .117 1.993h4l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxRightMiddleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-.333 12h-2l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h2l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm0 -3h-6l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h6l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm0 -3h-4l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h4l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxRightTopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-.333 9h-2l-.117 .007a1 1 0 0 0 .117 1.993h2l.117 -.007a1 1 0 0 0 -.117 -1.993zm0 -3h-6l-.117 .007a1 1 0 0 0 .117 1.993h6l.117 -.007a1 1 0 0 0 -.117 -1.993zm0 -3h-4l-.117 .007a1 1 0 0 0 .117 1.993h4l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxTopCenterFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-6.333 3a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 1.993 -.117v-6l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm-6 0a1 1 0 0 0 -1 1v2l.007 .117a1 1 0 0 0 1.993 -.117v-2l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxTopLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-9.333 3a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 1.993 -.117v-6l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm-6 0a1 1 0 0 0 -1 1v2l.007 .117a1 1 0 0 0 1.993 -.117v-2l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxTopRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.333 3a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 1.993 -.117v-6l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm-6 0a1 1 0 0 0 -1 1v2l.007 .117a1 1 0 0 0 1.993 -.117v-2l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbAnalyzeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.99 12.862a7.1 7.1 0 0 0 12.171 3.924a1.956 1.956 0 0 1 -.156 -.637l-.005 -.149l.005 -.15a2 2 0 1 1 1.769 2.137a9.099 9.099 0 0 1 -15.764 -4.85a1 1 0 0 1 1.98 -.275z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.142 3.09a9.1 9.1 0 0 1 7.848 7.772a1 1 0 0 1 -1.98 .276a7.1 7.1 0 0 0 -6.125 -6.064a7.096 7.096 0 0 0 -6.048 2.136a2 2 0 1 1 -3.831 .939l-.006 -.149l.005 -.15a2 2 0 0 1 2.216 -1.838a9.094 9.094 0 0 1 7.921 -2.922z\"},\"child\":[]}]})(props);\n};\nexport function TbAppWindowFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-12.99 3l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993zm3 0l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbAppsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h-4a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h4a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h-4a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h4a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13h-4a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h4a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3a1 1 0 0 1 .993 .883l.007 .117v2h2a1 1 0 0 1 .117 1.993l-.117 .007h-2v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2v-2a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArchiveFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3m0 2a2 2 0 0 1 2 -2h16a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-16a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9c.513 0 .936 .463 .993 1.06l.007 .14v7.2c0 1.917 -1.249 3.484 -2.824 3.594l-.176 .006h-10c-1.598 0 -2.904 -1.499 -2.995 -3.388l-.005 -.212v-7.2c0 -.663 .448 -1.2 1 -1.2h14zm-5 2h-4l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h4l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitContentFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.707 3.293a1 1 0 0 1 .083 1.32l-.083 .094l-1.292 1.293h4.585a1 1 0 0 1 .117 1.993l-.117 .007h-4.585l1.292 1.293a1 1 0 0 1 .083 1.32l-.083 .094a1 1 0 0 1 -1.32 .083l-.094 -.083l-3 -3a1.008 1.008 0 0 1 -.097 -.112l-.071 -.11l-.054 -.114l-.035 -.105l-.025 -.118l-.007 -.058l-.004 -.09l.003 -.075l.017 -.126l.03 -.111l.044 -.111l.052 -.098l.064 -.092l.083 -.094l3 -3a1 1 0 0 1 1.414 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.613 3.21l.094 .083l3 3a.927 .927 0 0 1 .097 .112l.071 .11l.054 .114l.035 .105l.03 .148l.006 .118l-.003 .075l-.017 .126l-.03 .111l-.044 .111l-.052 .098l-.074 .104l-.073 .082l-3 3a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.292 -1.293h-4.585a1 1 0 0 1 -.117 -1.993l.117 -.007h4.585l-1.292 -1.293a1 1 0 0 1 -.083 -1.32l.083 -.094a1 1 0 0 1 1.32 -.083z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13h-12a3 3 0 0 0 -3 3v2a3 3 0 0 0 3 3h12a3 3 0 0 0 3 -3v-2a3 3 0 0 0 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.375 6.22l-4.375 3.498l-4.375 -3.5a1 1 0 0 0 -1.625 .782v6a1 1 0 0 0 .375 .78l5 4a1 1 0 0 0 1.25 0l5 -4a1 1 0 0 0 .375 -.78v-6a1 1 0 0 0 -1.625 -.78z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6h-6a1 1 0 0 0 -.78 .375l-4 5a1 1 0 0 0 0 1.25l4 5a1 1 0 0 0 .78 .375h6l.112 -.006a1 1 0 0 0 .669 -1.619l-3.501 -4.375l3.5 -4.375a1 1 0 0 0 -.78 -1.625z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6l-.112 .006a1 1 0 0 0 -.669 1.619l3.501 4.375l-3.5 4.375a1 1 0 0 0 .78 1.625h6a1 1 0 0 0 .78 -.375l4 -5a1 1 0 0 0 0 -1.25l-4 -5a1 1 0 0 0 -.78 -.375h-6z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.375 6.22l-5 4a1 1 0 0 0 -.375 .78v6l.006 .112a1 1 0 0 0 1.619 .669l4.375 -3.501l4.375 3.5a1 1 0 0 0 1.625 -.78v-6a1 1 0 0 0 -.375 -.78l-5 -4a1 1 0 0 0 -1.25 0z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigDownLineFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5l-.117 .007a1 1 0 0 0 -.883 .993v4.999l-2.586 .001a2 2 0 0 0 -1.414 3.414l6.586 6.586a2 2 0 0 0 2.828 0l6.586 -6.586a2 2 0 0 0 .434 -2.18l-.068 -.145a2 2 0 0 0 -1.78 -1.089l-2.586 -.001v-4.999a1 1 0 0 0 -1 -1h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2a1 1 0 0 1 .117 1.993l-.117 .007h-6a1 1 0 0 1 -.117 -1.993l.117 -.007h6z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigDownLinesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l-.117 .007a1 1 0 0 0 -.883 .993v1.999l-2.586 .001a2 2 0 0 0 -1.414 3.414l6.586 6.586a2 2 0 0 0 2.828 0l6.586 -6.586a2 2 0 0 0 .434 -2.18l-.068 -.145a2 2 0 0 0 -1.78 -1.089l-2.586 -.001v-1.999a1 1 0 0 0 -1 -1h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2a1 1 0 0 1 .117 1.993l-.117 .007h-6a1 1 0 0 1 -.117 -1.993l.117 -.007h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5a1 1 0 0 1 .117 1.993l-.117 .007h-6a1 1 0 0 1 -.117 -1.993l.117 -.007h6z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2l-.15 .005a2 2 0 0 0 -1.85 1.995v6.999l-2.586 .001a2 2 0 0 0 -1.414 3.414l6.586 6.586a2 2 0 0 0 2.828 0l6.586 -6.586a2 2 0 0 0 .434 -2.18l-.068 -.145a2 2 0 0 0 -1.78 -1.089l-2.586 -.001v-6.999a2 2 0 0 0 -2 -2h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigLeftLineFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.586 4l-6.586 6.586a2 2 0 0 0 0 2.828l6.586 6.586a2 2 0 0 0 2.18 .434l.145 -.068a2 2 0 0 0 1.089 -1.78v-2.586h5a1 1 0 0 0 1 -1v-6l-.007 -.117a1 1 0 0 0 -.993 -.883l-5 -.001v-2.585a2 2 0 0 0 -3.414 -1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.415 12l6.585 -6.586v3.586l.007 .117a1 1 0 0 0 .993 .883l5 -.001v4l-5 .001a1 1 0 0 0 -1 1v3.586l-6.585 -6.586z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8a1 1 0 0 1 .993 .883l.007 .117v6a1 1 0 0 1 -1.993 .117l-.007 -.117v-6a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigLeftLinesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.586 4l-6.586 6.586a2 2 0 0 0 0 2.828l6.586 6.586a2 2 0 0 0 2.18 .434l.145 -.068a2 2 0 0 0 1.089 -1.78v-2.586h2a1 1 0 0 0 1 -1v-6l-.007 -.117a1 1 0 0 0 -.993 -.883l-2 -.001v-2.585a2 2 0 0 0 -3.414 -1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8a1 1 0 0 1 .993 .883l.007 .117v6a1 1 0 0 1 -1.993 .117l-.007 -.117v-6a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8a1 1 0 0 1 .993 .883l.007 .117v6a1 1 0 0 1 -1.993 .117l-.007 -.117v-6a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.586 4l-6.586 6.586a2 2 0 0 0 0 2.828l6.586 6.586a2 2 0 0 0 2.18 .434l.145 -.068a2 2 0 0 0 1.089 -1.78v-2.586h7a2 2 0 0 0 2 -2v-4l-.005 -.15a2 2 0 0 0 -1.995 -1.85l-7 -.001v-2.585a2 2 0 0 0 -3.414 -1.414z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigRightLineFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.089 3.634a2 2 0 0 0 -1.089 1.78l-.001 2.586h-4.999a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 .993 .883l4.999 -.001l.001 2.587a2 2 0 0 0 3.414 1.414l6.586 -6.586a2 2 0 0 0 0 -2.828l-6.586 -6.586a2 2 0 0 0 -2.18 -.434l-.145 .068z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8a1 1 0 0 1 .993 .883l.007 .117v6a1 1 0 0 1 -1.993 .117l-.007 -.117v-6a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigRightLinesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.089 3.634a2 2 0 0 0 -1.089 1.78l-.001 2.585l-1.999 .001a1 1 0 0 0 -1 1v6l.007 .117a1 1 0 0 0 .993 .883l1.999 -.001l.001 2.587a2 2 0 0 0 3.414 1.414l6.586 -6.586a2 2 0 0 0 0 -2.828l-6.586 -6.586a2 2 0 0 0 -2.18 -.434l-.145 .068z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8a1 1 0 0 1 .993 .883l.007 .117v6a1 1 0 0 1 -1.993 .117l-.007 -.117v-6a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8a1 1 0 0 1 .993 .883l.007 .117v6a1 1 0 0 1 -1.993 .117l-.007 -.117v-6a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.089 3.634a2 2 0 0 0 -1.089 1.78l-.001 2.586h-6.999a2 2 0 0 0 -2 2v4l.005 .15a2 2 0 0 0 1.995 1.85l6.999 -.001l.001 2.587a2 2 0 0 0 3.414 1.414l6.586 -6.586a2 2 0 0 0 0 -2.828l-6.586 -6.586a2 2 0 0 0 -2.18 -.434l-.145 .068z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigUpLineFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.586 3l-6.586 6.586a2 2 0 0 0 -.434 2.18l.068 .145a2 2 0 0 0 1.78 1.089h2.586v5a1 1 0 0 0 1 1h6l.117 -.007a1 1 0 0 0 .883 -.993l-.001 -5h2.587a2 2 0 0 0 1.414 -3.414l-6.586 -6.586a2 2 0 0 0 -2.828 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20a1 1 0 0 1 .117 1.993l-.117 .007h-6a1 1 0 0 1 -.117 -1.993l.117 -.007h6z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigUpLinesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.586 3l-6.586 6.586a2 2 0 0 0 -.434 2.18l.068 .145a2 2 0 0 0 1.78 1.089h2.586v2a1 1 0 0 0 1 1h6l.117 -.007a1 1 0 0 0 .883 -.993l-.001 -2h2.587a2 2 0 0 0 1.414 -3.414l-6.586 -6.586a2 2 0 0 0 -2.828 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20a1 1 0 0 1 .117 1.993l-.117 .007h-6a1 1 0 0 1 -.117 -1.993l.117 -.007h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17a1 1 0 0 1 .117 1.993l-.117 .007h-6a1 1 0 0 1 -.117 -1.993l.117 -.007h6z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.586 3l-6.586 6.586a2 2 0 0 0 -.434 2.18l.068 .145a2 2 0 0 0 1.78 1.089h2.586v7a2 2 0 0 0 2 2h4l.15 -.005a2 2 0 0 0 1.85 -1.995l-.001 -7h2.587a2 2 0 0 0 1.414 -3.414l-6.586 -6.586a2 2 0 0 0 -2.828 0z\"},\"child\":[]}]})(props);\n};\nexport function TbArtboardFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h-6a2 2 0 0 0 -2 2v6a2 2 0 0 0 2 2h6a2 2 0 0 0 2 -2v-6a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArticleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h14zm-2 12h-10l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h10l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm0 -4h-10l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h10l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm0 -4h-10l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h10l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbAspectRatioFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-14a3 3 0 0 0 -3 3v10a3 3 0 0 0 3 3h14a3 3 0 0 0 3 -3v-10a3 3 0 0 0 -3 -3zm-10 3a1 1 0 0 1 .117 1.993l-.117 .007h-2v2a1 1 0 0 1 -.883 .993l-.117 .007a1 1 0 0 1 -.993 -.883l-.007 -.117v-3a1 1 0 0 1 .883 -.993l.117 -.007h3zm9 5a1 1 0 0 1 .993 .883l.007 .117v3a1 1 0 0 1 -.883 .993l-.117 .007h-3a1 1 0 0 1 -.117 -1.993l.117 -.007h2v-2a1 1 0 0 1 .883 -.993l.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbAssemblyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98q .1 .06 .18 .133l.009 .008l.106 .075a3.22 3.22 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808l6.775 -3.995a3.34 3.34 0 0 1 3.24 .015m-.64 5.343a2.03 2.03 0 0 0 -2 -.014l-3.023 1.804a1.99 1.99 0 0 0 -1.002 1.736v3.278a2 2 0 0 0 1.03 1.75l2.946 1.89c.657 .367 1.39 .367 1.994 .033l3.054 -1.955c.582 -.322 .976 -.992 .976 -1.719v-3.277l-.005 -.164a2 2 0 0 0 -.725 -1.391l-.092 -.07l-.056 -.047a1 1 0 0 0 -.096 -.064z\"},\"child\":[]}]})(props);\n};\nexport function TbAssetFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 2.86 3.91l-.107 .291l-.046 .093q -.061 .128 -.134 .25l-6.476 11.909a1 1 0 0 1 -.066 .104a7 7 0 0 1 -13.031 -3.557l.004 -.24a7 7 0 0 1 3.342 -5.732l.256 -.15l11.705 -6.355q .18 -.123 .378 -.22l.215 -.096l.136 -.048c.302 -.103 .627 -.159 .964 -.159m-10 10a3 3 0 0 0 -2.995 2.824l-.005 .176a3 3 0 1 0 3 -3m7.04 -6.512l-5.12 2.778a7.01 7.01 0 0 1 4.816 4.824l2.788 -5.128a3 3 0 0 1 -2.485 -2.474m2.961 -1.488a1 1 0 0 0 -.317 .051l-.31 .17a1 1 0 1 0 1.465 1.325l.072 -.13a1 1 0 0 0 -.91 -1.416\"},\"child\":[]}]})(props);\n};\nexport function TbAtom2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.89 12.006a1 1 0 0 1 1.104 .884a8 8 0 0 0 4.444 6.311a1 1 0 1 1 -.876 1.799a10 10 0 0 1 -5.556 -7.89a1 1 0 0 1 .884 -1.103z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.993 12l.117 .006a1 1 0 0 1 .884 1.104a10 10 0 0 1 -5.556 7.889a1 1 0 1 1 -.876 -1.798a8 8 0 0 0 4.444 -6.31a1 1 0 0 1 .987 -.891z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.567 4.226a10 10 0 0 1 12.666 0a1 1 0 1 1 -1.266 1.548a8 8 0 0 0 -10.134 0a1 1 0 1 1 -1.266 -1.548z\"},\"child\":[]}]})(props);\n};\nexport function TbAwardFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.496 13.983l1.966 3.406a1.001 1.001 0 0 1 -.705 1.488l-.113 .011l-.112 -.001l-2.933 -.19l-1.303 2.636a1.001 1.001 0 0 1 -1.608 .26l-.082 -.094l-.072 -.11l-1.968 -3.407a8.994 8.994 0 0 0 6.93 -3.999z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.43 17.982l-1.966 3.408a1.001 1.001 0 0 1 -1.622 .157l-.076 -.1l-.064 -.114l-1.304 -2.635l-2.931 .19a1.001 1.001 0 0 1 -1.022 -1.29l.04 -.107l.05 -.1l1.968 -3.409a8.994 8.994 0 0 0 6.927 4.001z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.24 .004a7 7 0 0 1 6.76 6.996l-.003 .193l-.007 .192l-.018 .245l-.026 .242l-.024 .178a6.985 6.985 0 0 1 -.317 1.268l-.116 .308l-.153 .348a7.001 7.001 0 0 1 -12.688 -.028l-.13 -.297l-.052 -.133l-.08 -.217l-.095 -.294a6.96 6.96 0 0 1 -.093 -.344l-.06 -.271l-.049 -.271l-.02 -.139l-.039 -.323l-.024 -.365l-.006 -.292a7 7 0 0 1 6.76 -6.996l.24 -.004z\"},\"child\":[]}]})(props);\n};\nexport function TbBabyCarriageFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2a6.5 6.5 0 0 1 6.49 6.858a1.04 1.04 0 0 1 -.04 .456a6.51 6.51 0 0 1 -3.757 5.103l.532 1.595q .135 -.012 .275 -.012a3 3 0 1 1 -3 3l.005 -.176a3 3 0 0 1 .894 -1.966l-.634 -1.903q -.377 .045 -.765 .045h-2.675q -.547 0 -1.076 -.083l-.648 1.941a3 3 0 1 1 -5.101 2.142l.004 -.176a3 3 0 0 1 3.27 -2.812l.56 -1.682a7 7 0 0 1 -3.652 -4.117l-1.402 -4.213h-1.78a1 1 0 0 1 -.993 -.883l-.007 -.117a1 1 0 0 1 1 -1h2.5a1 1 0 0 1 .949 .684l1.104 3.316h6.447v-5a1 1 0 0 1 1 -1zm-6.5 16a1 1 0 1 0 0 2a1 1 0 0 0 0 -2m10 0a1 1 0 1 0 0 2a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBackspaceFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5a2 2 0 0 1 1.995 1.85l.005 .15v10a2 2 0 0 1 -1.85 1.995l-.15 .005h-11a1 1 0 0 1 -.608 -.206l-.1 -.087l-5.037 -5.04c-.809 -.904 -.847 -2.25 -.083 -3.23l.12 -.144l5 -5a1 1 0 0 1 .577 -.284l.131 -.009h11zm-7.489 4.14a1 1 0 0 0 -1.301 1.473l.083 .094l1.292 1.293l-1.292 1.293l-.083 .094a1 1 0 0 0 1.403 1.403l.094 -.083l1.293 -1.292l1.293 1.292l.094 .083a1 1 0 0 0 1.403 -1.403l-.083 -.094l-1.292 -1.293l1.292 -1.293l.083 -.094a1 1 0 0 0 -1.403 -1.403l-.094 .083l-1.293 1.292l-1.293 -1.292l-.094 -.083l-.102 -.07z\"},\"child\":[]}]})(props);\n};\nexport function TbBadge3DFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-10.5 4h-1.5a1 1 0 1 0 0 2h1.5a.5 .5 0 0 1 .09 .992l-.09 .008h-.5c-1.287 0 -1.332 1.864 -.133 1.993l.133 .007h.5a.5 .5 0 1 1 0 1h-1.5a1 1 0 0 0 0 2h1.5a2.5 2.5 0 0 0 2.5 -2.5l-.005 -.164a2.5 2.5 0 0 0 -.477 -1.312l-.019 -.024l.019 -.024a2.5 2.5 0 0 0 -2.018 -3.976m6.5 0h-1a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h1a3 3 0 0 0 3 -3v-2a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v2a1 1 0 0 1 -.883 .993l-.117 .007z\"},\"child\":[]}]})(props);\n};\nexport function TbBadge4KFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-9 4a1 1 0 0 0 -1 1v2h-1v-2a1 1 0 1 0 -2 0v2a2 2 0 0 0 2 2h1v2a1 1 0 0 0 2 0v-6a1 1 0 0 0 -1 -1m7.555 .168a1 1 0 0 0 -1.387 .277l-1.168 1.751v-1.196a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v6a1 1 0 0 0 2 0v-1.196l1.168 1.75a1 1 0 0 0 1.286 .337l.1 -.059l.094 -.07a1 1 0 0 0 .184 -1.317l-1.63 -2.445l1.63 -2.445a1 1 0 0 0 -.277 -1.387\"},\"child\":[]}]})(props);\n};\nexport function TbBadge8KFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-1.445 4.168a1 1 0 0 0 -1.387 .277l-1.168 1.751v-1.196a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v6a1 1 0 0 0 2 0v-1.196l1.168 1.75a1 1 0 0 0 1.286 .337l.1 -.059l.094 -.07a1 1 0 0 0 .184 -1.317l-1.63 -2.445l1.63 -2.445a1 1 0 0 0 -.277 -1.387m-8.555 -.168h-1a2 2 0 0 0 -2 2v1l.005 .15c.022 .295 .108 .573 .245 .819l.019 .031l-.02 .031a2 2 0 0 0 -.249 .969v1a2 2 0 0 0 2 2h1a2 2 0 0 0 2 -2v-1l-.005 -.15a2 2 0 0 0 -.245 -.819l-.019 -.031l.02 -.031c.158 -.287 .249 -.618 .249 -.969v-1a2 2 0 0 0 -2 -2m0 5v1h-1v-1zm0 -3v1h-1v-1z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeAdFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-4 4h-1a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h1a3 3 0 0 0 3 -3v-2a3 3 0 0 0 -3 -3m-6.5 0a2.5 2.5 0 0 0 -2.5 2.5v4.5a1 1 0 0 0 2 0v-1h1v1a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-4.5a2.5 2.5 0 0 0 -2.5 -2.5m6.5 2a1 1 0 0 1 1 1v2a1 1 0 0 1 -.883 .993l-.117 .007zm-6.5 0a.5 .5 0 0 1 .5 .5v1.5h-1v-1.5a.5 .5 0 0 1 .41 -.492z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeArFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-10.5 4a2.5 2.5 0 0 0 -2.5 2.5v4.5a1 1 0 0 0 2 0v-1h1v1a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-4.5a2.5 2.5 0 0 0 -2.5 -2.5m7 0h-1.5a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-1.196l1.168 1.75a1 1 0 0 0 1.387 .278l.093 -.07a1 1 0 0 0 .184 -1.317l-1.159 -1.738l.044 -.023a2.5 2.5 0 0 0 -1.217 -4.684m-7 2a.5 .5 0 0 1 .5 .5v1.5h-1v-1.5a.5 .5 0 0 1 .41 -.492zm7 0a.5 .5 0 1 1 0 1h-.5v-1z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeCcFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-10.5 4a2.5 2.5 0 0 0 -2.5 2.5v3a2.5 2.5 0 1 0 5 0a1 1 0 0 0 -2 0a.5 .5 0 1 1 -1 0v-3a.5 .5 0 1 1 1 0a1 1 0 0 0 2 0a2.5 2.5 0 0 0 -2.5 -2.5m7 0a2.5 2.5 0 0 0 -2.5 2.5v3a2.5 2.5 0 1 0 5 0a1 1 0 0 0 -2 0a.5 .5 0 1 1 -1 0v-3a.5 .5 0 1 1 1 0a1 1 0 0 0 2 0a2.5 2.5 0 0 0 -2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeHdFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-4 4h-1a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h1a3 3 0 0 0 3 -3v-2a3 3 0 0 0 -3 -3m-5 0a1 1 0 0 0 -1 1v2h-1v-2a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v6a1 1 0 0 0 2 0v-2h1v2a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1m5 2a1 1 0 0 1 1 1v2a1 1 0 0 1 -.883 .993l-.117 .007z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeSdFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-4 4h-1a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h1a3 3 0 0 0 3 -3v-2a3 3 0 0 0 -3 -3m-5.75 0h-1.25a2 2 0 0 0 -2 2v1a2 2 0 0 0 2 2h1v1h-1.033l-.025 -.087a1 1 0 0 0 -1.942 .337c0 .966 .784 1.75 1.75 1.75h1.25a2 2 0 0 0 2 -2v-1a2 2 0 0 0 -2 -2h-1v-1h1.032l.026 .087a1 1 0 0 0 1.942 -.337a1.75 1.75 0 0 0 -1.75 -1.75m5.75 2a1 1 0 0 1 1 1v2a1 1 0 0 1 -.883 .993l-.117 .007z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeTmFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-9 4h-4a1 1 0 1 0 0 2h1v5a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-5h1a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1m8 1c0 -.99 -1.283 -1.378 -1.832 -.555l-1.168 1.752l-1.168 -1.752c-.549 -.823 -1.832 -.434 -1.832 .555v6a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-2.697l.168 .252l.08 .104a1 1 0 0 0 1.584 -.104l.168 -.253v2.698a1 1 0 0 0 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeVoFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-3.5 4a2.5 2.5 0 0 0 -2.5 2.5v3a2.5 2.5 0 1 0 5 0v-3a2.5 2.5 0 0 0 -2.5 -2.5m-4.184 .051a1 1 0 0 0 -1.265 .633l-1.051 3.154l-1.051 -3.154a1 1 0 0 0 -1.898 .632l2 6c.304 .912 1.594 .912 1.898 0l2 -6a1 1 0 0 0 -.633 -1.265m4.184 1.949a.5 .5 0 0 1 .5 .5v3a.5 .5 0 1 1 -1 0v-3a.5 .5 0 0 1 .5 -.5\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeVrFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-3.5 4h-1.5a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-1.196l1.168 1.75a1 1 0 0 0 1.387 .278l.093 -.07a1 1 0 0 0 .184 -1.317l-1.159 -1.738l.044 -.023a2.5 2.5 0 0 0 -1.217 -4.684m-4.184 .051a1 1 0 0 0 -1.265 .633l-1.051 3.154l-1.051 -3.154a1 1 0 0 0 -1.898 .632l2 6c.304 .912 1.594 .912 1.898 0l2 -6a1 1 0 0 0 -.633 -1.265m4.184 1.949a.5 .5 0 1 1 0 1h-.5v-1z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeWcFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-7.534 4a1 1 0 0 0 -.963 .917l-.204 2.445l-.405 -.81l-.063 -.11a1 1 0 0 0 -1.725 .11l-.406 .81l-.203 -2.445a1 1 0 0 0 -.963 -.917l-.117 .003a1 1 0 0 0 -.914 1.08l.5 6l.016 .117c.175 .91 1.441 1.115 1.875 .247l1.106 -2.211l1.106 2.211c.452 .904 1.807 .643 1.89 -.364l.5 -6a1 1 0 0 0 -.913 -1.08zm4.034 0a2.5 2.5 0 0 0 -2.5 2.5v3a2.5 2.5 0 1 0 5 0a1 1 0 0 0 -2 0a.5 .5 0 1 1 -1 0v-3a.5 .5 0 1 1 1 0a1 1 0 0 0 2 0a2.5 2.5 0 0 0 -2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.486 3.143l-4.486 2.69l-4.486 -2.69a1 1 0 0 0 -1.514 .857v13a1 1 0 0 0 .486 .857l5 3a1 1 0 0 0 1.028 0l5 -3a1 1 0 0 0 .486 -.857v-13a1 1 0 0 0 -1.514 -.857z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.486 12.143l-4.486 2.69l-4.486 -2.69a1 1 0 0 0 -1.514 .857v4a1 1 0 0 0 .486 .857l5 3a1 1 0 0 0 1.028 0l5 -3a1 1 0 0 0 .486 -.857v-4a1 1 0 0 0 -1.514 -.857z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.486 3.143l-4.486 2.69l-4.486 -2.69a1 1 0 0 0 -1.514 .857v4a1 1 0 0 0 .486 .857l5 3a1 1 0 0 0 1.028 0l5 -3a1 1 0 0 0 .486 -.857v-4a1 1 0 0 0 -1.514 -.857z\"},\"child\":[]}]})(props);\n};\nexport function TbBalloonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1a7 7 0 0 1 7 7c0 5.457 -3.028 10 -7 10c-3.9 0 -6.89 -4.379 -6.997 -9.703l-.003 -.297l.004 -.24a7 7 0 0 1 6.996 -6.76zm0 4a1 1 0 0 0 0 2l.117 .007a1 1 0 0 1 .883 .993l.007 .117a1 1 0 0 0 1.993 -.117a3 3 0 0 0 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16a1 1 0 0 1 .993 .883l.007 .117v1a3 3 0 0 1 -2.824 2.995l-.176 .005h-3a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 1 -2 0a3 3 0 0 1 2.824 -2.995l.176 -.005h3a1 1 0 0 0 .993 -.883l.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBallpenFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.828 2a3 3 0 0 1 1.977 .743l.145 .136l1.171 1.17a3 3 0 0 1 .136 4.1l-.136 .144l-1.706 1.707l2.292 2.293a1 1 0 0 1 .083 1.32l-.083 .094l-4 4a1 1 0 0 1 -1.497 -1.32l.083 -.094l3.292 -3.293l-1.586 -1.585l-7.464 7.464a3.828 3.828 0 0 1 -2.474 1.114l-.233 .008c-.674 0 -1.33 -.178 -1.905 -.508l-1.216 1.214a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.214 -1.216a3.828 3.828 0 0 1 .454 -4.442l.16 -.17l10.586 -10.586a3 3 0 0 1 1.923 -.873l.198 -.006zm0 2a1 1 0 0 0 -.608 .206l-.099 .087l-1.707 1.707l2.586 2.585l1.707 -1.706a1 1 0 0 0 .284 -.576l.01 -.131a1 1 0 0 0 -.207 -.609l-.087 -.099l-1.171 -1.171a1 1 0 0 0 -.708 -.293z\"},\"child\":[]}]})(props);\n};\nexport function TbBandageFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.207 3.793a5.95 5.95 0 0 1 .179 8.228l-.179 .186l-8 8a5.95 5.95 0 0 1 -8.593 -8.228l.179 -.186l8 -8a5.95 5.95 0 0 1 8.414 0zm-8.207 9.207a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm2 -2a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm-4 0a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm2 -2a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbBarbellFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a1 1 0 0 1 1 1v8a1 1 0 0 1 -2 0v-3h-1a1 1 0 0 1 0 -2h1v-3a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7a1 1 0 0 1 1 1v3h1a1 1 0 0 1 0 2h-1v3a1 1 0 0 1 -2 0v-8a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5a2 2 0 0 1 2 2v10a2 2 0 1 1 -4 0v-4h-4v4a2 2 0 1 1 -4 0v-10a2 2 0 1 1 4 0v4h4v-4a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBarrierBlockFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21a1 1 0 0 1 0 -2h1v-2h-8v2h1a1 1 0 0 1 0 2h-4a1 1 0 0 1 0 -2h1v-2h-1a2 2 0 0 1 -2 -2v-7a2 2 0 0 1 2 -2h1v-1a1 1 0 1 1 2 0v1h8v-1a1 1 0 0 1 2 0v1h1a2 2 0 0 1 2 2v7a2 2 0 0 1 -2 2h-1v2h1a1 1 0 0 1 0 2zm-2.086 -13l-7 7h4.17l6.916 -7zm6.086 2.914l-4.086 4.086h4.086zm-10.916 -2.914h-3.084v3.084z\"},\"child\":[]}]})(props);\n};\nexport function TbBasketFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.949 3.684l1.104 3.316h1.947a3 3 0 0 1 2.962 3.477l-1.252 7.131a4 4 0 0 1 -3.954 3.392h-9.512a3.994 3.994 0 0 1 -3.95 -3.371l-1.258 -7.173a3 3 0 0 1 2.964 -3.456h1.945l1.105 -3.316a1 1 0 0 1 1.898 .632l-.895 2.684h5.893l-.895 -2.684a1 1 0 1 1 1.898 -.632m-3.949 7.316a3 3 0 0 0 -2.995 2.824l-.005 .176a3 3 0 1 0 3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBathFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 2a1 1 0 0 1 .993 .883l.007 .117v2.25a1 1 0 0 1 -1.993 .117l-.007 -.117v-1.25h-2a1 1 0 0 0 -.993 .883l-.007 .117v6h13a2 2 0 0 1 1.995 1.85l.005 .15v3c0 1.475 -.638 2.8 -1.654 3.715l.486 .73a1 1 0 0 1 -1.594 1.203l-.07 -.093l-.55 -.823a4.98 4.98 0 0 1 -1.337 .26l-.281 .008h-10a4.994 4.994 0 0 1 -1.619 -.268l-.549 .823a1 1 0 0 1 -1.723 -1.009l.059 -.1l.486 -.73a4.987 4.987 0 0 1 -1.647 -3.457l-.007 -.259v-3a2 2 0 0 1 1.85 -1.995l.15 -.005h1v-6a3 3 0 0 1 2.824 -2.995l.176 -.005h3z\"},\"child\":[]}]})(props);\n};\nexport function TbBattery1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6a3 3 0 0 1 2.995 2.824l.005 .176v.086l.052 .019a1.5 1.5 0 0 1 .941 1.25l.007 .145v3a1.5 1.5 0 0 1 -.948 1.395l-.052 .018v.087a3 3 0 0 1 -2.824 2.995l-.176 .005h-11a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6a3 3 0 0 1 2.824 -2.995l.176 -.005h11zm-10 3a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbBattery2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6a3 3 0 0 1 2.995 2.824l.005 .176v.086l.052 .019a1.5 1.5 0 0 1 .941 1.25l.007 .145v3a1.5 1.5 0 0 1 -.948 1.395l-.052 .018v.087a3 3 0 0 1 -2.824 2.995l-.176 .005h-11a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6a3 3 0 0 1 2.824 -2.995l.176 -.005h11zm-10 3a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbBattery3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6a3 3 0 0 1 2.995 2.824l.005 .176v.086l.052 .019a1.5 1.5 0 0 1 .941 1.25l.007 .145v3a1.5 1.5 0 0 1 -.948 1.395l-.052 .018v.087a3 3 0 0 1 -2.824 2.995l-.176 .005h-11a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6a3 3 0 0 1 2.824 -2.995l.176 -.005h11zm-10 3a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbBattery4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6a3 3 0 0 1 2.995 2.824l.005 .176v.086l.052 .019a1.5 1.5 0 0 1 .941 1.25l.007 .145v3a1.5 1.5 0 0 1 -.948 1.395l-.052 .018v.087a3 3 0 0 1 -2.824 2.995l-.176 .005h-11a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6a3 3 0 0 1 2.824 -2.995l.176 -.005h11zm-10 3a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbBatteryFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6a3 3 0 0 1 2.995 2.824l.005 .176v.086l.052 .019a1.5 1.5 0 0 1 .941 1.25l.007 .145v3a1.5 1.5 0 0 1 -.948 1.395l-.052 .018v.087a3 3 0 0 1 -2.824 2.995l-.176 .005h-11a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6a3 3 0 0 1 2.824 -2.995l.176 -.005h11z\"},\"child\":[]}]})(props);\n};\nexport function TbBedFlatFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8a3 3 0 1 1 -3 3l.005 -.176a3 3 0 0 1 2.995 -2.824\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7a4 4 0 0 1 4 4v2a1 1 0 0 1 -1 1h-11a1 1 0 0 1 -1 -1v-5a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15a1 1 0 0 1 0 2h-18a1 1 0 0 1 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a1 1 0 0 1 .993 .883l.007 .117v6h6v-5a1 1 0 0 1 .883 -.993l.117 -.007h8a3 3 0 0 1 2.995 2.824l.005 .176v8a1 1 0 0 1 -1.993 .117l-.007 -.117v-3h-16v3a1 1 0 0 1 -1.993 .117l-.007 -.117v-11a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8a2 2 0 1 1 -1.995 2.15l-.005 -.15l.005 -.15a2 2 0 0 1 1.995 -1.85z\"},\"child\":[]}]})(props);\n};\nexport function TbBeerFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2a2 2 0 0 1 1.995 1.85l.005 .15v4c0 1.335 -.229 2.386 -.774 3.692l-.157 .363l-.31 .701a8.902 8.902 0 0 0 -.751 3.242l-.008 .377v3.625a2 2 0 0 1 -1.85 1.995l-.15 .005h-6a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-3.625c0 -1.132 -.21 -2.25 -.617 -3.28l-.142 -.34l-.31 -.699c-.604 -1.358 -.883 -2.41 -.925 -3.698l-.006 -.358v-4a2 2 0 0 1 1.85 -1.995l.15 -.005h10zm0 2h-10v3h10v-3z\"},\"child\":[]}]})(props);\n};\nexport function TbBellMinusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.235 19c.865 0 1.322 1.024 .745 1.668a3.992 3.992 0 0 1 -2.98 1.332a3.992 3.992 0 0 1 -2.98 -1.332c-.552 -.616 -.158 -1.579 .634 -1.661l.11 -.006h4.471z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c1.358 0 2.506 .903 2.875 2.141l.046 .171l.008 .043a8.013 8.013 0 0 1 4.024 6.069l.028 .287l.019 .289v2.931l.021 .136a3 3 0 0 0 1.143 1.847l.167 .117l.162 .099c.86 .487 .56 1.766 -.377 1.864l-.116 .006h-16c-1.028 0 -1.387 -1.364 -.493 -1.87a3 3 0 0 0 1.472 -2.063l.021 -.143l.001 -2.97a8 8 0 0 1 3.821 -6.454l.248 -.146l.01 -.043a3.003 3.003 0 0 1 2.562 -2.29l.182 -.017l.176 -.004zm2 8h-4l-.117 .007a1 1 0 0 0 .117 1.993h4l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbBellPlusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.235 19c.865 0 1.322 1.024 .745 1.668a3.992 3.992 0 0 1 -2.98 1.332a3.992 3.992 0 0 1 -2.98 -1.332c-.552 -.616 -.158 -1.579 .634 -1.661l.11 -.006h4.471z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c1.358 0 2.506 .903 2.875 2.141l.046 .171l.008 .043a8.013 8.013 0 0 1 4.024 6.069l.028 .287l.019 .289v2.931l.021 .136a3 3 0 0 0 1.143 1.847l.167 .117l.162 .099c.86 .487 .56 1.766 -.377 1.864l-.116 .006h-16c-1.028 0 -1.387 -1.364 -.493 -1.87a3 3 0 0 0 1.472 -2.063l.021 -.143l.001 -2.97a8 8 0 0 1 3.821 -6.454l.248 -.146l.01 -.043a3.003 3.003 0 0 1 2.562 -2.29l.182 -.017l.176 -.004zm0 6a1 1 0 0 0 -1 1v1h-1l-.117 .007a1 1 0 0 0 .117 1.993h1v1l.007 .117a1 1 0 0 0 1.993 -.117v-1h1l.117 -.007a1 1 0 0 0 -.117 -1.993h-1v-1l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbBellRinging2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.63 17.531c.612 .611 .211 1.658 -.652 1.706a3.992 3.992 0 0 1 -3.05 -1.166a3.992 3.992 0 0 1 -1.165 -3.049c.046 -.826 1.005 -1.228 1.624 -.726l.082 .074l3.161 3.16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.071 3.929c.96 .96 1.134 2.41 .52 3.547l-.09 .153l-.024 .036a8.013 8.013 0 0 1 -1.446 7.137l-.183 .223l-.191 .218l-2.073 2.072l-.08 .112a3 3 0 0 0 -.499 2.113l.035 .201l.045 .185c.264 .952 -.853 1.645 -1.585 1.051l-.086 -.078l-11.313 -11.313c-.727 -.727 -.017 -1.945 .973 -1.671a3 3 0 0 0 2.5 -.418l.116 -.086l2.101 -2.1a8 8 0 0 1 7.265 -1.86l.278 .071l.037 -.023a3.003 3.003 0 0 1 3.432 .192l.14 .117l.128 .12z\"},\"child\":[]}]})(props);\n};\nexport function TbBellRingingFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.451 2.344a1 1 0 0 1 1.41 -.099a12.05 12.05 0 0 1 3.048 4.064a1 1 0 1 1 -1.818 .836a10.05 10.05 0 0 0 -2.54 -3.39a1 1 0 0 1 -.1 -1.41z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.136 2.245a1 1 0 0 1 1.312 1.51a10.05 10.05 0 0 0 -2.54 3.39a1 1 0 1 1 -1.817 -.835a12.05 12.05 0 0 1 3.045 -4.065z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.235 19c.865 0 1.322 1.024 .745 1.668a3.992 3.992 0 0 1 -2.98 1.332a3.992 3.992 0 0 1 -2.98 -1.332c-.552 -.616 -.158 -1.579 .634 -1.661l.11 -.006h4.471z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c1.358 0 2.506 .903 2.875 2.141l.046 .171l.008 .043a8.013 8.013 0 0 1 4.024 6.069l.028 .287l.019 .289v2.931l.021 .136a3 3 0 0 0 1.143 1.847l.167 .117l.162 .099c.86 .487 .56 1.766 -.377 1.864l-.116 .006h-16c-1.028 0 -1.387 -1.364 -.493 -1.87a3 3 0 0 0 1.472 -2.063l.021 -.143l.001 -2.97a8 8 0 0 1 3.821 -6.454l.248 -.146l.01 -.043a3.003 3.003 0 0 1 2.562 -2.29l.182 -.017l.176 -.004z\"},\"child\":[]}]})(props);\n};\nexport function TbBellXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.235 19c.865 0 1.322 1.024 .745 1.668a3.992 3.992 0 0 1 -2.98 1.332a3.992 3.992 0 0 1 -2.98 -1.332c-.552 -.616 -.158 -1.579 .634 -1.661l.11 -.006h4.471z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c1.358 0 2.506 .903 2.875 2.141l.046 .171l.008 .043a8.013 8.013 0 0 1 4.024 6.069l.028 .287l.019 .289v2.931l.021 .136a3 3 0 0 0 1.143 1.847l.167 .117l.162 .099c.86 .487 .56 1.766 -.377 1.864l-.116 .006h-16c-1.028 0 -1.387 -1.364 -.493 -1.87a3 3 0 0 0 1.472 -2.063l.021 -.143l.001 -2.97a8 8 0 0 1 3.821 -6.454l.248 -.146l.01 -.043a3.003 3.003 0 0 1 2.562 -2.29l.182 -.017l.176 -.004zm-1.489 6.14a1 1 0 0 0 -1.218 1.567l1.292 1.293l-1.292 1.293l-.083 .094a1 1 0 0 0 1.497 1.32l1.293 -1.292l1.293 1.292l.094 .083a1 1 0 0 0 1.32 -1.497l-1.292 -1.293l1.292 -1.293l.083 -.094a1 1 0 0 0 -1.497 -1.32l-1.293 1.292l-1.293 -1.292l-.094 -.083z\"},\"child\":[]}]})(props);\n};\nexport function TbBellZFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.235 19c.865 0 1.322 1.024 .745 1.668a3.992 3.992 0 0 1 -2.98 1.332a3.992 3.992 0 0 1 -2.98 -1.332c-.552 -.616 -.158 -1.579 .634 -1.661l.11 -.006h4.471z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c1.358 0 2.506 .903 2.875 2.141l.046 .171l.008 .043a8.013 8.013 0 0 1 4.024 6.069l.028 .287l.019 .289v2.931l.021 .136a3 3 0 0 0 1.143 1.847l.167 .117l.162 .099c.86 .487 .56 1.766 -.377 1.864l-.116 .006h-16c-1.028 0 -1.387 -1.364 -.493 -1.87a3 3 0 0 0 1.472 -2.063l.021 -.143l.001 -2.97a8 8 0 0 1 3.821 -6.454l.248 -.146l.01 -.043a3.003 3.003 0 0 1 2.562 -2.29l.182 -.017l.176 -.004zm2 6h-4l-.117 .007a1 1 0 0 0 -.883 .993l.007 .117a1 1 0 0 0 .993 .883h1.584l-2.291 2.293l-.076 .084c-.514 .637 -.07 1.623 .783 1.623h4l.117 -.007a1 1 0 0 0 .883 -.993l-.007 -.117a1 1 0 0 0 -.993 -.883h-1.586l2.293 -2.293l.076 -.084c.514 -.637 .07 -1.623 -.783 -1.623z\"},\"child\":[]}]})(props);\n};\nexport function TbBellFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.235 19c.865 0 1.322 1.024 .745 1.668a3.992 3.992 0 0 1 -2.98 1.332a3.992 3.992 0 0 1 -2.98 -1.332c-.552 -.616 -.158 -1.579 .634 -1.661l.11 -.006h4.471z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c1.358 0 2.506 .903 2.875 2.141l.046 .171l.008 .043a8.013 8.013 0 0 1 4.024 6.069l.028 .287l.019 .289v2.931l.021 .136a3 3 0 0 0 1.143 1.847l.167 .117l.162 .099c.86 .487 .56 1.766 -.377 1.864l-.116 .006h-16c-1.028 0 -1.387 -1.364 -.493 -1.87a3 3 0 0 0 1.472 -2.063l.021 -.143l.001 -2.97a8 8 0 0 1 3.821 -6.454l.248 -.146l.01 -.043a3.003 3.003 0 0 1 2.562 -2.29l.182 -.017l.176 -.004z\"},\"child\":[]}]})(props);\n};\nexport function TbBiohazardFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.41 2.072a6.25 6.25 0 0 1 1.514 6.387l-.051 .137l.223 .044q .325 .072 .645 .18l.318 .117l.25 .105c2.155 .97 3.572 3.067 3.681 5.483v.217a1.5 1.5 0 1 1 -3 -.003l.002 -.145a3.25 3.25 0 0 0 -4.412 -2.886l-.091 .037l.004 .038l.007 .217a3.5 3.5 0 0 1 -1.817 3.07l-.16 .082l.014 .11c.082 .511 .285 .997 .595 1.416l.14 .175a3.25 3.25 0 0 0 2.27 1.136l.203 .006a1.5 1.5 0 0 1 0 3a6.25 6.25 0 0 1 -4.575 -1.991l-.177 -.199l-.078 .092a6.3 6.3 0 0 1 -3.921 2.054l-.273 .028l-.259 .016h-.217a1.5 1.5 0 1 1 .003 -3l.145 .002a3.25 3.25 0 0 0 3.074 -2.82l.003 -.03l-.161 -.083a3.5 3.5 0 0 1 -1.804 -2.883l-.005 -.195l.006 -.191l.003 -.043l-.075 -.032a3.25 3.25 0 0 0 -2.398 .008l-.191 .084a3.25 3.25 0 0 0 -1.85 2.933a1.5 1.5 0 0 1 -3 0a6.25 6.25 0 0 1 5.036 -6.13l.077 -.014l-.05 -.143l-.08 -.26l-.066 -.25a6.27 6.27 0 0 1 1.47 -5.678l.163 -.172a1.5 1.5 0 1 1 2.171 2.07l-.137 .143a3.25 3.25 0 0 0 .386 4.723l.084 .062l.05 -.034a3.5 3.5 0 0 1 1.673 -.555l.228 -.007c.683 0 1.336 .197 1.894 .556l.048 .033l.067 -.048a3.25 3.25 0 0 0 1.111 -1.669l.05 -.2a3.25 3.25 0 0 0 -.74 -2.828l-.141 -.15a1.5 1.5 0 1 1 2.12 -2.122\"},\"child\":[]}]})(props);\n};\nexport function TbBladeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.586 3a2 2 0 0 1 2.828 0l.586 .585l.586 -.585a2 2 0 0 1 2.7 -.117l.128 .117l2.586 2.586a2 2 0 0 1 0 2.828l-.586 .586l.586 .586a2 2 0 0 1 0 2.828l-8.586 8.586a2 2 0 0 1 -2.828 0l-.586 -.586l-.586 .586a2 2 0 0 1 -2.828 0l-2.586 -2.586a2 2 0 0 1 0 -2.828l.585 -.587l-.585 -.585a2 2 0 0 1 -.117 -2.7l.117 -.129zm3.027 4.21a1 1 0 0 0 -1.32 1.497l.292 .293l-1.068 1.067a2.003 2.003 0 0 0 -2.512 1.784l-.005 .149l.005 .15c.01 .125 .03 .248 .062 .367l-1.067 1.068l-.293 -.292l-.094 -.083a1 1 0 0 0 -1.32 1.497l.292 .293l-.292 .293l-.083 .094a1 1 0 0 0 1.497 1.32l.293 -.292l.293 .292l.094 .083a1 1 0 0 0 1.32 -1.497l-.292 -.293l1.069 -1.067a2.003 2.003 0 0 0 2.449 -2.45l1.067 -1.068l.293 .292l.094 .083a1 1 0 0 0 1.32 -1.497l-.292 -.293l.292 -.293l.083 -.094a1 1 0 0 0 -1.497 -1.32l-.293 .292l-.293 -.292z\"},\"child\":[]}]})(props);\n};\nexport function TbBlobFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c2.78 0 5.349 1.556 7.243 4.083c1.727 2.305 2.757 5.257 2.757 8.015c0 1.47 -.293 2.717 -.903 3.745c-.602 1.014 -1.479 1.758 -2.582 2.256c-1.593 .719 -3.333 .901 -6.515 .901s-4.922 -.182 -6.515 -.9c-1.103 -.499 -1.98 -1.243 -2.582 -2.257c-.61 -1.028 -.903 -2.274 -.903 -3.745c0 -2.758 1.03 -5.71 2.757 -8.015c1.894 -2.527 4.463 -4.083 7.243 -4.083\"},\"child\":[]}]})(props);\n};\nexport function TbBombFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.499 3.996a2.2 2.2 0 0 1 1.556 .645l3.302 3.301a2.2 2.2 0 0 1 0 3.113l-.567 .567l.043 .192a8.5 8.5 0 0 1 -3.732 8.83l-.23 .144a8.5 8.5 0 1 1 -2.687 -15.623l.192 .042l.567 -.566a2.2 2.2 0 0 1 1.362 -.636zm-4.499 5.004a4 4 0 0 0 -4 4a1 1 0 0 0 2 0a2 2 0 0 1 2 -2a1 1 0 0 0 0 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 2a1 1 0 0 1 .117 1.993l-.117 .007h-1c0 .83 -.302 1.629 -.846 2.25l-.154 .163l-1.293 1.293a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.293 -1.292c.232 -.232 .375 -.537 .407 -.86l.007 -.14a2 2 0 0 1 1.85 -1.995l.15 -.005h1z\"},\"child\":[]}]})(props);\n};\nexport function TbBoneFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2a4 4 0 0 1 3.881 3.03l.016 .072l.08 .019a4 4 0 0 1 2.83 2.65l.057 .193a4 4 0 0 1 -5.847 4.51l-.047 -.029l-3.525 3.525l.042 .07a4 4 0 0 1 .117 3.696l-.102 .197a4 4 0 0 1 -4.386 1.969a3.99 3.99 0 0 1 -2.982 -2.904l-.023 -.095l-.138 -.033a4 4 0 0 1 -2.82 -2.783l-.05 -.199a4 4 0 0 1 5.865 -4.368l.068 .04l3.524 -3.524l-.036 -.061a4 4 0 0 1 -.293 -3.295l.079 -.205a4 4 0 0 1 3.695 -2.47l-.139 .004l.02 -.003z\"},\"child\":[]}]})(props);\n};\nexport function TbBookFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.088 4.82a10 10 0 0 1 9.412 .314a1 1 0 0 1 .493 .748l.007 .118v13a1 1 0 0 1 -1.5 .866a8 8 0 0 0 -8 0a1 1 0 0 1 -1 0a8 8 0 0 0 -7.733 -.148l-.327 .18l-.103 .044l-.049 .016l-.11 .026l-.061 .01l-.117 .006h-.042l-.11 -.012l-.077 -.014l-.108 -.032l-.126 -.056l-.095 -.056l-.089 -.067l-.06 -.056l-.073 -.082l-.064 -.089l-.022 -.036l-.032 -.06l-.044 -.103l-.016 -.049l-.026 -.11l-.01 -.061l-.004 -.049l-.002 -.068v-13a1 1 0 0 1 .5 -.866a10 10 0 0 1 9.412 -.314l.088 .044l.088 -.044z\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarkFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a5 5 0 0 1 5 5v14a1 1 0 0 1 -1.555 .832l-5.445 -3.63l-5.444 3.63a1 1 0 0 1 -1.55 -.72l-.006 -.112v-14a5 5 0 0 1 5 -5h4z\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarksFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a4 4 0 0 1 4 4v11a1 1 0 0 1 -1.514 .857l-4.486 -2.691l-4.486 2.691a1 1 0 0 1 -1.508 -.743l-.006 -.114v-11a4 4 0 0 1 4 -4h4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2a4 4 0 0 1 4 4v11a1 1 0 0 1 -2 0v-11a2 2 0 0 0 -2 -2h-5a1 1 0 0 1 0 -2h5z\"},\"child\":[]}]})(props);\n};\nexport function TbBoomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.514 3.836c.151 -.909 1.346 -1.147 1.834 -.366c2.294 3.67 4.275 4.048 5.758 1.083c.471 -.944 1.894 -.608 1.894 .447c0 2.448 1.552 4 4 4c.89 0 1.337 1.077 .707 1.707c-1.61 1.61 -1.61 2.975 0 4.581c.63 .63 .185 1.707 -.706 1.708c-2.448 .003 -3.001 .556 -3.001 3.004c0 .961 -1.223 1.369 -1.8 .6c-2.325 -3.1 -5.494 -2.856 -7.368 -.045c-.503 .754 -1.67 .504 -1.818 -.39c-.365 -2.188 -1.04 -2.656 -4.178 -3.179a1 1 0 0 1 -.543 -1.693c1.618 -1.618 1.618 -3.027 -.053 -4.981l-.009 -.013l-.013 -.014l-.044 -.062l-.01 -.011l-.006 -.013l-.038 -.066l-.017 -.028l-.001 -.004l-.027 -.066l-.019 -.041a1 1 0 0 1 -.051 -.233l-.002 -.045l-.003 -.068a1 1 0 0 1 .06 -.328l.009 -.023l.023 -.049l.011 -.029l.009 -.015l.007 -.016l.019 -.029l.02 -.035l.012 -.017l.013 -.022l.027 -.034l.011 -.016l.018 -.02l.02 -.025l.021 -.02l.015 -.017l.035 -.032l.02 -.019l.009 -.007l.018 -.015l.055 -.039l.018 -.015l.008 -.004l.01 -.007l.061 -.034l.028 -.016l.004 -.002l.063 -.026l.044 -.019a1 1 0 0 1 .115 -.032l.004 -.002l.267 -.063c2.39 -.613 3.934 -2.19 4.411 -4.523z\"},\"child\":[]}]})(props);\n};\nexport function TbBottleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 1a2 2 0 0 1 1.995 1.85l.005 .15v.5c0 1.317 .381 2.604 1.094 3.705l.17 .25l.05 .072a9.093 9.093 0 0 1 1.68 4.92l.006 .354v6.199a3 3 0 0 1 -2.824 2.995l-.176 .005h-6a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6.2a9.1 9.1 0 0 1 1.486 -4.982l.2 -.292l.05 -.069a6.823 6.823 0 0 0 1.264 -3.957v-.5a2 2 0 0 1 1.85 -1.995l.15 -.005h2zm.362 5h-2.724a8.827 8.827 0 0 1 -1.08 2.334l-.194 .284l-.05 .069a7.091 7.091 0 0 0 -1.307 3.798l-.003 .125a3.33 3.33 0 0 1 1.975 -.61a3.4 3.4 0 0 1 2.833 1.417c.27 .375 .706 .593 1.209 .583a1.4 1.4 0 0 0 1.166 -.583a3.4 3.4 0 0 1 .81 -.8l.003 .183c0 -1.37 -.396 -2.707 -1.137 -3.852l-.228 -.332a8.827 8.827 0 0 1 -1.273 -2.616z\"},\"child\":[]}]})(props);\n};\nexport function TbBounceLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.486 11.143a1 1 0 0 1 1.371 .343c1.045 1.74 1.83 3.443 2.392 5.237l.172 .581l.092 -.13c2.093 -2.921 4.48 -3.653 7.565 -2.7l.238 .077a1 1 0 1 1 -.632 1.898c-2.932 -.978 -4.73 -.122 -6.79 3.998c-.433 .866 -1.721 .673 -1.88 -.283c-.46 -2.76 -1.369 -5.145 -2.871 -7.65a1 1 0 0 1 .343 -1.371z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4a3 3 0 1 0 0 6a3 3 0 0 0 0 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbBounceRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.143 11.486a1 1 0 0 1 1.714 1.028c-1.502 2.505 -2.41 4.89 -2.87 7.65c-.16 .956 -1.448 1.15 -1.881 .283c-2.06 -4.12 -3.858 -4.976 -6.79 -3.998a1 1 0 1 1 -.632 -1.898c3.2 -1.067 5.656 -.373 7.803 2.623l.091 .13l.011 -.04c.522 -1.828 1.267 -3.55 2.273 -5.3l.28 -.478z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 1 0 0 6a3 3 0 0 0 0 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbBowFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 2l.081 .003l.12 .017l.111 .03l.111 .044l.098 .052l.096 .067l.09 .08q .054 .053 .097 .112l.071 .11l.031 .062l.034 .081l.024 .076l.03 .148l.006 .118v4a1 1 0 0 1 -2 0v-1.586l-2.07 2.07c1.301 1.624 2.07 3.706 2.07 6.016c0 2.703 -1.047 5.462 -2.793 7.207a1 1 0 0 1 -1.414 0l-5.543 -5.542l-3.25 3.249v2.586a1 1 0 0 1 -2 0v-2h-2a1 1 0 0 1 -.993 -.883l-.007 -.117a1 1 0 0 1 1 -1h2.584l3.251 -3.25l-5.542 -5.543a1 1 0 0 1 -.002 -1.412c1.745 -1.755 4.489 -2.795 7.209 -2.795c2.31 0 4.393 .768 6.015 2.07l2.069 -2.07h-1.584a1 1 0 0 1 -.993 -.883l-.007 -.117a1 1 0 0 1 1 -1zm-4.495 6.91l-4.09 4.09l4.595 4.594a9.1 9.1 0 0 0 .985 -3.795l.005 -.299c0 -1.754 -.55 -3.336 -1.495 -4.59m-6.005 -2.91c-1.44 0 -2.89 .36 -4.098 .987l4.598 4.598l4.09 -4.09c-1.254 -.945 -2.836 -1.495 -4.59 -1.495\"},\"child\":[]}]})(props);\n};\nexport function TbBowlChopsticksFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10a2 2 0 0 1 2 2v.5c0 1.694 -2.247 5.49 -3.983 6.983l-.017 .013v.504a2 2 0 0 1 -1.85 1.995l-.15 .005h-8a2 2 0 0 1 -2 -2v-.496l-.065 -.053c-1.76 -1.496 -3.794 -4.965 -3.928 -6.77l-.007 -.181v-.5a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.929 6.003a1 1 0 1 1 .142 1.994l-14 1a1 1 0 1 1 -.142 -1.994z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.79 1.022a1 1 0 1 1 .42 1.956l-14 3a1 1 0 1 1 -.42 -1.956z\"},\"child\":[]}]})(props);\n};\nexport function TbBowlSpoonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10a2 2 0 0 1 2 2v.5c0 1.694 -2.247 5.49 -3.983 6.983l-.017 .013v.504a2 2 0 0 1 -1.85 1.995l-.15 .005h-8a2 2 0 0 1 -2 -2v-.496l-.065 -.053c-1.76 -1.496 -3.794 -4.965 -3.928 -6.77l-.007 -.181v-.5a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2c1.71 0 3.237 .787 3.785 2h8.215a1 1 0 0 1 0 2l-8.216 .001c-.548 1.213 -2.074 1.999 -3.784 1.999c-2.144 0 -4 -1.237 -4 -3s1.856 -3 4 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBowlFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7a2 2 0 0 1 2 2v.5c0 1.694 -2.247 5.49 -3.983 6.983l-.017 .013v.504a2 2 0 0 1 -1.85 1.995l-.15 .005h-8a2 2 0 0 1 -2 -2v-.496l-.065 -.053c-1.76 -1.496 -3.794 -4.965 -3.928 -6.77l-.007 -.181v-.5a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignBottomLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h-5a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h5a2 2 0 0 0 2 -2v-5a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignBottomRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h-5a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h5a2 2 0 0 0 2 -2v-5a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignBottomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13h-16a1 1 0 0 0 -1 1v5a2 2 0 0 0 2 2h14a2 2 0 0 0 2 -2v-5a1 1 0 0 0 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.002 3.003h-5a2 2 0 0 0 -2 2v14a2 2 0 0 0 2 2h5a1 1 0 0 0 1 -1v-16a1 1 0 0 0 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.002 19.003a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 19.003a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 14.002a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 8.002a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 3.002a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.002 3.002a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.998 3.003h-5a1 1 0 0 0 -1 1v16a1 1 0 0 0 1 1h5a2 2 0 0 0 2 -2v-14a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.008 19.003a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.008 19.003a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.008 14.002a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.008 8.002a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.008 3.002a1 1 0 0 1 .117 1.993l-.128 .007a1 1 0 0 1 -.117 -1.993l.128 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.008 3.002a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignTopLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h-5a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h5a2 2 0 0 0 2 -2v-5a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignTopRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3.01h-5a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h5a2 2 0 0 0 2 -2v-5a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignTopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3.005h-14a2 2 0 0 0 -2 2v5a1 1 0 0 0 1 1h16a1 1 0 0 0 1 -1v-5a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13.995a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18.995a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18.995a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18.995a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18.995a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13.995a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAppleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.079 5.999l.239 .012c1.43 .097 3.434 1.013 4.508 2.586a1 1 0 0 1 -.344 1.44c-.05 .028 -.372 .158 -.497 .217a4.15 4.15 0 0 0 -.722 .431c-.614 .461 -.948 1.009 -.942 1.694c.01 .885 .339 1.454 .907 1.846c.208 .143 .436 .253 .666 .33c.126 .043 .426 .116 .444 .122a1 1 0 0 1 .662 .942c0 2.621 -3.04 6.381 -5.286 6.381c-.79 0 -1.272 -.091 -1.983 -.315l-.098 -.031c-.463 -.146 -.702 -.192 -1.133 -.192c-.52 0 -.863 .06 -1.518 .237l-.197 .053c-.575 .153 -.964 .226 -1.5 .248c-2.749 0 -5.285 -5.093 -5.285 -9.072c0 -3.87 1.786 -6.92 5.286 -6.92c.297 0 .598 .045 .909 .128c.403 .107 .774 .26 1.296 .508c.787 .374 .948 .44 1.009 .44h.016c.03 -.003 .128 -.047 1.056 -.457c1.061 -.467 1.864 -.685 2.746 -.616l-.24 -.012z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 1a1 1 0 0 1 1 1a3 3 0 0 1 -3 3a1 1 0 0 1 -1 -1a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDiscordFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.983 3l.123 .006c2.014 .214 3.527 .672 4.966 1.673a1 1 0 0 1 .371 .488c1.876 5.315 2.373 9.987 1.451 12.28c-1.003 2.005 -2.606 3.553 -4.394 3.553c-.732 0 -1.693 -.968 -2.328 -2.045a21.512 21.512 0 0 0 2.103 -.493a1 1 0 1 0 -.55 -1.924c-3.32 .95 -6.13 .95 -9.45 0a1 1 0 0 0 -.55 1.924c.717 .204 1.416 .37 2.103 .494c-.635 1.075 -1.596 2.044 -2.328 2.044c-1.788 0 -3.391 -1.548 -4.428 -3.629c-.888 -2.217 -.39 -6.89 1.485 -12.204a1 1 0 0 1 .371 -.488c1.439 -1.001 2.952 -1.459 4.966 -1.673a1 1 0 0 1 .935 .435l.063 .107l.651 1.285l.137 -.016a12.97 12.97 0 0 1 2.643 0l.134 .016l.65 -1.284a1 1 0 0 1 .754 -.54l.122 -.009zm-5.983 7a2 2 0 0 0 -1.977 1.697l-.018 .154l-.005 .149l.005 .15a2 2 0 1 0 1.995 -2.15zm6 0a2 2 0 0 0 -1.977 1.697l-.018 .154l-.005 .149l.005 .15a2 2 0 1 0 1.995 -2.15z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDribbbleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.384 14.38a22.877 22.877 0 0 1 1.056 4.863l.064 .644l.126 1.431a10 10 0 0 1 -9.15 -.98l2.08 -2.087l.246 -.24c1.793 -1.728 3.41 -2.875 5.387 -3.566l.191 -.065zm6.09 -.783l.414 .003l.981 .014a9.997 9.997 0 0 1 -4.319 6.704l-.054 -.605c-.18 -2.057 -.55 -3.958 -1.163 -5.814c1.044 -.182 2.203 -.278 3.529 -.298l.611 -.004zm-7.869 -3.181a24.91 24.91 0 0 1 1.052 2.098c-2.276 .77 -4.142 2.053 -6.144 3.967l-.355 .344l-2.236 2.24a10 10 0 0 1 -2.917 -6.741l-.005 -.324l.004 -.25h1.096l.467 -.002c3.547 -.026 6.356 -.367 8.938 -1.295l.1 -.037zm9.388 1.202l-1.515 -.02c-1.86 -.003 -3.45 .124 -4.865 .402a26.112 26.112 0 0 0 -1.163 -2.38c1.393 -.695 2.757 -1.597 4.179 -2.75l.428 -.354l.816 -.682a10 10 0 0 1 2.098 5.409l.022 .375zm-14.663 -8.46l1.266 1.522c1.145 1.398 2.121 2.713 2.949 3.985c-2.26 .766 -4.739 1.052 -7.883 1.081l-.562 .004h-.844a10 10 0 0 1 5.074 -6.593zm9.67 .182c.53 .306 1.026 .657 1.483 1.046l-1.025 .857c-1.379 1.128 -2.688 1.993 -4.034 2.649c-.89 -1.398 -1.943 -2.836 -3.182 -4.358l-.474 -.574l-.485 -.584a10 10 0 0 1 7.717 .964z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFacebookFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2a1 1 0 0 1 .993 .883l.007 .117v4a1 1 0 0 1 -.883 .993l-.117 .007h-3v1h3a1 1 0 0 1 .991 1.131l-.02 .112l-1 4a1 1 0 0 1 -.858 .75l-.113 .007h-2v6a1 1 0 0 1 -.883 .993l-.117 .007h-4a1 1 0 0 1 -.993 -.883l-.007 -.117v-6h-2a1 1 0 0 1 -.993 -.883l-.007 -.117v-4a1 1 0 0 1 .883 -.993l.117 -.007h2v-1a6 6 0 0 1 5.775 -5.996l.225 -.004h3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGithubFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.315 2.1c.791 -.113 1.9 .145 3.333 .966l.272 .161l.16 .1l.397 -.083a13.3 13.3 0 0 1 4.59 -.08l.456 .08l.396 .083l.161 -.1c1.385 -.84 2.487 -1.17 3.322 -1.148l.164 .008l.147 .017l.076 .014l.05 .011l.144 .047a1 1 0 0 1 .53 .514a5.2 5.2 0 0 1 .397 2.91l-.047 .267l-.046 .196l.123 .163c.574 .795 .93 1.728 1.03 2.707l.023 .295l.007 .272c0 3.855 -1.659 5.883 -4.644 6.68l-.245 .061l-.132 .029l.014 .161l.008 .157l.004 .365l-.002 .213l-.003 3.834a1 1 0 0 1 -.883 .993l-.117 .007h-6a1 1 0 0 1 -.993 -.883l-.007 -.117v-.734c-1.818 .26 -3.03 -.424 -4.11 -1.878l-.535 -.766c-.28 -.396 -.455 -.579 -.589 -.644l-.048 -.019a1 1 0 0 1 .564 -1.918c.642 .188 1.074 .568 1.57 1.239l.538 .769c.76 1.079 1.36 1.459 2.609 1.191l.001 -.678l-.018 -.168a5.03 5.03 0 0 1 -.021 -.824l.017 -.185l.019 -.12l-.108 -.024c-2.976 -.71 -4.703 -2.573 -4.875 -6.139l-.01 -.31l-.004 -.292a5.6 5.6 0 0 1 .908 -3.051l.152 -.222l.122 -.163l-.045 -.196a5.2 5.2 0 0 1 .145 -2.642l.1 -.282l.106 -.253a1 1 0 0 1 .529 -.514l.144 -.047l.154 -.03z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a9.96 9.96 0 0 1 6.29 2.226a1 1 0 0 1 .04 1.52l-1.51 1.362a1 1 0 0 1 -1.265 .06a6 6 0 1 0 2.103 6.836l.001 -.004h-3.66a1 1 0 0 1 -.992 -.883l-.007 -.117v-2a1 1 0 0 1 1 -1h6.945a1 1 0 0 1 .994 .89c.04 .367 .061 .737 .061 1.11c0 5.523 -4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPatreonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.462 3.1c2.615 -1.268 6.226 -1.446 9.063 -.503c2.568 .853 4.471 3.175 4.475 5.81c.004 3.061 -1.942 5.492 -4.896 6.243c-1.693 .43 -2.338 .75 -2.942 1.582c-.238 .328 -.45 .745 -.796 1.533l-.22 .5c-1.146 2.601 -2.156 3.762 -4.236 3.735c-2.232 -.03 -3.603 -1.742 -4.313 -4.48c-.458 -1.768 -.617 -3.808 -.594 -5.876c.044 -3.993 1.42 -7.072 4.46 -8.545z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPaypalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 2c3.113 0 5.309 1.785 5.863 4.565c1.725 1.185 2.637 3.152 2.637 5.435c0 2.933 -2.748 5.384 -5.783 5.496l-.217 .004h-1.754l-.466 2.8a1.998 1.998 0 0 1 -1.823 1.597l-.157 .003h-2.68a1.5 1.5 0 0 1 -1.182 -.54a1.495 1.495 0 0 1 -.348 -1.07l.042 -.29h-1.632c-1.004 0 -1.914 -.864 -1.994 -1.857l-.006 -.143l.01 -.141l1.993 -13.954l.003 -.048c.072 -.894 .815 -1.682 1.695 -1.832l.156 -.02l.143 -.005h5.5zm5.812 7.35l-.024 .087c-.706 2.403 -3.072 4.436 -5.555 4.557l-.233 .006h-2.503v.05l-.025 .183l-1.2 5a1.007 1.007 0 0 1 -.019 .07l-.088 .597h2.154l.595 -3.564a1 1 0 0 1 .865 -.829l.121 -.007h2.6c2.073 0 4 -1.67 4 -3.5c0 -1.022 -.236 -1.924 -.688 -2.65z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSpotifyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-2.168 11.605c-1.285 -1.927 -4.354 -2.132 -6.387 -.777a1 1 0 0 0 1.11 1.664c1.195 -.797 3.014 -.675 3.613 .223a1 1 0 1 0 1.664 -1.11m1.268 -3.245c-2.469 -1.852 -5.895 -2.187 -8.608 -.589a1 1 0 0 0 1.016 1.724c1.986 -1.171 4.544 -.92 6.392 .465a1 1 0 0 0 1.2 -1.6m1.43 -3.048c-3.677 -2.298 -7.766 -2.152 -10.977 -.546a1 1 0 0 0 .894 1.788c2.635 -1.317 5.997 -1.437 9.023 .454a1 1 0 1 0 1.06 -1.696\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTiktokFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.083 2h-4.083a1 1 0 0 0 -1 1v11.5a1.5 1.5 0 1 1 -2.519 -1.1l.12 -.1a1 1 0 0 0 .399 -.8v-4.326a1 1 0 0 0 -1.23 -.974a7.5 7.5 0 0 0 1.73 14.8l.243 -.005a7.5 7.5 0 0 0 7.257 -7.495v-2.7l.311 .153c1.122 .53 2.333 .868 3.59 .993a1 1 0 0 0 1.099 -.996v-4.033a1 1 0 0 0 -.834 -.986a5.005 5.005 0 0 1 -4.097 -4.096a1 1 0 0 0 -.986 -.835z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTwitterFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.058 3.41c-1.807 .767 -2.995 2.453 -3.056 4.38l-.002 .182l-.243 -.023c-2.392 -.269 -4.498 -1.512 -5.944 -3.531a1 1 0 0 0 -1.685 .092l-.097 .186l-.049 .099c-.719 1.485 -1.19 3.29 -1.017 5.203l.03 .273c.283 2.263 1.5 4.215 3.779 5.679l.173 .107l-.081 .043c-1.315 .663 -2.518 .952 -3.827 .9c-1.056 -.04 -1.446 1.372 -.518 1.878c3.598 1.961 7.461 2.566 10.792 1.6c4.06 -1.18 7.152 -4.223 8.335 -8.433l.127 -.495c.238 -.993 .372 -2.006 .401 -3.024l.003 -.332l.393 -.779l.44 -.862l.214 -.434l.118 -.247c.265 -.565 .456 -1.033 .574 -1.43l.014 -.056l.008 -.018c.22 -.593 -.166 -1.358 -.941 -1.358l-.122 .007a.997 .997 0 0 0 -.231 .057l-.086 .038a7.46 7.46 0 0 1 -.88 .36l-.356 .115l-.271 .08l-.772 .214c-1.336 -1.118 -3.144 -1.254 -5.012 -.554l-.211 .084z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.267 3a1 1 0 0 1 .73 .317l.076 .092l4.274 5.828l5.946 -5.944a1 1 0 0 1 1.497 1.32l-.083 .094l-6.163 6.162l6.262 8.54a1 1 0 0 1 -.697 1.585l-.109 .006h-4.267a1 1 0 0 1 -.73 -.317l-.076 -.092l-4.276 -5.829l-5.944 5.945a1 1 0 0 1 -1.497 -1.32l.083 -.094l6.161 -6.163l-6.26 -8.539a1 1 0 0 1 .697 -1.585l.109 -.006h4.267z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYoutubeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a5 5 0 0 1 5 5v8a5 5 0 0 1 -5 5h-12a5 5 0 0 1 -5 -5v-8a5 5 0 0 1 5 -5zm-9 6v6a1 1 0 0 0 1.514 .857l5 -3a1 1 0 0 0 0 -1.714l-5 -3a1 1 0 0 0 -1.514 .857z\"},\"child\":[]}]})(props);\n};\nexport function TbBreadFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a4 4 0 0 1 3.109 6.516l-.11 .126l.001 8.358a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -3 -3v-8.356l-.116 -.136a4 4 0 0 1 -.728 -3.616l.067 -.21c.532 -1.525 1.93 -2.58 3.601 -2.677l12.079 .001z\"},\"child\":[]}]})(props);\n};\nexport function TbBriefcase2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a3 3 0 0 1 3 3v1h2a3 3 0 0 1 3 3v9a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-9a3 3 0 0 1 3 -3h2v-1a3 3 0 0 1 3 -3zm0 2h-4a1 1 0 0 0 -1 1v1h6v-1a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbBriefcaseFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 13.478v4.522a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-4.522l.553 .277a20.999 20.999 0 0 0 18.897 -.002l.55 -.275zm-8 -11.478a3 3 0 0 1 3 3v1h2a3 3 0 0 1 3 3v2.242l-1.447 .724a19.002 19.002 0 0 1 -16.726 .186l-.647 -.32l-1.18 -.59v-2.242a3 3 0 0 1 3 -3h2v-1a3 3 0 0 1 3 -3h4zm-2 8a1 1 0 0 0 -1 1a1 1 0 1 0 2 .01c0 -.562 -.448 -1.01 -1 -1.01zm2 -6h-4a1 1 0 0 0 -1 1v1h6v-1a1 1 0 0 0 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessAutoFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.707 2.793l2.208 2.207h3.085a1 1 0 0 1 .993 .883l.007 .117v3.085l2.207 2.208a1 1 0 0 1 .083 1.32l-.083 .094l-2.207 2.207v3.086a1 1 0 0 1 -.883 .993l-.117 .007h-3.086l-2.207 2.207a1 1 0 0 1 -1.32 .083l-.094 -.083l-2.208 -2.207h-3.085a1 1 0 0 1 -.993 -.883l-.007 -.117v-3.085l-2.207 -2.208a1 1 0 0 1 -.083 -1.32l.083 -.094l2.207 -2.209v-3.084a1 1 0 0 1 .883 -.993l.117 -.007h3.084l2.209 -2.207a1 1 0 0 1 1.414 0m-.707 5.207a3 3 0 0 0 -3 3v3.5a1 1 0 0 0 2 0v-.5h2v.5a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-3.5a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v1h-2v-1a1 1 0 0 1 .883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6a1 1 0 0 1 .993 .883l.007 .127a1 1 0 0 1 -1.993 .117l-.007 -.127a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a1 1 0 0 1 .993 .883l.007 .117v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.693 4.893a1 1 0 0 1 1.497 1.32l-.083 .094l-1.4 1.4a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.4 -1.4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11a1 1 0 0 1 .117 1.993l-.117 .007h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.293 16.293a1 1 0 0 1 1.32 -.083l.094 .083l1.4 1.4a1 1 0 0 1 -1.32 1.497l-.094 -.083l-1.4 -1.4a1 1 0 0 1 0 -1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18a1 1 0 0 1 .993 .883l.007 .117v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.293 16.293a1 1 0 0 1 1.497 1.32l-.083 .094l-1.4 1.4a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.4 -1.4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11a1 1 0 0 1 .117 1.993l-.117 .007h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.893 4.893a1 1 0 0 1 1.32 -.083l.094 .083l1.4 1.4a1 1 0 0 1 -1.32 1.497l-.094 -.083l-1.4 -1.4a1 1 0 0 1 0 -1.414z\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-9 1.732a8 8 0 0 0 4.001 14.928l-.001 -16a8 8 0 0 0 -4 1.072\"},\"child\":[]}]})(props);\n};\nexport function TbBubbleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.4 2a6.33 6.33 0 0 1 5.491 3.176l.09 .162l.126 .027a6.335 6.335 0 0 1 4.889 5.934l.004 .234a6.333 6.333 0 0 1 -6.333 6.334l-.035 -.002l-.035 .05a5.26 5.26 0 0 1 -3.958 2.08l-.239 .005q -.722 0 -1.404 -.19l-.047 -.014l-3.434 2.061a1 1 0 0 1 -1.509 -.743l-.006 -.114v-2.434l-.121 -.06a3.67 3.67 0 0 1 -1.94 -3.042l-.006 -.197q 0 -.365 .07 -.717l.013 -.058l-.113 -.09a5.8 5.8 0 0 1 -2.098 -4.218l-.005 -.25a5.8 5.8 0 0 1 5.8 -5.8l.058 .001l.15 -.163a6.32 6.32 0 0 1 4.328 -1.967z\"},\"child\":[]}]})(props);\n};\nexport function TbBugFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a4 4 0 0 1 3.995 3.8l.005 .2a1 1 0 0 1 .428 .096l3.033 -1.938a1 1 0 1 1 1.078 1.684l-3.015 1.931a7.17 7.17 0 0 1 .476 2.227h3a1 1 0 0 1 0 2h-3v1a6.01 6.01 0 0 1 -.195 1.525l2.708 1.616a1 1 0 1 1 -1.026 1.718l-2.514 -1.501a6.002 6.002 0 0 1 -3.973 2.56v-5.918a1 1 0 0 0 -2 0v5.917a6.002 6.002 0 0 1 -3.973 -2.56l-2.514 1.503a1 1 0 1 1 -1.026 -1.718l2.708 -1.616a6.01 6.01 0 0 1 -.195 -1.526v-1h-3a1 1 0 0 1 0 -2h3.001v-.055a7 7 0 0 1 .474 -2.173l-3.014 -1.93a1 1 0 1 1 1.078 -1.684l3.032 1.939l.024 -.012l.068 -.027l.019 -.005l.016 -.006l.032 -.008l.04 -.013l.034 -.007l.034 -.004l.045 -.008l.015 -.001l.015 -.002l.087 -.004a4 4 0 0 1 4 -4zm0 2a2 2 0 0 0 -2 2h4a2 2 0 0 0 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingBroadcastTowerFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10a2 2 0 0 1 1.497 3.327l2.452 7.357a1 1 0 1 1 -1.898 .632l-.44 -1.316h-3.224l-.438 1.317a1 1 0 0 1 -1.152 .663l-.113 -.03a1 1 0 0 1 -.633 -1.265l2.452 -7.357a2 2 0 0 1 -.503 -1.328l.005 -.15a2 2 0 0 1 1.995 -1.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.093 4.078a10 10 0 0 1 3.137 11.776a1 1 0 0 1 -1.846 -.77a8 8 0 1 0 -14.769 0a1 1 0 0 1 -1.846 .77a10 10 0 0 1 15.324 -11.776\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.657 7.243a6 6 0 0 1 1.882 7.066a1 1 0 1 1 -1.846 -.77a4 4 0 1 0 -7.384 0a1 1 0 1 1 -1.846 .77a6 6 0 0 1 9.194 -7.066\"},\"child\":[]}]})(props);\n};\nexport function TbBulbFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.893 4.893a1 1 0 0 1 1.32 -.083l.094 .083l.7 .7a1 1 0 0 1 -1.32 1.497l-.094 -.083l-.7 -.7a1 1 0 0 1 0 -1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.693 4.893a1 1 0 0 1 1.497 1.32l-.083 .094l-.7 .7a1 1 0 0 1 -1.497 -1.32l.083 -.094l.7 -.7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18a1 1 0 0 1 1 1a3 3 0 0 1 -6 0a1 1 0 0 1 .883 -.993l.117 -.007h4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a6 6 0 0 1 3.6 10.8a1 1 0 0 1 -.471 .192l-.129 .008h-6a1 1 0 0 1 -.6 -.2a6 6 0 0 1 3.6 -10.8z\"},\"child\":[]}]})(props);\n};\nexport function TbCactusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22a1 1 0 0 1 -.117 -1.993l.117 -.007h2v-6a4 4 0 0 1 -3.995 -3.8l-.005 -.2v-1a1 1 0 0 1 1.993 -.117l.007 .117v1a2 2 0 0 0 1.85 1.995l.15 .005v-7a3 3 0 0 1 5.995 -.176l.005 .176v10a2 2 0 0 0 1.995 -1.85l.005 -.15v-5a1 1 0 0 1 1.993 -.117l.007 .117v5a4 4 0 0 1 -3.8 3.995l-.2 .005v3h2a1 1 0 0 1 .117 1.993l-.117 .007h-10z\"},\"child\":[]}]})(props);\n};\nexport function TbCalculatorFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-10 15a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm4 0a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm4 0a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm-8 -4a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm4 0a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm4 0a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm-1 -7h-6a2 2 0 0 0 -2 2v1a2 2 0 0 0 2 2h6a2 2 0 0 0 2 -2v-1a2 2 0 0 0 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2a1 1 0 0 1 .993 .883l.007 .117v1h1a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h1v-1a1 1 0 0 1 1.993 -.117l.007 .117v1h6v-1a1 1 0 0 1 1 -1zm3 7h-14v9.625c0 .705 .386 1.286 .883 1.366l.117 .009h12c.513 0 .936 -.53 .993 -1.215l.007 -.16v-9.625z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a1 1 0 0 1 .993 .883l.007 .117v3a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]}]})(props);\n};\nexport function TbCameraFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a2 2 0 0 1 1.995 1.85l.005 .15a1 1 0 0 0 .883 .993l.117 .007h1a3 3 0 0 1 2.995 2.824l.005 .176v9a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-9a3 3 0 0 1 2.824 -2.995l.176 -.005h1a1 1 0 0 0 1 -1a2 2 0 0 1 1.85 -1.995l.15 -.005h6zm-3 7a3 3 0 0 0 -2.985 2.698l-.011 .152l-.004 .15l.004 .15a3 3 0 1 0 2.996 -3.15z\"},\"child\":[]}]})(props);\n};\nexport function TbCampfireFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.757 16.03a1 1 0 0 1 .597 1.905l-.111 .035l-16 4a1 1 0 0 1 -.597 -1.905l.111 -.035l16 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.03 16.757a1 1 0 0 1 1.098 -.749l.115 .022l16 4a1 1 0 0 1 -.37 1.962l-.116 -.022l-16 -4a1 1 0 0 1 -.727 -1.213z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.553 2.106c-4.174 2.086 -6.553 5.358 -6.553 8.894a5 5 0 0 0 10 0c0 -1.047 -.188 -1.808 -.606 -2.705l-.169 -.345l-.33 -.647c-.621 -1.24 -.895 -2.338 -.895 -4.303a1 1 0 0 0 -1.447 -.894z\"},\"child\":[]}]})(props);\n};\nexport function TbCandleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h-4a2 2 0 0 0 -2 2v9a1 1 0 0 0 1 1h6a1 1 0 0 0 1 -1v-9a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.254 2.334l-1.55 1.737c-1.042 1.277 -.898 3.097 .296 4.166a3 3 0 0 0 4.196 -4.28l-1.452 -1.624a1 1 0 0 0 -1.491 .001z\"},\"child\":[]}]})(props);\n};\nexport function TbCapsuleHorizontalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5h-6a7 7 0 1 0 0 14h6a7 7 0 0 0 7 -7l-.007 -.303a7 7 0 0 0 -6.993 -6.697z\"},\"child\":[]}]})(props);\n};\nexport function TbCapsuleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l-.243 .004a7.004 7.004 0 0 0 -6.757 6.996v6a7 7 0 0 0 7 7l.243 -.004a7.004 7.004 0 0 0 6.757 -6.996v-6a7 7 0 0 0 -7 -7z\"},\"child\":[]}]})(props);\n};\nexport function TbCaptureFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3a1 1 0 0 1 .117 1.993l-.117 .007h-2a1 1 0 0 0 -.993 .883l-.007 .117v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a3 3 0 0 1 2.824 -2.995l.176 -.005h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15a1 1 0 0 1 .993 .883l.007 .117v2a1 1 0 0 0 .883 .993l.117 .007h2a1 1 0 0 1 .117 1.993l-.117 .007h-2a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 0 -.883 -.993l-.117 -.007h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15a1 1 0 0 1 .993 .883l.007 .117v2a3 3 0 0 1 -2.824 2.995l-.176 .005h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2a1 1 0 0 0 .993 -.883l.007 -.117v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]}]})(props);\n};\nexport function TbCardsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.348 3.169l-7.15 3.113a2 2 0 0 0 -1.03 2.608l4.92 11.895a1.96 1.96 0 0 0 2.59 1.063l7.142 -3.11a2.002 2.002 0 0 0 1.036 -2.611l-4.92 -11.894a1.96 1.96 0 0 0 -2.588 -1.064z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3a2 2 0 0 1 1.995 1.85l.005 .15v3.5a1 1 0 0 1 -1.993 .117l-.007 -.117v-3.5h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.08 5.61a1 1 0 0 1 1.31 -.53c.257 .108 .505 .21 .769 .314a2 2 0 0 1 1.114 2.479l-.056 .146l-2.298 5.374a1 1 0 0 1 -1.878 -.676l.04 -.11l2.296 -5.371l-.366 -.148l-.402 -.167a1 1 0 0 1 -.53 -1.312z\"},\"child\":[]}]})(props);\n};\nexport function TbCaretDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9c.852 0 1.297 .986 .783 1.623l-.076 .084l-6 6a1 1 0 0 1 -1.32 .083l-.094 -.083l-6 -6l-.083 -.094l-.054 -.077l-.054 -.096l-.017 -.036l-.027 -.067l-.032 -.108l-.01 -.053l-.01 -.06l-.004 -.057v-.118l.005 -.058l.009 -.06l.01 -.052l.032 -.108l.027 -.067l.07 -.132l.065 -.09l.073 -.081l.094 -.083l.077 -.054l.096 -.054l.036 -.017l.067 -.027l.108 -.032l.053 -.01l.06 -.01l.057 -.004l12.059 -.002z\"},\"child\":[]}]})(props);\n};\nexport function TbCaretLeftRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6c0 -.89 1.077 -1.337 1.707 -.707l6 6a1 1 0 0 1 0 1.414l-6 6a.95 .95 0 0 1 -.082 .073l-.009 .006l-.022 .016l-.058 .042l-.016 .009l-.009 .007l-.028 .014l-.043 .024l-.018 .007l-.018 .01l-.034 .012l-.033 .015l-.026 .007l-.02 .008l-.026 .005l-.036 .012l-.029 .004l-.024 .006l-.028 .003l-.031 .006l-.032 .002l-.026 .003h-.026l-.033 .002l-.033 -.002h-.026l-.026 -.003l-.032 -.002l-.031 -.006l-.028 -.003l-.024 -.006l-.03 -.004l-.035 -.012l-.027 -.005l-.019 -.008l-.026 -.007l-.033 -.015l-.034 -.012l-.018 -.01l-.018 -.007l-.043 -.024l-.028 -.014l-.009 -.007l-.016 -.009l-.058 -.042l-.019 -.012l-.003 -.004l-.01 -.006a1.006 1.006 0 0 1 -.154 -.155l-.006 -.009l-.016 -.022l-.042 -.058l-.009 -.016l-.007 -.009l-.014 -.028l-.024 -.043l-.007 -.018l-.01 -.018l-.012 -.034l-.015 -.033l-.007 -.026l-.008 -.02l-.005 -.026l-.012 -.036l-.004 -.029l-.006 -.024l-.003 -.028l-.006 -.031l-.002 -.032l-.003 -.026v-.026l-.002 -.033v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.293 5.293c.63 -.63 1.707 -.184 1.707 .707v12l-.002 .033v.026l-.003 .026l-.002 .032l-.006 .031l-.003 .028l-.006 .024l-.004 .03l-.012 .035l-.005 .027l-.008 .019l-.007 .026l-.015 .033l-.012 .034l-.01 .018l-.007 .018l-.024 .043l-.014 .028l-.007 .009l-.009 .016l-.042 .058l-.012 .019l-.004 .003l-.006 .01a1.006 1.006 0 0 1 -.155 .154l-.009 .006l-.022 .016l-.058 .042l-.016 .009l-.009 .007l-.028 .014l-.043 .024l-.018 .007l-.018 .01l-.034 .012l-.033 .015l-.026 .007l-.02 .008l-.026 .005l-.036 .012l-.029 .004l-.024 .006l-.028 .003l-.031 .006l-.032 .002l-.026 .003h-.026l-.033 .002l-.033 -.002h-.026l-.028 -.003l-.03 -.002l-.032 -.006l-.027 -.003l-.025 -.006l-.028 -.004l-.037 -.012l-.026 -.005l-.02 -.008l-.025 -.007l-.034 -.015l-.033 -.012l-.019 -.01l-.017 -.007l-.044 -.024l-.027 -.014l-.01 -.007l-.015 -.009l-.059 -.042l-.018 -.012l-.004 -.004l-.008 -.006a1.006 1.006 0 0 1 -.082 -.073l-6 -6a1 1 0 0 1 0 -1.414l6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbCaretLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.883 5.007l.058 -.005h.118l.058 .005l.06 .009l.052 .01l.108 .032l.067 .027l.132 .07l.09 .065l.081 .073l.083 .094l.054 .077l.054 .096l.017 .036l.027 .067l.032 .108l.01 .053l.01 .06l.004 .057l.002 .059v12c0 .852 -.986 1.297 -1.623 .783l-.084 -.076l-6 -6a1 1 0 0 1 -.083 -1.32l.083 -.094l6 -6l.094 -.083l.077 -.054l.096 -.054l.036 -.017l.067 -.027l.108 -.032l.053 -.01l.06 -.01z\"},\"child\":[]}]})(props);\n};\nexport function TbCaretRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6c0 -.852 .986 -1.297 1.623 -.783l.084 .076l6 6a1 1 0 0 1 .083 1.32l-.083 .094l-6 6l-.094 .083l-.077 .054l-.096 .054l-.036 .017l-.067 .027l-.108 .032l-.053 .01l-.06 .01l-.057 .004l-.059 .002l-.059 -.002l-.058 -.005l-.06 -.009l-.052 -.01l-.108 -.032l-.067 -.027l-.132 -.07l-.09 -.065l-.081 -.073l-.083 -.094l-.054 -.077l-.054 -.096l-.017 -.036l-.027 -.067l-.032 -.108l-.01 -.053l-.01 -.06l-.004 -.057l-.002 -12.059z\"},\"child\":[]}]})(props);\n};\nexport function TbCaretUpDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.293 3.293a1 1 0 0 1 1.414 0l6 6a.95 .95 0 0 1 .073 .082l.006 .008l.016 .022l.042 .059l.009 .015l.007 .01l.014 .027l.024 .044l.007 .017l.01 .02l.012 .032l.015 .034l.007 .025l.008 .02l.005 .026l.012 .037l.004 .028l.006 .025l.003 .026l.006 .033l.002 .03l.003 .028v.026l.002 .033l-.002 .033v.026l-.003 .026l-.002 .032l-.005 .029l-.004 .03l-.006 .024l-.004 .03l-.012 .035l-.005 .027l-.008 .019l-.007 .026l-.015 .033l-.012 .034l-.01 .018l-.007 .018l-.024 .043l-.014 .028l-.007 .009l-.009 .016l-.042 .058l-.012 .019l-.004 .003l-.006 .01a1.006 1.006 0 0 1 -.155 .154l-.009 .006l-.022 .016l-.058 .042l-.016 .009l-.009 .007l-.028 .014l-.043 .024l-.018 .007l-.018 .01l-.034 .012l-.033 .015l-.024 .006l-.021 .009l-.027 .005l-.036 .012l-.029 .004l-.024 .006l-.028 .003l-.031 .006l-.032 .002l-.026 .003h-.026l-.033 .002h-12c-.89 0 -1.337 -1.077 -.707 -1.707l6 -6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13l.033 .002h.026l.026 .003l.032 .002l.031 .006l.028 .003l.024 .006l.03 .004l.035 .012l.027 .005l.019 .008l.026 .007l.033 .015l.034 .012l.018 .01l.018 .007l.043 .024l.028 .014l.009 .007l.016 .009l.051 .037l.026 .017l.003 .004l.01 .006a.982 .982 0 0 1 .154 .155l.006 .009l.015 .02l.043 .06l.009 .016l.007 .009l.014 .028l.024 .043l.005 .013l.012 .023l.012 .034l.015 .033l.007 .026l.008 .02l.005 .026l.012 .036l.004 .029l.006 .024l.003 .028l.006 .031l.002 .032l.003 .026v.026l.002 .033l-.002 .033v.026l-.003 .026l-.002 .032l-.006 .031l-.003 .028l-.006 .024l-.004 .03l-.012 .035l-.005 .027l-.008 .019l-.007 .026l-.015 .033l-.012 .034l-.01 .018l-.007 .018l-.024 .043l-.014 .028l-.007 .009l-.009 .016l-.042 .058l-.012 .019l-.004 .003l-.006 .01l-.073 .081l-6 6a1 1 0 0 1 -1.414 0l-6 -6c-.63 -.63 -.184 -1.707 .707 -1.707h12z\"},\"child\":[]}]})(props);\n};\nexport function TbCaretUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.293 7.293a1 1 0 0 1 1.32 -.083l.094 .083l6 6l.083 .094l.054 .077l.054 .096l.017 .036l.027 .067l.032 .108l.01 .053l.01 .06l.004 .057l.002 .059l-.002 .059l-.005 .058l-.009 .06l-.01 .052l-.032 .108l-.027 .067l-.07 .132l-.065 .09l-.073 .081l-.094 .083l-.077 .054l-.096 .054l-.036 .017l-.067 .027l-.108 .032l-.053 .01l-.06 .01l-.057 .004l-.059 .002h-12c-.852 0 -1.297 -.986 -.783 -1.623l.076 -.084l6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbCarouselHorizontalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h-8a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h8a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 6a1 1 0 0 1 .117 1.993l-.117 .007h-1v8h1a1 1 0 0 1 .117 1.993l-.117 .007h-1a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-8a2 2 0 0 1 1.85 -1.995l.15 -.005h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a2 2 0 0 1 1.995 1.85l.005 .15v8a2 2 0 0 1 -1.85 1.995l-.15 .005h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1v-8h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]}]})(props);\n};\nexport function TbCarouselVerticalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h-12a2 2 0 0 0 -2 2v8a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-8a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19a2 2 0 0 1 1.995 1.85l.005 .15v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1h-8v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a2 2 0 0 1 1.85 -1.995l.15 -.005h8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 1a1 1 0 0 1 .993 .883l.007 .117v1a2 2 0 0 1 -1.85 1.995l-.15 .005h-8a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-1a1 1 0 0 1 1.993 -.117l.007 .117v1h8v-1a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbCashBanknoteFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3zm-7 4a3 3 0 0 0 -2.996 2.85l-.004 .15a3 3 0 1 0 3 -3m6.01 2h-.01a1 1 0 0 0 0 2h.01a1 1 0 0 0 0 -2m-12 0h-.01a1 1 0 1 0 .01 2a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCategoryFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h-6a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3h-6a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h-6a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h6a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]}]})(props);\n};\nexport function TbChartAreaLineFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.22 9.375a1 1 0 0 1 1.393 -.165l.094 .083l4 4a1 1 0 0 1 .284 .576l.009 .131v5a1 1 0 0 1 -.883 .993l-.117 .007h-16.022l-.11 -.009l-.11 -.02l-.107 -.034l-.105 -.046l-.1 -.059l-.094 -.07l-.06 -.055l-.072 -.082l-.064 -.089l-.054 -.096l-.016 -.035l-.04 -.103l-.027 -.106l-.015 -.108l-.004 -.11l.009 -.11l.019 -.105c.01 -.04 .022 -.077 .035 -.112l.046 -.105l.059 -.1l4 -6a1 1 0 0 1 1.165 -.39l.114 .05l3.277 1.638l3.495 -4.369z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.232 3.36a1 1 0 0 1 1.382 -.15l.093 .083l4 4a1 1 0 0 1 -1.32 1.497l-.094 -.083l-3.226 -3.225l-4.299 5.158a1 1 0 0 1 -1.1 .303l-.115 -.049l-3.254 -1.626l-2.499 3.332a1 1 0 0 1 -1.295 .269l-.105 -.069a1 1 0 0 1 -.269 -1.295l.069 -.105l3 -4a1 1 0 0 1 1.137 -.341l.11 .047l3.291 1.645l4.494 -5.391z\"},\"child\":[]}]})(props);\n};\nexport function TbChartAreaFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18a1 1 0 0 1 .117 1.993l-.117 .007h-16a1 1 0 0 1 -.117 -1.993l.117 -.007h16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.22 5.375a1 1 0 0 1 1.393 -.165l.094 .083l4 4a1 1 0 0 1 .284 .576l.009 .131v5a1 1 0 0 1 -.883 .993l-.117 .007h-16.022l-.11 -.009l-.11 -.02l-.107 -.034l-.105 -.046l-.1 -.059l-.094 -.07l-.06 -.055l-.072 -.082l-.064 -.089l-.054 -.096l-.016 -.035l-.04 -.103l-.027 -.106l-.015 -.108l-.004 -.11l.009 -.11l.019 -.105c.01 -.04 .022 -.077 .035 -.112l.046 -.105l.059 -.1l4 -6a1 1 0 0 1 1.165 -.39l.114 .05l3.277 1.638l3.495 -4.369z\"},\"child\":[]}]})(props);\n};\nexport function TbChartBubbleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16a3 3 0 1 1 -2.995 3.176l-.005 -.176l.005 -.176a3 3 0 0 1 2.995 -2.824z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 2a5.5 5.5 0 1 1 -5.496 5.721l-.004 -.221l.004 -.221a5.5 5.5 0 0 1 5.496 -5.279z\"},\"child\":[]}]})(props);\n};\nexport function TbChartCandleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3a1 1 0 0 1 .993 .883l.007 .117v1a2 2 0 0 1 1.995 1.85l.005 .15v3a2 2 0 0 1 -1.85 1.995l-.15 .005v8a1 1 0 0 1 -1.993 .117l-.007 -.117v-8a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-3a2 2 0 0 1 1.85 -1.995l.15 -.005v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a1 1 0 0 1 .993 .883l.007 .117v9a2 2 0 0 1 1.995 1.85l.005 .15v3a2 2 0 0 1 -1.85 1.995l-.15 .005a1 1 0 0 1 -1.993 .117l-.007 -.117l-.15 -.005a2 2 0 0 1 -1.844 -1.838l-.006 -.157v-3a2 2 0 0 1 1.85 -1.995l.15 -.005v-9a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a1 1 0 0 1 .993 .883l.007 .117a2 2 0 0 1 1.995 1.85l.005 .15v4a2 2 0 0 1 -1.85 1.995l-.15 .005v8a1 1 0 0 1 -1.993 .117l-.007 -.117v-8a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-4a2 2 0 0 1 1.85 -1.995l.15 -.005a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbChartDonutFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.883 2.207a1.9 1.9 0 0 1 2.087 1.522l.025 .167l.005 .104v4a1 1 0 0 1 -.641 .933l-.107 .035a3.1 3.1 0 1 0 3.73 3.953l.05 -.173a1 1 0 0 1 .855 -.742l.113 -.006h3.8a2 2 0 0 1 2 2a1 1 0 0 1 -.026 .226a10 10 0 1 1 -12.27 -11.933l.27 -.067l.11 -.02z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.775 2.526a.996 .996 0 0 1 .22 -.026l.122 .007l.112 .02l.103 .03a10 10 0 0 1 6.003 5.817l.108 .294a1 1 0 0 1 -.824 1.325l-.119 .007h-4.5a1 1 0 0 1 -.76 -.35a8 8 0 0 0 -.89 -.89a1 1 0 0 1 -.342 -.636l-.008 -.124v-4.495l.006 -.118c.005 -.042 .012 -.08 .02 -.116l.03 -.103a.998 .998 0 0 1 .168 -.299l.071 -.08c.03 -.028 .058 -.052 .087 -.075l.09 -.063l.088 -.05l.103 -.043l.112 -.032z\"},\"child\":[]}]})(props);\n};\nexport function TbChartDotsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 2a1 1 0 0 1 1 1v17h17a1 1 0 0 1 .993 .883l.007 .117a1 1 0 0 1 -1 1h-18a1 1 0 0 1 -1 -1v-18a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 1 1 -.651 5.93l-2.002 3.202a3 3 0 1 1 -4.927 .337l-1.378 -1.655a3 3 0 1 1 1.538 -1.282l1.378 1.654a2.994 2.994 0 0 1 1.693 -.115l2.002 -3.203a3 3 0 0 1 2.347 -4.868z\"},\"child\":[]}]})(props);\n};\nexport function TbChartGridDotsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2a1 1 0 0 1 1 1v.171a3.008 3.008 0 0 1 1.83 1.83l.17 -.001a1 1 0 0 1 0 2h-.171a3.008 3.008 0 0 1 -1.828 1.829l-.001 2.171h2a1 1 0 0 1 0 2h-2v2.171a3.008 3.008 0 0 1 1.83 1.83l.17 -.001a1 1 0 0 1 0 2h-.171a3.008 3.008 0 0 1 -1.828 1.829l-.001 .171a1 1 0 0 1 -2 0v-.17a3.008 3.008 0 0 1 -1.829 -1.83h-2.171v2a1 1 0 0 1 -2 0v-2h-2.171a3.008 3.008 0 0 1 -1.828 1.829l-.001 .171a1 1 0 0 1 -2 0v-.17a3.008 3.008 0 0 1 -1.829 -1.83h-.171a1 1 0 0 1 0 -2h.17a3.008 3.008 0 0 1 1.83 -1.83v-.34a3.008 3.008 0 0 1 -1.829 -1.83h-.171a1 1 0 0 1 0 -2h.17a3.008 3.008 0 0 1 1.83 -1.83v-2.17h-2a1 1 0 1 1 0 -2h2v-2a1 1 0 1 1 2 0v2h4v-2a1 1 0 0 1 2 0v2h2.17a3.008 3.008 0 0 1 1.83 -1.83v-.17a1 1 0 0 1 1 -1zm-7 11h-2.171a3.008 3.008 0 0 1 -1.828 1.829v.342a3.008 3.008 0 0 1 1.828 1.829h2.171v-4zm6 0h-4v4h2.17a3.008 3.008 0 0 1 1.83 -1.83v-2.17zm-6 -6h-4v2.171a3.008 3.008 0 0 1 1.83 1.83l2.17 -.001v-4zm4.171 0h-2.171v4h4v-2.17a3.008 3.008 0 0 1 -1.829 -1.83z\"},\"child\":[]}]})(props);\n};\nexport function TbChartPieFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.883 2.207a1.9 1.9 0 0 1 2.087 1.522l.025 .167l.005 .104v7a1 1 0 0 0 .883 .993l.117 .007h6.8a2 2 0 0 1 2 2a1 1 0 0 1 -.026 .226a10 10 0 1 1 -12.27 -11.933l.27 -.067l.11 -.02z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.5v5.5a1 1 0 0 0 1 1h5.5a1 1 0 0 0 .943 -1.332a10 10 0 0 0 -6.11 -6.111a1 1 0 0 0 -1.333 .943z\"},\"child\":[]}]})(props);\n};\nexport function TbCherryFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.588 5.191l.058 .045l.078 .074l.072 .084l.013 .018a.998 .998 0 0 1 .182 .727l-.022 .111l-.03 .092c-.99 2.725 -.666 5.158 .679 7.706a4 4 0 1 1 -4.613 4.152l-.005 -.2l.005 -.2a4.002 4.002 0 0 1 2.5 -3.511c-.947 -2.03 -1.342 -4.065 -1.052 -6.207c-.166 .077 -.332 .15 -.499 .218l.094 -.064c-2.243 1.47 -3.552 3.004 -3.98 4.57a4.5 4.5 0 1 1 -7.064 3.906l-.004 -.212l.005 -.212a4.5 4.5 0 0 1 5.2 -4.233c.332 -1.073 .945 -2.096 1.83 -3.069c-1.794 -.096 -3.586 -.759 -5.355 -1.986l-.268 -.19l-.051 -.04l-.046 -.04l-.044 -.044l-.04 -.046l-.04 -.05l-.032 -.047l-.035 -.06l-.053 -.11l-.038 -.116l-.023 -.117l-.005 -.042l-.005 -.118l.01 -.118l.023 -.117l.038 -.115l.03 -.066l.023 -.045l.035 -.06l.032 -.046l.04 -.051l.04 -.046l.044 -.044l.046 -.04l.05 -.04c4.018 -2.922 8.16 -2.922 12.177 0z\"},\"child\":[]}]})(props);\n};\nexport function TbChessBishopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a2 2 0 0 1 1.386 3.442c.646 .28 1.226 .62 1.74 1.017l-3.833 3.834l-.083 .094a1 1 0 0 0 1.403 1.403l.094 -.083l3.814 -3.813c.977 1.35 1.479 3.07 1.479 5.106c0 1.913 -1.178 3.722 -3.089 3.973l-.2 .02l-.211 .007h-5c-2.126 0 -3.5 -1.924 -3.5 -4c0 -3.68 1.57 -6.255 4.613 -7.56a2 2 0 0 1 1.387 -3.44z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-12a1 1 0 0 0 -1 1a2 2 0 0 0 2 2h10a2 2 0 0 0 1.987 -1.768l.011 -.174a1 1 0 0 0 -.998 -1.058z\"},\"child\":[]}]})(props);\n};\nexport function TbChessKingFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a1 1 0 0 1 .993 .883l.007 .117v2h2a1 1 0 0 1 .117 1.993l-.117 .007h-2v1.758a4.49 4.49 0 0 1 2.033 -.734l.24 -.018l.227 -.006a4.5 4.5 0 0 1 4.5 4.5a4.504 4.504 0 0 1 -4.064 4.478l-.217 .016l-.219 .006h-7a4.5 4.5 0 1 1 2.501 -8.241l-.001 -1.759h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-12a1 1 0 0 0 -1 1a2 2 0 0 0 2 2h10a2 2 0 0 0 1.987 -1.768l.011 -.174a1 1 0 0 0 -.998 -1.058z\"},\"child\":[]}]})(props);\n};\nexport function TbChessKnightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.959 1.99l-.147 .028l-.115 .029a1 1 0 0 0 -.646 1.27l.749 2.245l-2.815 1.735a2 2 0 0 0 -.655 2.751l.089 .133a2 2 0 0 0 1.614 .819l1.563 -.001l-1.614 4.674a1 1 0 0 0 .945 1.327h7.961a1 1 0 0 0 1 -.978l.112 -5c0 -3.827 -1.555 -6.878 -4.67 -7.966l-2.399 -.83l-.375 -.121l-.258 -.074l-.135 -.031l-.101 -.013l-.055 -.001l-.048 .003z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-12a1 1 0 0 0 -1 1a2 2 0 0 0 2 2h10a2 2 0 0 0 1.987 -1.768l.011 -.174a1 1 0 0 0 -.998 -1.058z\"},\"child\":[]}]})(props);\n};\nexport function TbChessQueenFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a2 2 0 0 1 1.572 3.236l.793 1.983l1.702 -1.702a2.003 2.003 0 0 1 1.933 -2.517a2 2 0 0 1 .674 3.884l-1.69 9.295a1 1 0 0 1 -.865 .814l-.119 .007h-8a1 1 0 0 1 -.956 -.705l-.028 -.116l-1.69 -9.295a2 2 0 1 1 2.607 -1.367l1.701 1.702l.794 -1.983a2 2 0 0 1 1.572 -3.236z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-12a1 1 0 0 0 -1 1a2 2 0 0 0 2 2h10a2 2 0 0 0 1.987 -1.768l.011 -.174a1 1 0 0 0 -.998 -1.058z\"},\"child\":[]}]})(props);\n};\nexport function TbChessRookFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3a1 1 0 0 1 .993 .883l.007 .117v2h1.652l.362 -2.164a1 1 0 0 1 1.034 -.836l.116 .013a1 1 0 0 1 .836 1.035l-.013 .116l-.5 3a1 1 0 0 1 -.865 .829l-.122 .007h-1.383l.877 7.89a1 1 0 0 1 -.877 1.103l-.117 .007h-8a1 1 0 0 1 -1 -.993l.006 -.117l.877 -7.89h-1.383a1 1 0 0 1 -.96 -.718l-.026 -.118l-.5 -3a1 1 0 0 1 1.947 -.442l.025 .114l.361 2.164h1.653v-2a1 1 0 0 1 1.993 -.117l.007 .117v2h2v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-12a1 1 0 0 0 -1 1a2 2 0 0 0 2 2h10a2 2 0 0 0 1.987 -1.768l.011 -.174a1 1 0 0 0 -.998 -1.058z\"},\"child\":[]}]})(props);\n};\nexport function TbChessFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a4 4 0 0 1 4 4a5.03 5.03 0 0 1 -.438 2.001l.438 -.001a1 1 0 0 1 .117 1.993l-.117 .007h-1.263l1.24 5.79a1 1 0 0 1 -.747 1.184l-.113 .02l-.117 .006h-6a1 1 0 0 1 -.996 -1.093l.018 -.117l1.24 -5.79h-1.262a1 1 0 0 1 -.117 -1.993l.117 -.007h.438a5.154 5.154 0 0 1 -.412 -1.525l-.02 -.259l-.006 -.216a4 4 0 0 1 4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-12a1 1 0 0 0 -1 1a2 2 0 0 0 2 2h10a2 2 0 0 0 1.987 -1.768l.011 -.174a1 1 0 0 0 -.998 -1.058z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowDownLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-8 4.66a1 1 0 0 0 -1 1v6l.007 .117l.029 .149l.035 .105l.054 .113l.071 .111c.03 .04 .061 .077 .097 .112l.09 .08l.096 .067l.098 .052l.11 .044l.112 .03l.126 .017l6.075 .003l.117 -.007a1 1 0 0 0 .883 -.993l-.007 -.117a1 1 0 0 0 -.993 -.883h-3.586l4.293 -4.293l.083 -.094a1 1 0 0 0 -1.497 -1.32l-4.293 4.291v-3.584l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowDownRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2 4.66l-.117 .007a1 1 0 0 0 -.883 .993v3.585l-4.293 -4.292l-.094 -.083a1 1 0 0 0 -1.32 1.497l4.292 4.293h-3.585l-.117 .007a1 1 0 0 0 .117 1.993l6.034 .001a.998 .998 0 0 0 .186 -.025l.053 -.014l.066 -.02l.13 -.059l.093 -.055a.98 .98 0 0 0 .438 -.828v-6l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-5 3.66a1 1 0 0 0 -1 1v5.585l-2.293 -2.292l-.094 -.083a1 1 0 0 0 -1.32 1.497l4 4c.028 .028 .057 .054 .094 .083l.092 .064l.098 .052l.081 .034l.113 .034l.112 .02l.117 .006l.115 -.007l.114 -.02l.142 -.044l.113 -.054l.111 -.071a.939 .939 0 0 0 .112 -.097l4 -4l.083 -.094a1 1 0 0 0 -1.497 -1.32l-2.293 2.291v-5.584l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a10 10 0 0 1 .324 19.995l-.324 .005l-.324 -.005a10 10 0 0 1 .324 -19.995zm.707 5.293a1 1 0 0 0 -1.414 0l-4 4a1.048 1.048 0 0 0 -.083 .094l-.064 .092l-.052 .098l-.044 .11l-.03 .112l-.017 .126l-.003 .075l.004 .09l.007 .058l.025 .118l.035 .105l.054 .113l.043 .07l.071 .095l.054 .058l4 4l.094 .083a1 1 0 0 0 1.32 -1.497l-2.292 -2.293h5.585l.117 -.007a1 1 0 0 0 -.117 -1.993h-5.586l2.293 -2.293l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.324 .005a10 10 0 1 1 -.648 0l.324 -.005zm.613 5.21a1 1 0 0 0 -1.32 1.497l2.291 2.293h-5.584l-.117 .007a1 1 0 0 0 .117 1.993h5.584l-2.291 2.293l-.083 .094a1 1 0 0 0 1.497 1.32l4 -4l.073 -.082l.064 -.089l.062 -.113l.044 -.11l.03 -.112l.017 -.126l.003 -.075l-.007 -.118l-.029 -.148l-.035 -.105l-.054 -.113l-.071 -.111a1.008 1.008 0 0 0 -.097 -.112l-4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowUpLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2 4.66h-6l-.117 .007l-.149 .029l-.105 .035l-.113 .054l-.111 .071a1.01 1.01 0 0 0 -.112 .097l-.08 .09l-.067 .096l-.052 .098l-.044 .11l-.03 .112l-.017 .126l-.003 6.075l.007 .117a1 1 0 0 0 .993 .883l.117 -.007a1 1 0 0 0 .883 -.993v-3.585l4.293 4.292l.094 .083a1 1 0 0 0 1.32 -1.497l-4.292 -4.293h3.585l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowUpRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2 4.66h-6l-.117 .007a1 1 0 0 0 -.883 .993l.007 .117a1 1 0 0 0 .993 .883h3.584l-4.291 4.293l-.083 .094a1 1 0 0 0 1.497 1.32l4.293 -4.293v3.586l.007 .117a1 1 0 0 0 1.993 -.117v-6l-.007 -.117l-.029 -.149l-.035 -.105l-.054 -.113l-.071 -.111a1.01 1.01 0 0 0 -.097 -.112l-.09 -.08l-.096 -.067l-.098 -.052l-.11 -.044l-.112 -.03l-.126 -.017l-.075 -.003z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-4.98 3.66l-.163 .01l-.086 .016l-.142 .045l-.113 .054l-.07 .043l-.095 .071l-.058 .054l-4 4l-.083 .094a1 1 0 0 0 1.497 1.32l2.293 -2.293v5.586l.007 .117a1 1 0 0 0 1.993 -.117v-5.585l2.293 2.292l.094 .083a1 1 0 0 0 1.32 -1.497l-4 -4l-.082 -.073l-.089 -.064l-.113 -.062l-.081 -.034l-.113 -.034l-.112 -.02l-.098 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleCheckFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-1.293 5.953a1 1 0 0 0 -1.32 -.083l-.094 .083l-3.293 3.292l-1.293 -1.292l-.094 -.083a1 1 0 0 0 -1.403 1.403l.083 .094l2 2l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l4 -4l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDotFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-5 6.66a2 2 0 0 0 -1.977 1.697l-.018 .154l-.005 .149l.005 .15a2 2 0 1 0 1.995 -2.15z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleKeyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10a10 10 0 0 1 -20 0c0 -5.523 4.477 -10 10 -10zm2 5a3 3 0 0 0 -2.98 2.65l-.015 .174l-.005 .176l.005 .176c.019 .319 .087 .624 .197 .908l.09 .209l-3.5 3.5l-.082 .094a1 1 0 0 0 0 1.226l.083 .094l1.5 1.5l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l.083 -.094a1 1 0 0 0 0 -1.226l-.083 -.094l-.792 -.793l.585 -.585l.793 .792l.094 .083a1 1 0 0 0 1.403 -1.403l-.083 -.094l-.792 -.793l.792 -.792a3 3 0 1 0 1.293 -5.708zm0 2a1 1 0 1 1 0 2a1 1 0 0 1 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterAFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5a3 3 0 0 0 -3 3v6a1 1 0 0 0 2 0v-2h2v2a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-6a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v2h-2v-2a1 1 0 0 1 .883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterBFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3l-.005 -.176a3 3 0 0 0 -.654 -1.7l-.106 -.124l.106 -.124a3 3 0 0 0 -2.341 -4.876m0 6a1 1 0 0 1 0 2h-1v-2zm0 -4a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterCFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0a1 1 0 0 0 -1.993 -.117l-.007 .117a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1.993 -.117l.007 .117a1 1 0 0 0 2 0a3 3 0 0 0 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterDFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-1v-6z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterEFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-2h1.5a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-1.5v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterFFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-2v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterGFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5h-2a3 3 0 0 0 -3 3v4a3 3 0 0 0 3 3h2a1 1 0 0 0 1 -1v-4a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883v2h-1a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterHFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5a1 1 0 0 0 -1 1v3h-2v-3a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-3h2v3a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterIFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterJFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h3v5a1 1 0 0 1 -1.993 .117l-.007 -.117a1 1 0 0 0 -2 0a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterKFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2.53 5.152a1 1 0 0 0 -1.378 .318l-2.152 3.443v-2.913a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-2.914l2.152 3.444a1 1 0 0 0 1.276 .374l.102 -.056l.095 -.068a1 1 0 0 0 .223 -1.31l-2.17 -3.47l2.17 -3.47a1 1 0 0 0 -.318 -1.378\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterLFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m-2 5a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-7a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterMFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m4 6c0 -1.014 -1.336 -1.384 -1.857 -.514l-2.143 3.57l-2.143 -3.57c-.521 -.87 -1.857 -.5 -1.857 .514v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-4.39l1.143 1.904l.074 .108a1 1 0 0 0 1.64 -.108l1.143 -1.904v4.39a1 1 0 0 0 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterNFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m-1.106 5.553c-.471 -.944 -1.894 -.608 -1.894 .447v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3.764l2.106 4.211c.471 .944 1.894 .608 1.894 -.447v-8a1 1 0 0 0 -1 -1l-.117 .007a1 1 0 0 0 -.883 .993v3.764z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterOFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterPFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h1a3 3 0 0 0 0 -6m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterQFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5a3 3 0 0 0 -3 3v4a3 3 0 0 0 4.168 2.764l.125 -.057a1 1 0 0 0 1.414 -1.414l.057 -.125a3 3 0 0 0 .236 -1.168v-4a3 3 0 0 0 -3 -3m1 7.001h-.059a.996 .996 0 0 0 -.941 1a1 1 0 0 1 -1 -1.001v-4a1 1 0 0 1 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterRFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m0 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-2.332l2.2 2.932a1 1 0 0 0 1.4 .2l.096 -.081a1 1 0 0 0 .104 -1.319l-1.903 -2.538l.115 -.037a3.001 3.001 0 0 0 -1.012 -5.825m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterSFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m1 5h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2v2h-2a1 1 0 0 0 -2 0a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterTFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5h-4a1 1 0 1 0 0 2h1v7a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-7h1a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterUFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5a1 1 0 0 0 -1 1v6a1 1 0 0 1 -2 0v-6a1 1 0 0 0 -2 0v6a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterVFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2.243 5.03a1 1 0 0 0 -1.213 .727l-1.03 4.118l-1.03 -4.118a1 1 0 1 0 -1.94 .486l2 8c.252 1.01 1.688 1.01 1.94 0l2 -8a1 1 0 0 0 -.727 -1.213\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterWFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2.008 5.876l-.52 4.153l-.56 -1.4c-.319 -.799 -1.41 -.837 -1.803 -.114l-.053 .114l-.561 1.4l-.519 -4.153a1 1 0 0 0 -1 -.876l-.116 .008a1 1 0 0 0 -.868 1.116l1 8c.128 1.025 1.537 1.207 1.92 .247l1.072 -2.678l1.072 2.678c.383 .96 1.792 .778 1.92 -.247l1 -8a1 1 0 0 0 -1.984 -.248\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2.447 5.106a1 1 0 0 0 -1.341 .447l-1.106 2.21l-1.106 -2.21a1 1 0 0 0 -1.234 -.494l-.107 .047a1 1 0 0 0 -.447 1.341l1.774 3.553l-1.775 3.553a1 1 0 0 0 .345 1.283l.102 .058a1 1 0 0 0 1.341 -.447l1.107 -2.211l1.106 2.211a1 1 0 0 0 1.234 .494l.107 -.047a1 1 0 0 0 .447 -1.341l-1.776 -3.553l1.776 -3.553a1 1 0 0 0 -.345 -1.283z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterYFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2.371 5.072a1 1 0 0 0 -1.3 .557l-1.071 2.678l-1.072 -2.678a1 1 0 0 0 -1.856 .742l1.928 4.823v2.806a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-2.809l1.928 -4.82a1 1 0 0 0 -.45 -1.25z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterZFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m2 5h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h2.382l-3.276 6.553a1 1 0 0 0 .894 1.447h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-2.382l3.276 -6.553a1 1 0 0 0 -.894 -1.447\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber0Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm0 5a3 3 0 0 0 -2.995 2.824l-.005 .176v4l.005 .176a3 3 0 0 0 5.99 0l.005 -.176v-4l-.005 -.176a3 3 0 0 0 -2.995 -2.824zm0 2a1 1 0 0 1 .993 .883l.007 .117v4l-.007 .117a1 1 0 0 1 -1.986 0l-.007 -.117v-4l.007 -.117a1 1 0 0 1 .993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm.994 5.886c-.083 -.777 -1.008 -1.16 -1.617 -.67l-.084 .077l-2 2l-.083 .094a1 1 0 0 0 0 1.226l.083 .094l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l.293 -.293v5.586l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.006 -.114z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm1 5h-3l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h3v2h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h3l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-3v-2h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm1 5h-2l-.15 .005a2 2 0 0 0 -1.85 1.995a1 1 0 0 0 1.974 .23l.02 -.113l.006 -.117h2v2h-2l-.133 .007c-1.111 .12 -1.154 1.73 -.128 1.965l.128 .021l.133 .007h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm2 5a1 1 0 0 0 -.993 .883l-.007 .117v3h-2v-3l-.007 -.117a1 1 0 0 0 -1.986 0l-.007 .117v3l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v3l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber5Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm2 5h-4a1 1 0 0 0 -.993 .883l-.007 .117v4a1 1 0 0 0 .883 .993l.117 .007h3v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2a2 2 0 0 0 1.995 -1.85l.005 -.15v-2a2 2 0 0 0 -1.85 -1.995l-.15 -.005h-2v-2h3a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -.883 -.993l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber6Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm1 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v6l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -1.85 -1.995l-.15 -.005zm0 6v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber7Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm2 5h-4l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117l.007 .117a1 1 0 0 0 .876 .876l.117 .007h2.718l-1.688 6.757l-.022 .115a1 1 0 0 0 1.927 .482l.035 -.111l2 -8l.021 -.112a1 1 0 0 0 -.878 -1.125l-.113 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber8Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm1 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15c.018 .236 .077 .46 .17 .667l.075 .152l.018 .03l-.018 .032c-.133 .24 -.218 .509 -.243 .795l-.007 .174v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 6v2h-2v-2h2zm0 -4v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber9Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm1 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-6l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 2v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-6.489 5.8a1 1 0 0 0 -1.218 1.567l1.292 1.293l-1.292 1.293l-.083 .094a1 1 0 0 0 1.497 1.32l1.293 -1.292l1.293 1.292l.094 .083a1 1 0 0 0 1.32 -1.497l-1.292 -1.293l1.292 -1.293l.083 -.094a1 1 0 0 0 -1.497 -1.32l-1.293 1.292l-1.293 -1.292l-.094 -.083z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3.34a10 10 0 1 1 -4.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 4.995 -8.336z\"},\"child\":[]}]})(props);\n};\nexport function TbCirclesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 12a5 5 0 1 1 -4.995 5.217l-.005 -.217l.005 -.217a5 5 0 0 1 4.995 -4.783z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 12a5 5 0 1 1 -4.995 5.217l-.005 -.217l.005 -.217a5 5 0 0 1 4.995 -4.783z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a5 5 0 1 1 -4.995 5.217l-.005 -.217l.005 -.217a5 5 0 0 1 4.995 -4.783z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-5.401 9.576l.052 .021l.08 .026l.08 .019l.072 .011l.117 .007l.076 -.003l.135 -.02l.082 -.02l.103 -.039l.073 -.035l.078 -.046l.06 -.042l.08 -.069l.083 -.088l.062 -.083l2 -3a1 1 0 1 0 -1.664 -1.11l-.168 .251v-1.696a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v5.026l.009 .105l.02 .107l.04 .129l.048 .102l.046 .078l.042 .06l.069 .08l.088 .083l.083 .062l.09 .053z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour10Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-5.401 9.576l.052 .021l.08 .026l.08 .019l.072 .011l.117 .007l.076 -.003l.135 -.02l.082 -.02l.103 -.039l.073 -.035l.078 -.046l.06 -.042l.08 -.069l.083 -.088l.062 -.083l.053 -.09l.031 -.064l.032 -.081l.03 -.109l.015 -.094l.007 -.117v-5a1 1 0 0 0 -2 0v3.131l-1.445 -.963a1 1 0 0 0 -1.317 .184l-.07 .093a1 1 0 0 0 .277 1.387l3.038 2.024z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour11Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-4.952 9.659l.069 -.006l.096 -.016l.089 -.023l.099 -.038l.082 -.04l.113 -.073l.073 -.06l.074 -.074l.075 -.094l.052 -.08l.035 -.07l.051 -.132l.031 -.135l.01 -.082l.003 -.076v-5a1 1 0 0 0 -2 0v1.697l-.168 -.252a1 1 0 0 0 -1.286 -.336l-.1 .059a1 1 0 0 0 -.278 1.387l2.018 3.027l.07 .087l.075 .074l.094 .075l.08 .052l.07 .035l.132 .051l.135 .031l.082 .01z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour12Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-5 2.66a1 1 0 0 0 -1 1v5a1 1 0 0 0 2 0v-5a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-6 3.66v5.022l.003 .054l.02 .135l.005 .025a1 1 0 0 0 .056 .165l.04 .082l.062 .099l.07 .087l.075 .074l.094 .075l.08 .052l.07 .035l.132 .051l.135 .031l.082 .01l.124 .002l.113 -.012l.108 -.024l.106 -.036l.108 -.051l.065 -.04l3.007 -2.004a1 1 0 1 0 -1.11 -1.664l-1.445 .962v-3.13a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-5 2.66a1 1 0 0 0 -1 1v5a1 1 0 0 0 1 1h3.5a1 1 0 0 0 0 -2h-2.5v-4a1 1 0 0 0 -.883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-5 2.66a1 1 0 0 0 -1 1v5.026l.009 .105l.02 .107l.04 .129l.048 .102l.046 .078l.042 .06l.069 .08l.088 .083l.083 .062l3 2a1 1 0 1 0 1.11 -1.664l-2.555 -1.704v-4.464a1 1 0 0 0 -.883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour5Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-6 3.66v5.022l.003 .054l.02 .135l.005 .025a1 1 0 0 0 .056 .165l.04 .082l.04 .065l2.004 3.007a1 1 0 1 0 1.664 -1.11l-1.832 -2.748v-4.697a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour6Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-6 12.16a1 1 0 0 0 2 0v-8.5a1 1 0 0 0 -2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour7Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-4.007 8.777l.007 -.117v-5a1 1 0 0 0 -2 0v4.696l-1.832 2.75a1 1 0 0 0 .184 1.316l.093 .07a1 1 0 0 0 1.387 -.277l2.024 -3.038l.06 -.116l.032 -.081l.03 -.109z\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour8Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-5 2.66a1 1 0 0 0 -1 1v4.464l-2.555 1.704a1 1 0 0 0 -.336 1.286l.059 .1a1 1 0 0 0 1.387 .278l3.027 -2.018l.087 -.07l.074 -.075l.075 -.094l.052 -.08l.035 -.07l.051 -.132l.031 -.135l.01 -.082l.003 -.076v-5a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour9Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-4.883 9.653a1 1 0 0 0 .883 -.993v-5a1 1 0 0 0 -2 0v4h-2.5a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h3.5z\"},\"child\":[]}]})(props);\n};\nexport function TbClockFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-5 2.66a1 1 0 0 0 -.993 .883l-.007 .117v5l.009 .131a1 1 0 0 0 .197 .477l.087 .1l3 3l.094 .082a1 1 0 0 0 1.226 0l.094 -.083l.083 -.094a1 1 0 0 0 0 -1.226l-.083 -.094l-2.707 -2.708v-4.585l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbCloudFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.04 4.305c2.195 -.667 4.615 -.224 6.36 1.176c1.386 1.108 2.188 2.686 2.252 4.34l.003 .212l.091 .003c2.3 .107 4.143 1.961 4.25 4.27l.004 .211c0 2.407 -1.885 4.372 -4.255 4.482l-.21 .005h-11.878l-.222 -.008c-2.94 -.11 -5.317 -2.399 -5.43 -5.263l-.005 -.216c0 -2.747 2.08 -5.01 4.784 -5.417l.114 -.016l.07 -.181c.663 -1.62 2.056 -2.906 3.829 -3.518l.244 -.08z\"},\"child\":[]}]})(props);\n};\nexport function TbClubsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a5 5 0 0 0 -4.488 2.797l-.103 .225a4.998 4.998 0 0 0 -.334 2.837l.027 .14a5 5 0 0 0 -3.091 9.009l.198 .14a4.998 4.998 0 0 0 4.42 .58l.174 -.066l-.773 3.095a1 1 0 0 0 .97 1.243h6l.113 -.006a1 1 0 0 0 .857 -1.237l-.774 -3.095l.174 .065a5 5 0 1 0 1.527 -9.727l.028 -.14a4.997 4.997 0 0 0 -4.925 -5.86z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinBitcoinFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-4 2.66a1 1 0 0 0 -1 1h-1a1 1 0 0 0 -2 0a1 1 0 1 0 0 2v6a1 1 0 0 0 0 2c0 1.333 2 1.333 2 0h1a1 1 0 0 0 2 0v-.15c1.167 -.394 2 -1.527 2 -2.85l-.005 -.175a3.063 3.063 0 0 0 -.734 -1.827c.46 -.532 .739 -1.233 .739 -1.998c0 -1.323 -.833 -2.456 -2 -2.85v-.15a1 1 0 0 0 -1 -1zm.09 7c.492 0 .91 .437 .91 1s-.418 1 -.91 1h-2.09v-2h2.09zm0 -4c.492 0 .91 .437 .91 1c0 .522 -.36 .937 -.806 .993l-.104 .007h-2.09v-2h2.09z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinEuroFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-5 2.66c-2.052 0 -3.768 1.449 -4.549 3.5h-.451a1 1 0 0 0 -.117 1.993l.134 .007a7.298 7.298 0 0 0 0 1h-.017a1 1 0 0 0 0 2h.452c.78 2.053 2.496 3.5 4.548 3.5c1.141 0 2.217 -.457 3.084 -1.27a1 1 0 0 0 -1.368 -1.46c-.509 .478 -1.102 .73 -1.716 .73c-.922 0 -1.776 -.578 -2.335 -1.499l1.335 -.001a1 1 0 0 0 0 -2h-1.977a5.342 5.342 0 0 1 0 -1h1.977a1 1 0 0 0 0 -2h-1.336c.56 -.921 1.414 -1.5 2.336 -1.5c.615 0 1.208 .252 1.717 .73a1 1 0 0 0 1.368 -1.46c-.867 -.812 -1.943 -1.27 -3.085 -1.27z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinMoneroFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11.414v4.586a1 1 0 0 0 1 1l4.66 .001a10 10 0 0 1 -17.32 0l4.66 -.001l.117 -.007a1 1 0 0 0 .883 -.993v-4.585l2.293 2.292l.094 .083a1 1 0 0 0 1.32 -.083l2.293 -2.293zm2 -8.074a10 10 0 0 1 4.54 11.66h-4.54v-6c0 -.89 -1.077 -1.337 -1.707 -.707l-3.293 3.292l-3.293 -3.292l-.084 -.076c-.637 -.514 -1.623 -.07 -1.623 .783v6h-4.54a9.991 9.991 0 0 1 -.46 -3l.005 -.324a10 10 0 0 1 14.995 -8.336z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinPoundFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-4 2.66a3 3 0 0 0 -3 3v2h-1a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h1v1a1 1 0 0 1 -.77 .974l-.113 .02l-.117 .006c-1.287 0 -1.332 1.864 -.133 1.993l.133 .007h6a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3.171l.048 -.148a3 3 0 0 0 .123 -.852v-1h1a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-1v-2a1 1 0 0 1 .883 -.993l.117 -.007a1 1 0 0 1 .993 .883l.007 .117a1 1 0 0 0 2 0a3 3 0 0 0 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinRupeeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2 3.66h-6c-1.287 0 -1.332 1.864 -.133 1.993l.133 .007h1a2 2 0 0 1 1.732 1h-2.732a1 1 0 0 0 0 2l2.732 .001a2 2 0 0 1 -1.732 .999h-1c-.89 0 -1.337 1.077 -.707 1.707l3 3a1 1 0 0 0 1.414 0l.083 -.094a1 1 0 0 0 -.083 -1.32l-1.484 -1.485l.113 -.037a4.009 4.009 0 0 0 2.538 -2.77l1.126 -.001a1 1 0 0 0 0 -2h-1.126a3.973 3.973 0 0 0 -.33 -.855l-.079 -.145h1.535a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinTakaFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-6.211 4.384a2 2 0 0 0 -2.683 -.895l-.553 .277a1 1 0 0 0 .894 1.788l.553 -.276l-.001 1.382h-.999a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h.999l.001 3a3 3 0 0 0 2.824 2.995l.176 .005h.5a3.5 3.5 0 0 0 3.5 -3.5v-.5a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .876 .876l.032 .002l-.02 .057a1.5 1.5 0 0 1 -1.395 .948h-.5a1 1 0 0 1 -1 -1l-.001 -3h4.001a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-4.001l.001 -1.382a2 2 0 0 0 -.136 -.725l-.075 -.17z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinYenFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-1.445 3.828a1 1 0 0 0 -1.387 .277l-2.168 3.251l-2.168 -3.25a1 1 0 0 0 -1.286 -.337l-.1 .059a1 1 0 0 0 -.278 1.387l1.63 2.445h-.798a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h2v1h-2a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h2v1a1 1 0 0 0 .883 .993l.117 .007l.117 -.007a1 1 0 0 0 .883 -.993v-1h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-2v-1h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-.799l1.631 -2.445a1 1 0 0 0 -.184 -1.317l-.093 -.07z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinYuanFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-1.445 3.828a1 1 0 0 0 -1.387 .277l-2.168 3.251l-2.168 -3.25a1 1 0 0 0 -1.286 -.337l-.1 .059a1 1 0 0 0 -.278 1.387l2.296 3.445h-1.464a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h2v3a1 1 0 0 0 .883 .993l.117 .007l.117 -.007a1 1 0 0 0 .883 -.993v-3h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-1.465l2.297 -3.445a1 1 0 0 0 -.184 -1.317l-.093 -.07z\"},\"child\":[]}]})(props);\n};\nexport function TbCoinFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-5 2.66a1 1 0 0 0 -1 1a3 3 0 1 0 0 6v2a1.024 1.024 0 0 1 -.866 -.398l-.068 -.101a1 1 0 0 0 -1.732 .998a3 3 0 0 0 2.505 1.5h.161a1 1 0 0 0 .883 .994l.117 .007a1 1 0 0 0 1 -1l.176 -.005a3 3 0 0 0 -.176 -5.995v-2c.358 -.012 .671 .14 .866 .398l.068 .101a1 1 0 0 0 1.732 -.998a3 3 0 0 0 -2.505 -1.501h-.161a1 1 0 0 0 -1 -1zm1 7a1 1 0 0 1 0 2v-2zm-2 -4v2a1 1 0 0 1 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCompassFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336zm-5 14.66a1 1 0 1 0 0 2a1 1 0 0 0 0 -2zm3.684 -10.949l-6 2a1 1 0 0 0 -.633 .633l-2.007 6.026l-.023 .086l-.017 .113l-.004 .068v.044l.009 .111l.012 .07l.04 .144l.045 .1l.054 .095l.064 .09l.069 .075l.084 .074l.098 .07l.1 .054l.078 .033l.105 .033l.109 .02l.043 .005l.068 .004h.044l.111 -.009l.07 -.012l.02 -.006l.019 -.002l.074 -.022l6 -2a1 1 0 0 0 .633 -.633l2 -6a1 1 0 0 0 -1.265 -1.265zm-1.265 2.529l-1.21 3.629l-3.629 1.21l1.21 -3.629l3.629 -1.21zm-9.419 1.42a1 1 0 1 0 0 2a1 1 0 0 0 0 -2zm14 0a1 1 0 1 0 0 2a1 1 0 0 0 0 -2zm-7 -7a1 1 0 1 0 0 2a1 1 0 0 0 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCone2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1c5.52 0 10 1.494 10 4.002v.5a1 1 0 0 1 -.121 .477l-8.139 15.006a2 2 0 0 1 -3.489 -.016l-8.13 -14.99a1 1 0 0 1 -.121 -.475v-.5c0 -2.509 4.48 -4.004 10 -4.004\"},\"child\":[]}]})(props);\n};\nexport function TbConeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.001c.72 0 1.385 .387 1.749 1.03l8.13 14.99a1 1 0 0 1 .121 .477v.498c0 2.46 -4.306 3.945 -9.677 4.002l-.323 .002c-5.52 0 -10 -1.495 -10 -4.003v-.5a1 1 0 0 1 .121 -.477l8.139 -15.005a2 2 0 0 1 1.74 -1.015\"},\"child\":[]}]})(props);\n};\nexport function TbContrast2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm0 2h-14a1 1 0 0 0 -1 1v14a1 1 0 0 0 .769 .973c3.499 -.347 7.082 -4.127 7.226 -7.747l.005 -.226c0 -3.687 3.66 -7.619 7.232 -7.974a1 1 0 0 0 -.232 -.026\"},\"child\":[]}]})(props);\n};\nexport function TbContrastFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-9 1.732a8 8 0 0 0 4.001 14.928l-.001 -16a8 8 0 0 0 -4 1.072\"},\"child\":[]}]})(props);\n};\nexport function TbCookieManFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.007 1l.238 .005a6 6 0 0 1 5.405 3.974l.078 .233a6 6 0 0 1 -.182 4.08l-.093 .21l.05 -.002a2.94 2.94 0 0 1 2.638 1.511l.081 .158a2.887 2.887 0 0 1 -1.234 3.764l-.19 .096l-1.798 .821v.963l1.166 1.166l.14 .154a2.96 2.96 0 0 1 -.17 4.002c-1.087 1.088 -2.827 1.161 -4.03 .144l-.16 -.146l-1.946 -1.948l-1.946 1.947a2.96 2.96 0 0 1 -3.95 .22l-.15 -.128c-1.17 -1.073 -1.284 -2.879 -.234 -4.12l.146 -.158l1.134 -1.134v-.962l-1.834 -.84l-.181 -.093a2.88 2.88 0 0 1 -1.205 -3.75a2.93 2.93 0 0 1 2.646 -1.661l.13 .003l-.03 -.064a6.1 6.1 0 0 1 -.503 -1.968l-.017 -.26v-.217a6 6 0 0 1 5.775 -5.996l.224 -.004zm.003 15h-.01a1 1 0 0 0 0 2h.01a1 1 0 0 0 0 -2m0 -3h-.01a1 1 0 0 0 0 2h.01a1 1 0 0 0 0 -2m0 -5h-.01a1 1 0 0 0 0 2h.01a1 1 0 0 0 0 -2m-2 -3h-.01a1 1 0 1 0 0 2h.01a1 1 0 0 0 0 -2m4 0h-.01a1 1 0 0 0 0 2h.01a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCookieFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.53 2.552l2.667 1.104a1 1 0 0 1 .414 1.53a3 3 0 0 0 3.492 4.604a1 1 0 0 1 1.296 .557l.049 .122a4 4 0 0 1 0 3.062l-.079 .151c-.467 .74 -.785 1.314 -.945 1.7c-.166 .4 -.373 1.097 -.613 2.073l-.047 .144a4 4 0 0 1 -2.166 2.164l-.139 .046c-1.006 .253 -1.705 .461 -2.076 .615c-.412 .17 -.982 .486 -1.696 .942l-.156 .082a4 4 0 0 1 -3.062 0l-.148 -.077c-.759 -.475 -1.333 -.793 -1.704 -.947c-.413 -.171 -1.109 -.378 -2.07 -.612l-.146 -.048a4 4 0 0 1 -2.164 -2.166l-.046 -.138c-.254 -1.009 -.463 -1.709 -.615 -2.078q -.256 -.621 -.942 -1.695l-.082 -.156a4 4 0 0 1 0 -3.062l.084 -.16c.447 -.692 .761 -1.262 .94 -1.692c.147 -.355 .356 -1.057 .615 -2.078l.045 -.138a4 4 0 0 1 2.166 -2.164l.141 -.047c.988 -.245 1.686 -.453 2.074 -.614c.395 -.164 .967 -.48 1.7 -.944l.152 -.08a4 4 0 0 1 3.062 0m-1.531 13.448a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1m4 -3a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1m-8 -1a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1m4 -1a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1m-1 -4c-.552 0 -1 .448 -1 1.01a1 1 0 1 0 2 -.01a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCopyCheckFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 6a3.667 3.667 0 0 1 3.667 3.667v8.666a3.667 3.667 0 0 1 -3.667 3.667h-8.666a3.667 3.667 0 0 1 -3.667 -3.667v-8.666a3.667 3.667 0 0 1 3.667 -3.667zm-3.333 -4c1.094 0 1.828 .533 2.374 1.514a1 1 0 1 1 -1.748 .972c-.221 -.398 -.342 -.486 -.626 -.486h-10c-.548 0 -1 .452 -1 1v9.998c0 .32 .154 .618 .407 .805l.1 .065a1 1 0 1 1 -.99 1.738a3 3 0 0 1 -1.517 -2.606v-10c0 -1.652 1.348 -3 3 -3zm1.293 9.293l-3.293 3.292l-1.293 -1.292a1 1 0 0 0 -1.414 1.414l2 2a1 1 0 0 0 1.414 0l4 -4a1 1 0 0 0 -1.414 -1.414\"},\"child\":[]}]})(props);\n};\nexport function TbCopyMinusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 6a3.667 3.667 0 0 1 3.667 3.667v8.666a3.667 3.667 0 0 1 -3.667 3.667h-8.666a3.667 3.667 0 0 1 -3.667 -3.667v-8.666a3.667 3.667 0 0 1 3.667 -3.667zm-3.333 -4c1.094 0 1.828 .533 2.374 1.514a1 1 0 1 1 -1.748 .972c-.221 -.398 -.342 -.486 -.626 -.486h-10c-.548 0 -1 .452 -1 1v9.998c0 .32 .154 .618 .407 .805l.1 .065a1 1 0 1 1 -.99 1.738a3 3 0 0 1 -1.517 -2.606v-10c0 -1.652 1.348 -3 3 -3zm2 11h-6a1 1 0 0 0 0 2h6a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCopyPlusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 6a3.667 3.667 0 0 1 3.667 3.667v8.666a3.667 3.667 0 0 1 -3.667 3.667h-8.666a3.667 3.667 0 0 1 -3.667 -3.667v-8.666a3.667 3.667 0 0 1 3.667 -3.667zm-4.333 4a1 1 0 0 0 -1 1v2h-2a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h2v2a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-2h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-2v-2a1 1 0 0 0 -.883 -.993zm1 -8c1.094 0 1.828 .533 2.374 1.514a1 1 0 1 1 -1.748 .972c-.221 -.398 -.342 -.486 -.626 -.486h-10c-.548 0 -1 .452 -1 1v9.998c0 .32 .154 .618 .407 .805l.1 .065a1 1 0 1 1 -.99 1.738a3 3 0 0 1 -1.517 -2.606v-10c0 -1.652 1.348 -3 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCopyXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 6a3.667 3.667 0 0 1 3.667 3.667v8.666a3.667 3.667 0 0 1 -3.667 3.667h-8.666a3.667 3.667 0 0 1 -3.667 -3.667v-8.666a3.667 3.667 0 0 1 3.667 -3.667zm-3.333 -4c1.094 0 1.828 .533 2.374 1.514a1 1 0 1 1 -1.748 .972c-.221 -.398 -.342 -.486 -.626 -.486h-10c-.548 0 -1 .452 -1 1v9.998c0 .32 .154 .618 .407 .805l.1 .065a1 1 0 1 1 -.99 1.738a3 3 0 0 1 -1.517 -2.606v-10c0 -1.652 1.348 -3 3 -3zm.8 8.786l-1.837 1.799l-1.749 -1.785a1 1 0 0 0 -1.319 -.096l-.095 .082a1 1 0 0 0 -.014 1.414l1.749 1.785l-1.835 1.8a1 1 0 0 0 -.096 1.32l.082 .095a1 1 0 0 0 1.414 .014l1.836 -1.8l1.75 1.786a1 1 0 0 0 1.319 .096l.095 -.082a1 1 0 0 0 .014 -1.414l-1.75 -1.786l1.836 -1.8a1 1 0 0 0 .096 -1.319l-.082 -.095a1 1 0 0 0 -1.414 -.014\"},\"child\":[]}]})(props);\n};\nexport function TbCopyleftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2.117 5.889a4.016 4.016 0 0 0 -5.543 -.23a1 1 0 0 0 1.32 1.502a2.016 2.016 0 0 1 2.783 .116a1.993 1.993 0 0 1 0 2.766a2.016 2.016 0 0 1 -2.783 .116a1 1 0 0 0 -1.32 1.501a4.016 4.016 0 0 0 5.543 -.23a3.993 3.993 0 0 0 0 -5.542z\"},\"child\":[]}]})(props);\n};\nexport function TbCopyrightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2.34 5.659a4.016 4.016 0 0 0 -5.543 .23a3.993 3.993 0 0 0 0 5.542a4.016 4.016 0 0 0 5.543 .23a1 1 0 0 0 -1.32 -1.502c-.81 .711 -2.035 .66 -2.783 -.116a1.993 1.993 0 0 1 0 -2.766a2.016 2.016 0 0 1 2.783 -.116a1 1 0 0 0 1.32 -1.501z\"},\"child\":[]}]})(props);\n};\nexport function TbCreditCardFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10v6a4 4 0 0 1 -4 4h-12a4 4 0 0 1 -4 -4v-6h20zm-14.99 4h-.01a1 1 0 1 0 .01 2a1 1 0 0 0 0 -2zm5.99 0h-2a1 1 0 0 0 0 2h2a1 1 0 0 0 0 -2zm5 -10a4 4 0 0 1 4 4h-20a4 4 0 0 1 4 -4h12z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop11Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop169Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7a3 3 0 0 1 3 3v4a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-4a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop32Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop54Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop75Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCropLandscapeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCropPortraitFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-8a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCrossFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2l-.117 .007a1 1 0 0 0 -.883 .993v4h-4a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 .993 .883h4v8a1 1 0 0 0 1 1h4l.117 -.007a1 1 0 0 0 .883 -.993v-8h4a1 1 0 0 0 1 -1v-4l-.007 -.117a1 1 0 0 0 -.993 -.883h-4v-4a1 1 0 0 0 -1 -1h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceHeartMonitorFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm-4 13a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm3 0a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm-6 -6.764l-.106 .211a1 1 0 0 1 -.77 .545l-.124 .008l-5 -.001v3.001h14v-3.001l-4.382 .001l-.724 1.447a1 1 0 0 1 -1.725 .11l-.063 -.11l-1.106 -2.211zm7 -4.236h-12a1 1 0 0 0 -.993 .883l-.007 .117v1.999l4.381 .001l.725 -1.447a1 1 0 0 1 1.725 -.11l.063 .11l1.106 2.21l.106 -.21a1 1 0 0 1 .77 -.545l.124 -.008l5 -.001v-1.999a1 1 0 0 0 -.883 -.993l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2a3 3 0 0 1 2.995 2.824l.005 .176v14a3 3 0 0 1 -2.824 2.995l-.176 .005h-8a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h8zm-4 14a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm1 -12h-2l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h2l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2a2 2 0 0 1 1.995 1.85l.005 .15v16a2 2 0 0 1 -1.85 1.995l-.15 .005h-12a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-16a2 2 0 0 1 1.85 -1.995l.15 -.005h12zm-6 13a2 2 0 0 0 -1.977 1.697l-.018 .154l-.005 .149l.005 .15a2 2 0 1 0 1.995 -2.15z\"},\"child\":[]}]})(props);\n};\nexport function TbDialpadFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 2h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 2h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbDiamondFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a1 1 0 0 1 .783 .378l.074 .108l3 5a1 1 0 0 1 -.032 1.078l-.08 .103l-8.53 9.533a1.7 1.7 0 0 1 -1.215 .51c-.4 0 -.785 -.14 -1.11 -.417l-.135 -.126l-8.5 -9.5a1 1 0 0 1 -.172 -1.067l.06 -.115l3.013 -5.022l.064 -.09a.982 .982 0 0 1 .155 -.154l.089 -.064l.088 -.05l.05 -.023l.06 -.025l.109 -.032l.112 -.02l.117 -.005h12zm-8.886 3.943a1 1 0 0 0 -1.371 .343l-.6 1l-.06 .116a1 1 0 0 0 .177 1.07l2 2.2l.09 .088a1 1 0 0 0 1.323 -.02l.087 -.09a1 1 0 0 0 -.02 -1.323l-1.501 -1.65l.218 -.363l.055 -.103a1 1 0 0 0 -.398 -1.268z\"},\"child\":[]}]})(props);\n};\nexport function TbDiamondsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2.005c-.777 0 -1.508 .367 -1.971 .99l-5.362 6.895c-.89 1.136 -.89 3.083 0 4.227l5.375 6.911a2.457 2.457 0 0 0 3.93 -.017l5.361 -6.894c.89 -1.136 .89 -3.083 0 -4.227l-5.375 -6.911a2.446 2.446 0 0 0 -1.958 -.974z\"},\"child\":[]}]})(props);\n};\nexport function TbDice1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-6.333 8.5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbDice2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.833 11a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-5 -5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbDice3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.833 12a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-3.5 -3.5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-3.5 -3.5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbDice4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.833 12a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm0 -7a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbDice5Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.833 12a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm3.5 -3.5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-3.5 -3.5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbDice6Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.833 13a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm0 -4.5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-7 -4.5a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbDiceFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.833 12a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm-7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm0 -7a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3zm7 0a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbDirectionSignFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.52 2.614a2.095 2.095 0 0 1 2.835 -.117l.126 .117l7.905 7.905c.777 .777 .816 2.013 .117 2.836l-.117 .126l-7.905 7.905a2.094 2.094 0 0 1 -2.836 .117l-.126 -.117l-7.907 -7.906a2.096 2.096 0 0 1 -.115 -2.835l.117 -.126l7.905 -7.905zm5.969 9.535l.01 -.116l-.003 -.12l-.016 -.114l-.03 -.11l-.044 -.112l-.052 -.098l-.076 -.105l-.07 -.081l-3.5 -3.5l-.095 -.083a1 1 0 0 0 -1.226 0l-.094 .083l-.083 .094a1 1 0 0 0 0 1.226l.083 .094l1.792 1.793h-5.085l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h5.085l-1.792 1.793l-.083 .094a1 1 0 0 0 1.403 1.403l.094 -.083l3.5 -3.5l.097 -.112l.05 -.074l.037 -.067l.05 -.112l.023 -.076l.025 -.117z\"},\"child\":[]}]})(props);\n};\nexport function TbDropletHalf2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.905 2.923l.098 .135l4.92 7.306a7.566 7.566 0 0 1 1.043 3.167l.024 .326c.007 .047 .01 .094 .01 .143l-.002 .06c.056 2.3 -.944 4.582 -2.87 6.14c-2.969 2.402 -7.286 2.402 -10.255 0c-1.904 -1.54 -2.904 -3.787 -2.865 -6.071a1.052 1.052 0 0 1 .013 -.333a7.66 7.66 0 0 1 .913 -3.176l.172 -.302l4.893 -7.26c.185 -.275 .426 -.509 .709 -.686c1.055 -.66 2.446 -.413 3.197 .55zm-2.06 1.107l-.077 .038l-.041 .03l-.037 .036l-.033 .042l-4.863 7.214a5.607 5.607 0 0 0 -.651 1.61h11.723a5.444 5.444 0 0 0 -.49 -1.313l-.141 -.251l-4.891 -7.261a.428 .428 0 0 0 -.5 -.145z\"},\"child\":[]}]})(props);\n};\nexport function TbDropletHalfFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.07 .003a2.41 2.41 0 0 1 1.825 .907l.108 .148l4.92 7.306c1.952 3.267 1.191 7.42 -1.796 9.836c-2.968 2.402 -7.285 2.402 -10.254 0c-2.917 -2.36 -3.711 -6.376 -1.901 -9.65l.134 -.232l4.893 -7.26c.185 -.275 .426 -.509 .709 -.686a2.426 2.426 0 0 1 1.066 -.36l.226 -.012zm-1 3.149l-4.206 6.24c-1.44 2.41 -.88 5.463 1.337 7.257a6.101 6.101 0 0 0 2.869 1.276v-14.773z\"},\"child\":[]}]})(props);\n};\nexport function TbDropletFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.708 2.372a2.382 2.382 0 0 0 -.71 .686l-4.892 7.26c-1.981 3.314 -1.22 7.466 1.767 9.882c2.969 2.402 7.286 2.402 10.254 0c2.987 -2.416 3.748 -6.569 1.795 -9.836l-4.919 -7.306c-.722 -1.075 -2.192 -1.376 -3.295 -.686z\"},\"child\":[]}]})(props);\n};\nexport function TbEggFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.002 2c-4.173 -.008 -8.002 6.058 -8.002 12.083c0 4.708 3.25 7.917 8 7.917c4.727 -.206 8 -3.328 8 -7.917c0 -6.02 -3.825 -12.075 -7.998 -12.083z\"},\"child\":[]}]})(props);\n};\nexport function TbEyeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c4.29 0 7.863 2.429 10.665 7.154l.22 .379l.045 .1l.03 .083l.014 .055l.014 .082l.011 .1v.11l-.014 .111a.992 .992 0 0 1 -.026 .11l-.039 .108l-.036 .075l-.016 .03c-2.764 4.836 -6.3 7.38 -10.555 7.499l-.313 .004c-4.396 0 -8.037 -2.549 -10.868 -7.504a1 1 0 0 1 0 -.992c2.831 -4.955 6.472 -7.504 10.868 -7.504zm0 5a3 3 0 1 0 0 6a3 3 0 0 0 0 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbFileXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.117 .007a1 1 0 0 1 .876 .876l.007 .117v4l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h4l.117 .007a1 1 0 0 1 .876 .876l.007 .117v9a3 3 0 0 1 -2.824 2.995l-.176 .005h-10a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h5zm-1.489 9.14a1 1 0 0 0 -1.301 1.473l.083 .094l1.292 1.293l-1.292 1.293l-.083 .094a1 1 0 0 0 1.403 1.403l.094 -.083l1.293 -1.292l1.293 1.292l.094 .083a1 1 0 0 0 1.403 -1.403l-.083 -.094l-1.292 -1.293l1.292 -1.293l.083 -.094a1 1 0 0 0 -1.403 -1.403l-.094 .083l-1.293 1.292l-1.293 -1.292l-.094 -.083l-.102 -.07z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7h-4l-.001 -4.001z\"},\"child\":[]}]})(props);\n};\nexport function TbFileFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.117 .007a1 1 0 0 1 .876 .876l.007 .117v4l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h4l.117 .007a1 1 0 0 1 .876 .876l.007 .117v9a3 3 0 0 1 -2.824 2.995l-.176 .005h-10a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7h-4l-.001 -4.001z\"},\"child\":[]}]})(props);\n};\nexport function TbFilterFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3h-16a1 1 0 0 0 -1 1v2.227l.008 .223a3 3 0 0 0 .772 1.795l4.22 4.641v8.114a1 1 0 0 0 1.316 .949l6 -2l.108 -.043a1 1 0 0 0 .576 -.906v-6.586l4.121 -4.12a3 3 0 0 0 .879 -2.123v-2.171a1 1 0 0 0 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbFlag2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a1 1 0 0 1 .993 .883l.007 .117v9a1 1 0 0 1 -.883 .993l-.117 .007h-13v6a1 1 0 0 1 -.883 .993l-.117 .007a1 1 0 0 1 -.993 -.883l-.007 -.117v-16a1 1 0 0 1 .883 -.993l.117 -.007h14z\"},\"child\":[]}]})(props);\n};\nexport function TbFlag3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4c.852 0 1.297 .986 .783 1.623l-.076 .084l-3.792 3.793l3.792 3.793c.603 .602 .22 1.614 -.593 1.701l-.114 .006h-13v6a1 1 0 0 1 -.883 .993l-.117 .007a1 1 0 0 1 -.993 -.883l-.007 -.117v-16a1 1 0 0 1 .883 -.993l.117 -.007h14z\"},\"child\":[]}]})(props);\n};\nexport function TbFlagFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5a1 1 0 0 1 .3 -.714a6 6 0 0 1 8.213 -.176l.351 .328a4 4 0 0 0 5.272 0l.249 -.227c.61 -.483 1.527 -.097 1.61 .676l.005 .113v9a1 1 0 0 1 -.3 .714a6 6 0 0 1 -8.213 .176l-.351 -.328a4 4 0 0 0 -5.136 -.114v6.552a1 1 0 0 1 -1.993 .117l-.007 -.117v-16z\"},\"child\":[]}]})(props);\n};\nexport function TbFlask2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2a1 1 0 0 1 0 2v5.674l.062 .03a7 7 0 0 1 3.85 5.174l.037 .262a7 7 0 0 1 -3.078 6.693a1 1 0 0 1 -.553 .167h-6.635a1 1 0 0 1 -.552 -.166a7 7 0 0 1 .807 -12.134l.062 -.028v-5.672a1 1 0 1 1 0 -2h6zm-2 2h-2v6.34a1 1 0 0 1 -.551 .894l-.116 .049a5 5 0 0 0 -2.92 2.717h9.172a5 5 0 0 0 -2.918 -2.715a1 1 0 0 1 -.667 -.943v-6.342z\"},\"child\":[]}]})(props);\n};\nexport function TbFlaskFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2a1 1 0 0 1 0 2v4.826l3.932 10.814l.034 .077a1.7 1.7 0 0 1 -.002 1.193l-.07 .162a1.7 1.7 0 0 1 -1.213 .911l-.181 .017h-11l-.181 -.017a1.7 1.7 0 0 1 -1.285 -2.266l.039 -.09l3.927 -10.804v-4.823a1 1 0 1 1 0 -2h6zm-2 2h-2v4h2v-4z\"},\"child\":[]}]})(props);\n};\nexport function TbFolderFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a1 1 0 0 1 .608 .206l.1 .087l2.706 2.707h6.586a3 3 0 0 1 2.995 2.824l.005 .176v8a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-11a3 3 0 0 1 2.824 -2.995l.176 -.005h4z\"},\"child\":[]}]})(props);\n};\nexport function TbForbid2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-1.293 4.953a1 1 0 0 0 -1.414 0l-6 6l-.083 .094a1 1 0 0 0 1.497 1.32l6 -6l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbForbidFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-7.387 4.87a1 1 0 0 0 -1.32 1.497l6 6l.094 .083a1 1 0 0 0 1.32 -1.497l-6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbFountainFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2a4 4 0 0 1 4 4a1 1 0 0 1 -1.993 .117l-.007 -.117a2 2 0 0 0 -3.995 -.15l-.005 .15v9h1v-4a3 3 0 0 1 6 0a1 1 0 0 1 -1.993 .117l-.007 -.117a1 1 0 0 0 -1.993 -.117l-.007 .117v4h5a1 1 0 0 1 .993 .883l.007 .117v2a4 4 0 0 1 -3.8 3.995l-.2 .005h-12a4 4 0 0 1 -3.995 -3.8l-.005 -.2v-2a1 1 0 0 1 .883 -.993l.117 -.007h5v-4a1 1 0 0 0 -1.993 -.117l-.007 .117a1 1 0 0 1 -2 0a3 3 0 0 1 5.995 -.176l.005 .176v4h1v-9a2 2 0 1 0 -4 0a1 1 0 1 1 -2 0a4 4 0 0 1 7.001 -2.645a3.983 3.983 0 0 1 2.999 -1.355z\"},\"child\":[]}]})(props);\n};\nexport function TbFunctionFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.333 3a3.667 3.667 0 0 1 3.667 3.667v10.666a3.667 3.667 0 0 1 -3.667 3.667h-10.666a3.667 3.667 0 0 1 -3.667 -3.667v-10.666a3.667 3.667 0 0 1 3.667 -3.667zm-3.583 3a2.38 2.38 0 0 0 -2.37 2.145l-.285 2.855h-2.095l-.117 .007a1 1 0 0 0 .117 1.993h1.894l-.265 2.656l-.014 .071a.38 .38 0 0 1 -.365 .273a.25 .25 0 0 1 -.25 -.25v-.25l-.007 -.117a1 1 0 0 0 -1.993 .117v.25l.005 .154a2.25 2.25 0 0 0 2.245 2.096a2.38 2.38 0 0 0 2.37 -2.145l.284 -2.855h2.096l.117 -.007a1 1 0 0 0 -.117 -1.993h-1.895l.266 -2.656l.014 -.071a.381 .381 0 0 1 .365 -.273a.25 .25 0 0 1 .25 .25v.25l.007 .117a1 1 0 0 0 1.993 -.117v-.25l-.005 -.154a2.25 2.25 0 0 0 -2.245 -2.096z\"},\"child\":[]}]})(props);\n};\nexport function TbGaugeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-.293 3.953a1 1 0 0 0 -1.414 0l-2.59 2.59l-.083 .094l-.068 .1a2.001 2.001 0 0 0 -2.547 1.774l-.005 .149l.005 .15a2 2 0 1 0 3.917 -.701a.968 .968 0 0 0 .195 -.152l2.59 -2.59l.083 -.094a1 1 0 0 0 -.083 -1.32zm-4.707 -1.293a6 6 0 0 0 -6 6a1 1 0 0 0 2 0a4 4 0 0 1 4 -4a1 1 0 0 0 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbGhost2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.999l.041 .002l.208 .003a8 8 0 0 1 7.747 7.747l.003 .248l.177 .006a3 3 0 0 1 2.819 2.819l.005 .176a3 3 0 0 1 -3 3l-.001 1.696l1.833 2.75a1 1 0 0 1 -.72 1.548l-.112 .006h-10c-3.445 .002 -6.327 -2.49 -6.901 -5.824l-.028 -.178l-.071 .001a3 3 0 0 1 -2.995 -2.824l-.005 -.175a3 3 0 0 1 3 -3l.004 -.25a8 8 0 0 1 7.996 -7.75zm0 10.001a2 2 0 0 0 -2 2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1a2 2 0 0 0 -2 -2zm-1.99 -4l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993zm4 0l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbGhostFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a8 8 0 0 1 7.996 7.75l.004 .25l-.001 6.954l.01 .103a2.78 2.78 0 0 1 -1.468 2.618l-.163 .08c-1.053 .475 -2.283 .248 -3.129 -.593l-.137 -.146a.65 .65 0 0 0 -1.024 0a2.65 2.65 0 0 1 -4.176 0a.65 .65 0 0 0 -.512 -.25c-.2 0 -.389 .092 -.55 .296a2.78 2.78 0 0 1 -4.859 -2.005l.008 -.091l.001 -6.966l.004 -.25a8 8 0 0 1 7.996 -7.75zm2.82 10.429a1 1 0 0 0 -1.391 -.25a2.5 2.5 0 0 1 -2.858 0a1 1 0 0 0 -1.142 1.642a4.5 4.5 0 0 0 5.142 0a1 1 0 0 0 .25 -1.392zm-4.81 -4.429l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993zm4 0l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbGiftCardFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a4 4 0 0 1 3.995 3.8l.005 .2v8a4 4 0 0 1 -3.8 3.995l-.2 .005h-12a4 4 0 0 1 -3.995 -3.8l-.005 -.2v-8a4 4 0 0 1 3.8 -3.995l.2 -.005h12zm-5.493 5l-.19 .004c-.928 .052 -1.719 .583 -2.317 1.444c-.56 -.805 -1.288 -1.322 -2.139 -1.428l-.198 -.017l-.164 -.003l-.16 .005c-1.28 .086 -2.339 1.179 -2.339 2.495c0 1.226 1.222 2.211 2.453 2.447l.16 .026l-1.32 1.32l-.083 .094a1 1 0 0 0 0 1.226l.083 .094l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l2.293 -2.292l2.293 2.292l.094 .083a1 1 0 0 0 1.403 -1.403l-.083 -.094l-1.32 -1.32c1.229 -.169 2.502 -1.11 2.606 -2.315l.007 -.158l-.005 -.163c-.08 -1.189 -1.02 -2.162 -2.175 -2.316l-.159 -.016l-.154 -.005zm-.025 2l.102 .009c.194 .04 .367 .21 .407 .406l.009 .085l-.012 .031l-.034 .04c-.13 .135 -.513 .369 -.836 .42l-.118 .009h-.602l.052 -.1l.088 -.156c.27 -.444 .574 -.696 .852 -.738l.092 -.006zm-4.964 0l.084 .005l.094 .02c.254 .077 .523 .32 .765 .718l.09 .157l.05 .1h-.601l-.106 -.008c-.398 -.057 -.894 -.4 -.894 -.492c0 -.23 .194 -.446 .416 -.491l.102 -.01z\"},\"child\":[]}]})(props);\n};\nexport function TbGiftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14v8h-4a3 3 0 0 1 -3 -3v-4a1 1 0 0 1 1 -1h6zm8 0a1 1 0 0 1 1 1v4a3 3 0 0 1 -3 3h-4v-8h6zm-2.5 -12a3.5 3.5 0 0 1 3.163 5h.337a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2h-7v-5h-2v5h-7a2 2 0 0 1 -2 -2v-1a2 2 0 0 1 2 -2h.337a3.486 3.486 0 0 1 -.337 -1.5c0 -1.933 1.567 -3.5 3.483 -3.5c1.755 -.03 3.312 1.092 4.381 2.934l.136 .243c1.033 -1.914 2.56 -3.114 4.291 -3.175l.209 -.002zm-9 2a1.5 1.5 0 0 0 0 3h3.143c-.741 -1.905 -1.949 -3.02 -3.143 -3zm8.983 0c-1.18 -.02 -2.385 1.096 -3.126 3h3.143a1.5 1.5 0 1 0 -.017 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbGlassFullFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.004 10.229l-.003 -.186l.001 -.113l.008 -.071l1 -7a1 1 0 0 1 .877 -.853l.113 -.006h10a1 1 0 0 1 .968 .747l.022 .112l1.006 7.05l.004 .091c0 3.226 -2.56 5.564 -6 5.945v4.055h3a1 1 0 0 1 .117 1.993l-.117 .007h-8a1 1 0 0 1 -.117 -1.993l.117 -.007h3v-4.055c-3.358 -.371 -5.878 -2.609 -5.996 -5.716zm11.129 -6.229h-8.267l-.607 4.258a6.001 6.001 0 0 1 5.125 .787l.216 .155a4 4 0 0 0 4.32 .31l-.787 -5.51z\"},\"child\":[]}]})(props);\n};\nexport function TbGlobeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4a5 5 0 1 1 -4.995 5.217l-.005 -.217l.005 -.217a5 5 0 0 1 4.995 -4.783z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.133 1.502a1 1 0 0 1 1.365 -.369a9.015 9.015 0 1 1 -10.404 14.622a1 1 0 1 1 1.312 -1.51a7.015 7.015 0 1 0 8.096 -11.378a1 1 0 0 1 -.369 -1.365z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 0 1 .993 .883l.007 .117v4a1 1 0 0 1 -1.993 .117l-.007 -.117v-4a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20a1 1 0 0 1 .117 1.993l-.117 .007h-8a1 1 0 0 1 -.117 -1.993l.117 -.007h8z\"},\"child\":[]}]})(props);\n};\nexport function TbGpsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -15 8.66l.005 -.324a10 10 0 0 1 14.995 -8.336m-.086 5.066c.372 -.837 -.483 -1.692 -1.32 -1.32l-9 4l-.108 .055c-.75 .44 -.611 1.609 .271 1.83l3.418 .853l.855 3.419c.23 .922 1.498 1.032 1.884 .163z\"},\"child\":[]}]})(props);\n};\nexport function TbGraphFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12zm-2.293 6.293a1 1 0 0 0 -1.414 0l-2.293 2.292l-1.293 -1.292a1 1 0 0 0 -1.414 0l-3 3a1 1 0 0 0 0 1.414l.094 .083a1 1 0 0 0 1.32 -.083l2.293 -2.292l1.293 1.292l.094 .083a1 1 0 0 0 1.32 -.083l2.293 -2.292l1.293 1.292a1 1 0 0 0 1.414 -1.414l-2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbGuitarPickFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-1.613 0 -2.882 .104 -3.825 .323l-.23 .057c-3.019 .708 -4.945 2.503 -4.945 5.62c0 3.367 1.939 8.274 4.22 11.125c.32 .4 .664 .786 1.03 1.158l.367 .36a4.904 4.904 0 0 0 6.752 .011a15.04 15.04 0 0 0 1.41 -1.528c2.491 -3.113 4.221 -7.294 4.221 -11.126c0 -3.025 -1.813 -4.806 -4.71 -5.562l-.266 -.066c-.936 -.25 -2.281 -.372 -4.024 -.372z\"},\"child\":[]}]})(props);\n};\nexport function TbHeadphonesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18a3 3 0 0 1 -2.824 2.995l-.176 .005h-1a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-3a3 3 0 0 1 2.824 -2.995l.176 -.005h1c.351 0 .688 .06 1 .171v-.171a7 7 0 0 0 -13.996 -.24l-.004 .24v.17c.25 -.088 .516 -.144 .791 -.163l.209 -.007h1a3 3 0 0 1 2.995 2.824l.005 .176v3a3 3 0 0 1 -2.824 2.995l-.176 .005h-1a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6a9 9 0 0 1 17.996 -.265l.004 .265v6z\"},\"child\":[]}]})(props);\n};\nexport function TbHeartFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.979 3.074a6 6 0 0 1 4.988 1.425l.037 .033l.034 -.03a6 6 0 0 1 4.733 -1.44l.246 .036a6 6 0 0 1 3.364 10.008l-.18 .185l-.048 .041l-7.45 7.379a1 1 0 0 1 -1.313 .082l-.094 -.082l-7.493 -7.422a6 6 0 0 1 3.176 -10.215z\"},\"child\":[]}]})(props);\n};\nexport function TbHelpCircleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10a10 10 0 0 1 -19.995 .324l-.005 -.324l.004 -.28c.148 -5.393 4.566 -9.72 9.996 -9.72zm0 13a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm1.368 -6.673a2.98 2.98 0 0 0 -3.631 .728a1 1 0 0 0 1.44 1.383l.171 -.18a.98 .98 0 0 1 1.11 -.15a1 1 0 0 1 -.34 1.886l-.232 .012a1 1 0 0 0 .111 1.994a3 3 0 0 0 1.371 -5.673z\"},\"child\":[]}]})(props);\n};\nexport function TbHelpHexagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.026 -.097l.19 .097l6.775 3.995l.096 .063l.092 .077l.107 .075a3.224 3.224 0 0 1 1.266 2.188l.018 .202l.005 .204v7.284c0 1.106 -.57 2.129 -1.454 2.693l-.17 .1l-6.803 4.302c-.918 .504 -2.019 .535 -3.004 .068l-.196 -.1l-6.695 -4.237a3.225 3.225 0 0 1 -1.671 -2.619l-.007 -.207v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098zm1.575 13.586a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm1.368 -6.673a2.98 2.98 0 0 0 -3.631 .728a1 1 0 0 0 1.44 1.383l.171 -.18a.98 .98 0 0 1 1.11 -.15a1 1 0 0 1 -.34 1.886l-.232 .012a1 1 0 0 0 .111 1.994a3 3 0 0 0 1.371 -5.673z\"},\"child\":[]}]})(props);\n};\nexport function TbHelpOctagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.897 1a4 4 0 0 1 2.664 1.016l.165 .156l4.1 4.1a4 4 0 0 1 1.168 2.605l.006 .227v5.794a4 4 0 0 1 -1.016 2.664l-.156 .165l-4.1 4.1a4 4 0 0 1 -2.603 1.168l-.227 .006h-5.795a3.999 3.999 0 0 1 -2.664 -1.017l-.165 -.156l-4.1 -4.1a4 4 0 0 1 -1.168 -2.604l-.006 -.227v-5.794a4 4 0 0 1 1.016 -2.664l.156 -.165l4.1 -4.1a4 4 0 0 1 2.605 -1.168l.227 -.006h5.793zm-2.897 14a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm1.368 -6.673a2.98 2.98 0 0 0 -3.631 .728a1 1 0 0 0 1.44 1.383l.171 -.18a.98 .98 0 0 1 1.11 -.15a1 1 0 0 1 -.34 1.886l-.232 .012a1 1 0 0 0 .111 1.994a3 3 0 0 0 1.371 -5.673z\"},\"child\":[]}]})(props);\n};\nexport function TbHelpSquareRoundedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm0 13a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm1.368 -6.673a2.98 2.98 0 0 0 -3.631 .728a1 1 0 0 0 1.44 1.383l.171 -.18a.98 .98 0 0 1 1.11 -.15a1 1 0 0 1 -.34 1.886l-.232 .012a1 1 0 0 0 .111 1.994a3 3 0 0 0 1.371 -5.673z\"},\"child\":[]}]})(props);\n};\nexport function TbHelpSquareFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 2.995 2.824l.005 .176v14a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h14zm-7 13a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm1.368 -6.673a2.98 2.98 0 0 0 -3.631 .728a1 1 0 0 0 1.44 1.383l.171 -.18a.98 .98 0 0 1 1.11 -.15a1 1 0 0 1 -.34 1.886l-.232 .012a1 1 0 0 0 .111 1.994a3 3 0 0 0 1.371 -5.673z\"},\"child\":[]}]})(props);\n};\nexport function TbHelpTriangleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.67c.955 0 1.845 .467 2.39 1.247l.105 .16l8.114 13.548a2.914 2.914 0 0 1 -2.307 4.363l-.195 .008h-16.225a2.914 2.914 0 0 1 -2.582 -4.2l.099 -.185l8.11 -13.538a2.914 2.914 0 0 1 2.491 -1.403zm0 13.33a1 1 0 0 0 -.993 .883l-.007 .117l.007 .127a1 1 0 0 0 1.986 0l.007 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883zm1.368 -6.673a2.98 2.98 0 0 0 -3.631 .728a1 1 0 0 0 1.44 1.383l.171 -.18a.98 .98 0 0 1 1.11 -.15a1 1 0 0 1 -.34 1.886l-.232 .012a1 1 0 0 0 .111 1.994a3 3 0 0 0 1.371 -5.673z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterAFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571a3 3 0 0 0 -3 3v6a1 1 0 0 0 2 0v-2h2v2a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-6a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v2h-2v-2a1 1 0 0 1 .883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterBFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3l-.005 -.176a3 3 0 0 0 -.654 -1.7l-.106 -.124l.106 -.124a3 3 0 0 0 -2.341 -4.876m0 6a1 1 0 0 1 0 2h-1v-2zm0 -4a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterCFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0a1 1 0 0 0 -1.993 -.117l-.007 .117a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1.993 -.117l.007 .117a1 1 0 0 0 2 0a3 3 0 0 0 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterDFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-1v-6z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterEFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-2h1.5a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-1.5v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterFFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-2v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterGFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571h-2a3 3 0 0 0 -3 3v4a3 3 0 0 0 3 3h2a1 1 0 0 0 1 -1v-4a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883v2h-1a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterHFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571a1 1 0 0 0 -1 1v3h-2v-3a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-3h2v3a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterIFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterJFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h3v5a1 1 0 0 1 -1.993 .117l-.007 -.117a1 1 0 0 0 -2 0a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterKFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.864 5.723a1 1 0 0 0 -1.378 .318l-2.152 3.442v-2.912a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-2.914l2.152 3.444a1 1 0 0 0 1.276 .374l.102 -.056l.095 -.068a1 1 0 0 0 .223 -1.31l-2.17 -3.47l2.17 -3.47a1 1 0 0 0 -.318 -1.378\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterLFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-3.666 5.571a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-7a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterMFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m2.334 6.571c0 -1.014 -1.336 -1.384 -1.857 -.514l-2.143 3.57l-2.143 -3.57c-.521 -.87 -1.857 -.5 -1.857 .514v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-4.39l1.143 1.904l.074 .108a1 1 0 0 0 1.64 -.108l1.143 -1.904v4.39a1 1 0 0 0 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterNFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-2.772 6.124c-.471 -.944 -1.894 -.608 -1.894 .447v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3.764l2.106 4.211c.471 .944 1.894 .608 1.894 -.447v-8a1 1 0 0 0 -1 -1l-.117 .007a1 1 0 0 0 -.883 .993v3.764z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterOFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterPFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h1a3 3 0 0 0 0 -6m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterQFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571a3 3 0 0 0 -3 3v4a3 3 0 0 0 4.168 2.764l.125 -.057a1 1 0 0 0 1.414 -1.414l.057 -.125a3 3 0 0 0 .236 -1.168v-4a3 3 0 0 0 -3 -3m1 7.002h-.059a.996 .996 0 0 0 -.941 .998a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterRFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 5.571h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-2.332l2.2 2.932a1 1 0 0 0 1.4 .2l.096 -.081a1 1 0 0 0 .104 -1.319l-1.903 -2.538l.115 -.037a3.001 3.001 0 0 0 -1.012 -5.825m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterSFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-.666 5.571h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2v2h-2a1 1 0 0 0 -2 0a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterTFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571h-4a1 1 0 1 0 0 2h1v7a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-7h1a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterUFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571a1 1 0 0 0 -1 1v6a1 1 0 0 1 -2 0v-6a1 1 0 0 0 -2 0v6a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterVFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.577 5.6a1 1 0 0 0 -1.213 .728l-1.03 4.118l-1.03 -4.118a1 1 0 1 0 -1.94 .486l2 8c.252 1.01 1.688 1.01 1.94 0l2 -8a1 1 0 0 0 -.727 -1.213\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterWFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.342 6.447l-.52 4.153l-.56 -1.4c-.319 -.799 -1.41 -.837 -1.803 -.114l-.053 .114l-.561 1.4l-.519 -4.153a1 1 0 0 0 -1 -.876l-.116 .008a1 1 0 0 0 -.868 1.116l1 8c.128 1.025 1.537 1.207 1.92 .247l1.072 -2.678l1.072 2.678c.383 .96 1.792 .778 1.92 -.247l1 -8a1 1 0 0 0 -1.984 -.248\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.781 5.677a1 1 0 0 0 -1.341 .447l-1.106 2.21l-1.106 -2.21a1 1 0 0 0 -1.234 -.494l-.107 .047a1 1 0 0 0 -.447 1.341l1.774 3.553l-1.775 3.553a1 1 0 0 0 .345 1.283l.102 .058a1 1 0 0 0 1.341 -.447l1.107 -2.21l1.106 2.21a1 1 0 0 0 1.234 .494l.107 -.047a1 1 0 0 0 .447 -1.341l-1.776 -3.553l1.776 -3.553a1 1 0 0 0 -.345 -1.283z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterYFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.705 5.643a1 1 0 0 0 -1.3 .557l-1.071 2.678l-1.072 -2.678a1 1 0 0 0 -1.856 .742l1.928 4.823v2.806a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-2.809l1.928 -4.82a1 1 0 0 0 -.45 -1.25z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterZFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m.334 5.571h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h2.382l-3.276 6.553a1 1 0 0 0 .894 1.447h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-2.382l3.276 -6.553a1 1 0 0 0 -.894 -1.447\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonMinusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m1.334 9.571h-6a1 1 0 0 0 0 2h6a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber0Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm1.575 5.586a3 3 0 0 0 -2.995 2.824l-.005 .176v4l.005 .176a3 3 0 0 0 5.99 0l.005 -.176v-4l-.005 -.176a3 3 0 0 0 -2.995 -2.824zm0 2a1 1 0 0 1 .993 .883l.007 .117v4l-.007 .117a1 1 0 0 1 -1.986 0l-.007 -.117v-4l.007 -.117a1 1 0 0 1 .993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm.952 5.803l-.084 .076l-2 2l-.083 .094a1 1 0 0 0 0 1.226l.083 .094l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l.293 -.293v5.586l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.006 -.114c-.083 -.777 -1.008 -1.16 -1.617 -.67z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm2.575 5.586h-3l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h3v2h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h3l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-3v-2h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm2.575 5.586h-2l-.15 .005a2 2 0 0 0 -1.85 1.995a1 1 0 0 0 1.974 .23l.02 -.113l.006 -.117h2v2h-2l-.133 .007c-1.111 .12 -1.154 1.73 -.128 1.965l.128 .021l.133 .007h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm3.575 5.586a1 1 0 0 0 -.993 .883l-.007 .117v3h-2v-3l-.007 -.117a1 1 0 0 0 -1.986 0l-.007 .117v3l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v3l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber5Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm3.575 5.586h-4a1 1 0 0 0 -.993 .883l-.007 .117v4a1 1 0 0 0 .883 .993l.117 .007h3v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2a2 2 0 0 0 1.995 -1.85l.005 -.15v-2a2 2 0 0 0 -1.85 -1.995l-.15 -.005h-2v-2h3a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -.883 -.993l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber6Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm2.575 5.586h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v6l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -1.85 -1.995l-.15 -.005zm0 6v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber7Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm3.575 5.586h-4l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117l.007 .117a1 1 0 0 0 .876 .876l.117 .007h2.718l-1.688 6.757l-.022 .115a1 1 0 0 0 1.927 .482l.035 -.111l2 -8l.021 -.112a1 1 0 0 0 -.878 -1.125l-.113 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber8Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm2.575 5.586h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15c.018 .236 .077 .46 .17 .667l.075 .152l.018 .03l-.018 .032c-.133 .24 -.218 .509 -.243 .795l-.007 .174v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 6v2h-2v-2h2zm0 -4v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber9Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.216 0l6.775 3.995c.067 .04 .127 .084 .18 .133l.008 .007l.107 .076a3.223 3.223 0 0 1 1.284 2.39l.005 .203v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.226 3.226 0 0 1 -1.678 -2.826v-7.285a3.21 3.21 0 0 1 1.65 -2.808zm2.575 5.586h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-6l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 2v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonPlusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.666 1.429l6.75 3.98l.096 .063l.093 .078l.106 .074a3.22 3.22 0 0 1 1.284 2.39l.005 .204v7.284c0 1.175 -.643 2.256 -1.623 2.793l-6.804 4.302c-.98 .538 -2.166 .538 -3.2 -.032l-6.695 -4.237a3.23 3.23 0 0 1 -1.678 -2.826v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098c1 -.552 2.214 -.552 3.24 .015m-1.666 6.571a1 1 0 0 0 -1 1v2h-2a1 1 0 0 0 -.993 .883l-.007 .117a1 1 0 0 0 1 1h2v2a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-2h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-2v-2a1 1 0 0 0 -.883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414l-6.775 3.996a3.21 3.21 0 0 0 -1.65 2.807v7.285a3.226 3.226 0 0 0 1.678 2.826l6.695 4.237c1.034 .57 2.22 .57 3.2 .032l6.804 -4.302c.98 -.537 1.623 -1.618 1.623 -2.793v-7.284l-.005 -.204a3.223 3.223 0 0 0 -1.284 -2.39l-.107 -.075l-.007 -.007a1.074 1.074 0 0 0 -.181 -.133l-6.776 -3.995a3.33 3.33 0 0 0 -3.216 0z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.707 2.293l9 9c.63 .63 .184 1.707 -.707 1.707h-1v6a3 3 0 0 1 -3 3h-1v-7a3 3 0 0 0 -2.824 -2.995l-.176 -.005h-2a3 3 0 0 0 -3 3v7h-1a3 3 0 0 1 -3 -3v-6h-1c-.89 0 -1.337 -1.077 -.707 -1.707l9 -9a1 1 0 0 1 1.414 0m.293 11.707a1 1 0 0 1 1 1v7h-4v-7a1 1 0 0 1 .883 -.993l.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbHourglassFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2a2 2 0 0 1 1.995 1.85l.005 .15v2a6.996 6.996 0 0 1 -3.393 6a6.994 6.994 0 0 1 3.388 5.728l.005 .272v2a2 2 0 0 1 -1.85 1.995l-.15 .005h-10a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-2a6.996 6.996 0 0 1 3.393 -6a6.994 6.994 0 0 1 -3.388 -5.728l-.005 -.272v-2a2 2 0 0 1 1.85 -1.995l.15 -.005h10z\"},\"child\":[]}]})(props);\n};\nexport function TbInfoCircleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10a10 10 0 0 1 -19.995 .324l-.005 -.324l.004 -.28c.148 -5.393 4.566 -9.72 9.996 -9.72zm0 9h-1l-.117 .007a1 1 0 0 0 0 1.986l.117 .007v3l.007 .117a1 1 0 0 0 .876 .876l.117 .007h1l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117l-.007 -.117a1 1 0 0 0 -.764 -.857l-.112 -.02l-.117 -.006v-3l-.007 -.117a1 1 0 0 0 -.876 -.876l-.117 -.007zm.01 -3l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbInfoHexagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.425 1.414a3.33 3.33 0 0 1 3.026 -.097l.19 .097l6.775 3.995l.096 .063l.092 .077l.107 .075a3.224 3.224 0 0 1 1.266 2.188l.018 .202l.005 .204v7.284c0 1.106 -.57 2.129 -1.454 2.693l-.17 .1l-6.803 4.302c-.918 .504 -2.019 .535 -3.004 .068l-.196 -.1l-6.695 -4.237a3.225 3.225 0 0 1 -1.671 -2.619l-.007 -.207v-7.285c0 -1.106 .57 -2.128 1.476 -2.705l6.95 -4.098zm1.575 9.586h-1l-.117 .007a1 1 0 0 0 0 1.986l.117 .007v3l.007 .117a1 1 0 0 0 .876 .876l.117 .007h1l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117l-.007 -.117a1 1 0 0 0 -.764 -.857l-.112 -.02l-.117 -.006v-3l-.007 -.117a1 1 0 0 0 -.876 -.876l-.117 -.007zm.01 -3l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbInfoOctagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.897 1a4 4 0 0 1 2.664 1.016l.165 .156l4.1 4.1a4 4 0 0 1 1.168 2.605l.006 .227v5.794a4 4 0 0 1 -1.016 2.664l-.156 .165l-4.1 4.1a4 4 0 0 1 -2.603 1.168l-.227 .006h-5.795a3.999 3.999 0 0 1 -2.664 -1.017l-.165 -.156l-4.1 -4.1a4 4 0 0 1 -1.168 -2.604l-.006 -.227v-5.794a4 4 0 0 1 1.016 -2.664l.156 -.165l4.1 -4.1a4 4 0 0 1 2.605 -1.168l.227 -.006h5.793zm-2.897 10h-1l-.117 .007a1 1 0 0 0 0 1.986l.117 .007v3l.007 .117a1 1 0 0 0 .876 .876l.117 .007h1l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117l-.007 -.117a1 1 0 0 0 -.764 -.857l-.112 -.02l-.117 -.006v-3l-.007 -.117a1 1 0 0 0 -.876 -.876l-.117 -.007zm.01 -3l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbInfoSquareRoundedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm0 9h-1l-.117 .007a1 1 0 0 0 0 1.986l.117 .007v3l.007 .117a1 1 0 0 0 .876 .876l.117 .007h1l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117l-.007 -.117a1 1 0 0 0 -.764 -.857l-.112 -.02l-.117 -.006v-3l-.007 -.117a1 1 0 0 0 -.876 -.876l-.117 -.007zm.01 -3l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbInfoSquareFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 2.995 2.824l.005 .176v14a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h14zm-7 9h-1l-.117 .007a1 1 0 0 0 0 1.986l.117 .007v3l.007 .117a1 1 0 0 0 .876 .876l.117 .007h1l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117l-.007 -.117a1 1 0 0 0 -.764 -.857l-.112 -.02l-.117 -.006v-3l-.007 -.117a1 1 0 0 0 -.876 -.876l-.117 -.007zm.01 -3l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbInfoTriangleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.67c.955 0 1.845 .467 2.39 1.247l.105 .16l8.114 13.548a2.914 2.914 0 0 1 -2.307 4.363l-.195 .008h-16.225a2.914 2.914 0 0 1 -2.582 -4.2l.099 -.185l8.11 -13.538a2.914 2.914 0 0 1 2.491 -1.403zm0 9.33h-1l-.117 .007a1 1 0 0 0 0 1.986l.117 .007v3l.007 .117a1 1 0 0 0 .876 .876l.117 .007h1l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117l-.007 -.117a1 1 0 0 0 -.764 -.857l-.112 -.02l-.117 -.006v-3l-.007 -.117a1 1 0 0 0 -.876 -.876l-.117 -.007zm.01 -3l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowBottomLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm-6 9a1 1 0 0 0 -1 1a7 7 0 0 0 7 7a1 1 0 0 0 0 -2a5 5 0 0 1 -5 -5a1 1 0 0 0 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowBottomRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm6 9a1 1 0 0 0 -1 1a5 5 0 0 1 -5 5a1 1 0 0 0 0 2a7 7 0 0 0 7 -7a1 1 0 0 0 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowBottomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.144 4.72c3.92 -3.695 10.093 -3.625 13.927 .209c3.905 3.905 3.905 10.237 0 14.142c-3.905 3.905 -10.237 3.905 -14.142 0c-3.905 -3.905 -3.905 -10.237 0 -14.142zm3.32 10.816a1 1 0 1 0 -1.414 1.414a7 7 0 0 0 9.9 0a1 1 0 0 0 -1.414 -1.414a5 5 0 0 1 -7.072 0z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 4.929c3.905 -3.905 10.237 -3.905 14.142 0c3.905 3.905 3.905 10.237 0 14.142c-3.905 3.905 -10.237 3.905 -14.142 0c-3.905 -3.905 -3.905 -10.237 0 -14.142zm3.535 2.121a1 1 0 0 0 -1.414 0a7 7 0 0 0 0 9.9a1 1 0 1 0 1.414 -1.414a5 5 0 0 1 0 -7.072a1 1 0 0 0 0 -1.414z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 4.929c3.905 -3.905 10.237 -3.905 14.142 0c3.905 3.905 3.905 10.237 0 14.142c-3.905 3.905 -10.237 3.905 -14.142 0c-3.905 -3.905 -3.905 -10.237 0 -14.142zm12.02 2.121a1 1 0 0 0 -1.413 1.414a5 5 0 0 1 0 7.072a1 1 0 0 0 1.414 1.414a7 7 0 0 0 0 -9.9z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowTopLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm0 3a7 7 0 0 0 -7 7a1 1 0 0 0 2 0a5 5 0 0 1 5 -5a1 1 0 0 0 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowTopRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10zm0 3a1 1 0 0 0 0 2a5 5 0 0 1 5 5a1 1 0 0 0 2 0a7 7 0 0 0 -7 -7z\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowTopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 4.929c3.905 -3.905 10.237 -3.905 14.142 0c3.905 3.905 3.905 10.237 0 14.142c-3.905 3.905 -10.237 3.905 -14.142 0c-3.905 -3.905 -3.905 -10.237 0 -14.142zm12.02 2.121a7 7 0 0 0 -9.899 0a1 1 0 0 0 1.414 1.414a5 5 0 0 1 7.072 0a1 1 0 0 0 1.414 -1.414z\"},\"child\":[]}]})(props);\n};\nexport function TbIroningFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.459 5a4 4 0 0 1 3.945 3.343l.577 3.464l.81 4.865a2 2 0 0 1 -1.971 2.328h-16.82a1 1 0 0 1 -1 -1a8 8 0 0 1 8 -8h8.652l-.22 -1.329a2 2 0 0 0 -1.811 -1.665l-.162 -.006h-7.459a1 1 0 1 1 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbJetpackFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2a4 4 0 0 1 4 4v7a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1v-1h-2v1a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1v-7a4 4 0 0 1 8 0v1h2v-1a4 4 0 0 1 4 -4m-4 8v-1h-2v1zm-4 5a1 1 0 0 1 1 1c0 2.623 -.787 4.59 -2.4 5.8a1 1 0 0 1 -1.2 0c-1.613 -1.21 -2.4 -3.177 -2.4 -5.8a1 1 0 0 1 2 0c0 1.532 .308 2.684 .906 3.498l.094 .119l.094 -.12c.558 -.759 .864 -1.813 .902 -3.196l.004 -.301a1 1 0 0 1 1 -1m10 0a1 1 0 0 1 1 1c0 2.623 -.787 4.59 -2.4 5.8a1 1 0 0 1 -1.2 0c-1.613 -1.21 -2.4 -3.177 -2.4 -5.8a1 1 0 0 1 2 0c0 1.532 .308 2.684 .906 3.498l.094 .119l.094 -.12c.558 -.759 .864 -1.813 .902 -3.196l.004 -.301a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbJewishStarFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.433 6h-5.433l-.114 .006a1 1 0 0 0 -.743 1.508l2.69 4.486l-2.69 4.486l-.054 .1a1 1 0 0 0 .911 1.414h5.434l2.709 4.514l.074 .108a1 1 0 0 0 1.64 -.108l2.708 -4.514h5.435l.114 -.006a1 1 0 0 0 .743 -1.508l-2.691 -4.486l2.691 -4.486l.054 -.1a1 1 0 0 0 -.911 -1.414h-5.434l-2.709 -4.514a1 1 0 0 0 -1.714 0l-2.71 4.514z\"},\"child\":[]}]})(props);\n};\nexport function TbKeyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.52 2c1.029 0 2.015 .409 2.742 1.136l3.602 3.602a3.877 3.877 0 0 1 0 5.483l-2.643 2.643a3.88 3.88 0 0 1 -4.941 .452l-.105 -.078l-5.882 5.883a3 3 0 0 1 -1.68 .843l-.22 .027l-.221 .009h-1.172c-1.014 0 -1.867 -.759 -1.991 -1.823l-.009 -.177v-1.172c0 -.704 .248 -1.386 .73 -1.96l.149 -.161l.414 -.414a1 1 0 0 1 .707 -.293h1v-1a1 1 0 0 1 .883 -.993l.117 -.007h1v-1a1 1 0 0 1 .206 -.608l.087 -.1l1.468 -1.469l-.076 -.103a3.9 3.9 0 0 1 -.678 -1.963l-.007 -.236c0 -1.029 .409 -2.015 1.136 -2.742l2.643 -2.643a3.88 3.88 0 0 1 2.741 -1.136m.495 5h-.02a2 2 0 1 0 0 4h.02a2 2 0 1 0 0 -4\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframeAlignCenterFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19a1 1 0 0 1 .993 .883l.007 .117v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c-.629 0 -1.214 .301 -1.606 .807l-2.908 3.748a2.395 2.395 0 0 0 -.011 2.876l2.919 3.762c.39 .505 .977 .807 1.606 .807c.629 0 1.214 -.301 1.606 -.807l2.908 -3.748a2.395 2.395 0 0 0 .011 -2.876l-2.919 -3.762a2.032 2.032 0 0 0 -1.606 -.807z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1a1 1 0 0 1 .993 .883l.007 .117v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11a1 1 0 0 1 .117 1.993l-.117 .007h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11a1 1 0 0 1 .117 1.993l-.117 .007h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2z\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframeAlignHorizontalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c-.629 0 -1.214 .301 -1.606 .807l-2.908 3.748a2.395 2.395 0 0 0 -.011 2.876l2.919 3.762c.39 .505 .977 .807 1.606 .807c.629 0 1.214 -.301 1.606 -.807l2.908 -3.748a2.395 2.395 0 0 0 .011 -2.876l-2.919 -3.762a2.032 2.032 0 0 0 -1.606 -.807z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11a1 1 0 0 1 .117 1.993l-.117 .007h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11a1 1 0 0 1 .117 1.993l-.117 .007h-2a1 1 0 0 1 -.117 -1.993l.117 -.007h2z\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframeAlignVerticalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1a1 1 0 0 1 .993 .883l.007 .117v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c-.629 0 -1.214 .301 -1.606 .807l-2.908 3.748a2.395 2.395 0 0 0 -.011 2.876l2.919 3.762c.39 .505 .977 .807 1.606 .807c.629 0 1.214 -.301 1.606 -.807l2.908 -3.748a2.395 2.395 0 0 0 .011 -2.876l-2.919 -3.762a2.032 2.032 0 0 0 -1.606 -.807z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19a1 1 0 0 1 .993 .883l.007 .117v2a1 1 0 0 1 -1.993 .117l-.007 -.117v-2a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a2.599 2.599 0 0 0 -2 .957l-4.355 5.24a2.847 2.847 0 0 0 -.007 3.598l4.368 5.256c.499 .6 1.225 .949 1.994 .949a2.599 2.599 0 0 0 2 -.957l4.355 -5.24a2.847 2.847 0 0 0 .007 -3.598l-4.368 -5.256a2.593 2.593 0 0 0 -1.994 -.949z\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframesFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4a2.599 2.599 0 0 0 -2 .957l-4.355 5.24a2.847 2.847 0 0 0 -.007 3.598l4.368 5.256c.499 .6 1.224 .949 1.994 .949a2.599 2.599 0 0 0 2 -.957l4.355 -5.24a2.847 2.847 0 0 0 .007 -3.598l-4.368 -5.256a2.593 2.593 0 0 0 -1.994 -.949z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.382 4.214a1 1 0 0 1 1.32 .074l.084 .094l4.576 5.823c.808 .993 .848 2.396 .13 3.419l-.12 .158l-4.586 5.836a1 1 0 0 1 -1.644 -1.132l.072 -.104l4.596 -5.85a.845 .845 0 0 0 .06 -.978l-.07 -.1l-4.586 -5.836a1 1 0 0 1 .168 -1.404z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.382 4.214a1 1 0 0 1 1.32 .074l.084 .094l4.576 5.823c.808 .993 .848 2.396 .13 3.419l-.12 .158l-4.586 5.836a1 1 0 0 1 -1.644 -1.132l.072 -.104l4.596 -5.85a.845 .845 0 0 0 .06 -.978l-.07 -.1l-4.586 -5.836a1 1 0 0 1 .168 -1.404z\"},\"child\":[]}]})(props);\n};\nexport function TbLayout2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3a3 3 0 0 1 3 3v1a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-1a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12a3 3 0 0 1 3 3v3a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-3a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v3a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-3a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14a3 3 0 0 1 3 3v1a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-1a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignBottomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19a1 1 0 0 1 0 2h-16a1 1 0 0 1 0 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignCenterFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a1 1 0 0 1 1 1v4h3a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-3v4a1 1 0 0 1 -2 0v-4h-3a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3h3v-4a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3a1 1 0 0 1 1 1v16a1 1 0 0 1 -2 0v-16a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-8a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignMiddleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5a3 3 0 0 1 3 3v3h4a1 1 0 0 1 0 2h-4v3a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-3h-4a1 1 0 0 1 0 -2h4v-3a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 1 1v16a1 1 0 0 1 -2 0v-16a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-8a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignTopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 0 2h-16a1 1 0 1 1 0 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBottombarCollapseFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm0 2h-12a1 1 0 0 0 -.993 .883l-.007 .117v9h14v-9a1 1 0 0 0 -.883 -.993l-.117 -.007zm-7.387 3.21l.094 .083l1.293 1.292l1.293 -1.292a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 .083 1.32l-.083 .094l-2 2a1 1 0 0 1 -1.32 .083l-.094 -.083l-2 -2a1 1 0 0 1 1.32 -1.497z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBottombarExpandFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm0 2h-12a1 1 0 0 0 -.993 .883l-.007 .117v9h14v-9a1 1 0 0 0 -.883 -.993l-.117 -.007zm-5.387 3.21l.094 .083l2 2a1 1 0 0 1 -1.32 1.497l-.094 -.083l-1.293 -1.292l-1.293 1.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 -.083 -1.32l.083 -.094l2 -2a1 1 0 0 1 1.32 -.083z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBottombarFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm0 2h-12a1 1 0 0 0 -.993 .883l-.007 .117v9h14v-9a1 1 0 0 0 -.883 -.993l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutCardsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutDashboardFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2zm0 12a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-2a2 2 0 0 1 2 -2zm10 -4a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2zm0 -8a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-2a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutDistributeHorizontalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 0 2h-16a1 1 0 1 1 0 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19a1 1 0 0 1 0 2h-16a1 1 0 0 1 0 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-8a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutDistributeVerticalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3a1 1 0 0 1 1 1v16a1 1 0 0 1 -2 0v-16a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 1 1v16a1 1 0 0 1 -2 0v-16a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutGridFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-4a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutKanbanFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3a1 1 0 0 1 0 2h-6a1 1 0 1 1 0 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3a1 1 0 0 1 0 2h-6a1 1 0 0 1 0 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutListFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutNavbarCollapseFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm1 6h-14v9a1 1 0 0 0 .883 .993l.117 .007h12a1 1 0 0 0 .993 -.883l.007 -.117v-9zm-6.387 3.21l.094 .083l2 2a1 1 0 0 1 -1.32 1.497l-.094 -.083l-1.293 -1.292l-1.293 1.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 -.083 -1.32l.083 -.094l2 -2a1 1 0 0 1 1.32 -.083z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutNavbarExpandFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm1 6h-14v9a1 1 0 0 0 .883 .993l.117 .007h12a1 1 0 0 0 .993 -.883l.007 -.117v-9zm-8.387 3.21l.094 .083l1.293 1.292l1.293 -1.292a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 .083 1.32l-.083 .094l-2 2a1 1 0 0 1 -1.32 .083l-.094 -.083l-2 -2a1 1 0 0 1 1.32 -1.497z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutNavbarFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm1 6h-14v9a1 1 0 0 0 .883 .993l.117 .007h12a1 1 0 0 0 .993 -.883l.007 -.117v-9z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarLeftCollapseFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm0 2h-9v14h9a1 1 0 0 0 .993 -.883l.007 -.117v-12a1 1 0 0 0 -.883 -.993l-.117 -.007zm-2.293 4.293a1 1 0 0 1 .083 1.32l-.083 .094l-1.292 1.293l1.292 1.293a1 1 0 0 1 .083 1.32l-.083 .094a1 1 0 0 1 -1.32 .083l-.094 -.083l-2 -2a1 1 0 0 1 -.083 -1.32l.083 -.094l2 -2a1 1 0 0 1 1.414 0z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarLeftExpandFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm0 2h-9v14h9a1 1 0 0 0 .993 -.883l.007 -.117v-12a1 1 0 0 0 -.883 -.993l-.117 -.007zm-4.387 4.21l.094 .083l2 2a1 1 0 0 1 .083 1.32l-.083 .094l-2 2a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.292 -1.293l-1.292 -1.293a1 1 0 0 1 -.083 -1.32l.083 -.094a1 1 0 0 1 1.32 -.083z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarRightCollapseFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm-3 2h-9a1 1 0 0 0 -.993 .883l-.007 .117v12a1 1 0 0 0 .883 .993l.117 .007h9v-14zm-5.387 4.21l.094 .083l2 2a1 1 0 0 1 .083 1.32l-.083 .094l-2 2a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.292 -1.293l-1.292 -1.293a1 1 0 0 1 -.083 -1.32l.083 -.094a1 1 0 0 1 1.32 -.083z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarRightExpandFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v12a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-12a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm-3 2h-9a1 1 0 0 0 -.993 .883l-.007 .117v12a1 1 0 0 0 .883 .993l.117 .007h9v-14zm-3.293 4.293a1 1 0 0 1 .083 1.32l-.083 .094l-1.292 1.293l1.292 1.293a1 1 0 0 1 .083 1.32l-.083 .094a1 1 0 0 1 -1.32 .083l-.094 -.083l-2 -2a1 1 0 0 1 -.083 -1.32l.083 -.094l2 -2a1 1 0 0 1 1.414 0z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3zm8 -16h-8a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3zm12 -16h-8v14h8a1 1 0 0 0 1 -1v-12a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3a3 3 0 0 1 3 3v1a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-1a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12a3 3 0 0 1 3 3v3a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-3a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbLegoFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2a1 1 0 0 1 1 1v1l.2 .005a4 4 0 0 1 3.795 3.795l.005 .2v9a4 4 0 0 1 -2.845 3.83l-.155 .043v.127a1 1 0 0 1 -.883 .993l-.117 .007h-10a1 1 0 0 1 -1 -1v-.127l-.155 -.042a4 4 0 0 1 -2.84 -3.631l-.005 -.2v-9a4 4 0 0 1 4 -4v-1a1 1 0 0 1 1 -1zm-.8 12.286a1 1 0 0 0 -1.414 .014a2.5 2.5 0 0 1 -3.572 0a1 1 0 0 0 -1.428 1.4a4.5 4.5 0 0 0 6.428 0a1 1 0 0 0 -.014 -1.414m-5.69 -4.286h-.01a1 1 0 0 0 0 2h.01a1 1 0 0 0 0 -2m5 0h-.01a1 1 0 0 0 0 2h.01a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbLocationFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.891 2.006l.106 -.006l.13 .008l.09 .016l.123 .035l.107 .046l.1 .057l.09 .067l.082 .075l.052 .059l.082 .116l.052 .096c.047 .1 .077 .206 .09 .316l.005 .106c0 .075 -.008 .149 -.024 .22l-.035 .123l-6.532 18.077a1.55 1.55 0 0 1 -1.409 .903a1.547 1.547 0 0 1 -1.329 -.747l-.065 -.127l-3.352 -6.702l-6.67 -3.336a1.55 1.55 0 0 1 -.898 -1.259l-.006 -.149c0 -.56 .301 -1.072 .841 -1.37l.14 -.07l18.017 -6.506l.106 -.03l.108 -.018z\"},\"child\":[]}]})(props);\n};\nexport function TbLockSquareRoundedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm0 4a3 3 0 0 1 2.995 2.824l.005 .176v1a2 2 0 0 1 1.995 1.85l.005 .15v3a2 2 0 0 1 -1.85 1.995l-.15 .005h-6a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-3a2 2 0 0 1 1.85 -1.995l.15 -.005v-1a3 3 0 0 1 3 -3zm3 6h-6v3h6v-3zm-3 -4a1 1 0 0 0 -.993 .883l-.007 .117v1h2v-1a1 1 0 0 0 -1 -1z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbLockFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a5 5 0 0 1 5 5v3a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-10a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3v-3a5 5 0 0 1 5 -5m0 12a2 2 0 0 0 -1.995 1.85l-.005 .15a2 2 0 1 0 2 -2m0 -10a3 3 0 0 0 -3 3v3h6v-3a3 3 0 0 0 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLungsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a1 1 0 0 1 1 1v5a2 2 0 0 0 1 1.732v-3.475c0 -1.242 .995 -2.257 2.233 -2.257c.372 0 .738 .094 1.122 .307l.18 .117c1.695 1.23 2.76 3.035 3.773 6.34q .674 2.204 .692 5.06c.016 2.195 -1.657 4.024 -3.843 4.168l-.237 .008c-2.17 0 -3.92 -1.787 -3.92 -3.98v-4.146a4 4 0 0 1 -1.893 -1.112l-.107 -.118l-.107 .118a4 4 0 0 1 -1.892 1.112l-.001 4.146c0 2.193 -1.75 3.98 -3.919 3.98l-.268 -.01c-2.155 -.142 -3.827 -1.971 -3.811 -4.165q .018 -2.858 .692 -5.06c1.011 -3.307 2.076 -5.112 3.822 -6.375l.188 -.117a2.2 2.2 0 0 1 1.064 -.273c1.237 0 2.232 1.015 2.232 2.257l.001 3.475a2 2 0 0 0 .999 -1.732v-5a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbMacroFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.994 2.888l.006 .112v3a6 6 0 0 1 -5 5.916v4.186a6.98 6.98 0 0 1 5 -2.102a1 1 0 0 1 1 1a7 7 0 0 1 -14 0a1 1 0 0 1 1 -1c1.96 0 3.731 .805 5.002 2.103l-.002 -4.186a6 6 0 0 1 -5 -5.917v-3a1 1 0 0 1 1.555 -.832l2.317 1.544l1.42 -1.42a1 1 0 0 1 1.32 -.082l.095 .083l1.42 1.419l2.318 -1.544a1 1 0 0 1 1.55 .72m-10.865 13.24l.03 .134a5.01 5.01 0 0 0 3.71 3.61a5 5 0 0 0 -3.74 -3.744m9.742 .002l-.134 .03a5.01 5.01 0 0 0 -3.61 3.71a5 5 0 0 0 3.744 -3.74\"},\"child\":[]}]})(props);\n};\nexport function TbMagnetFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v4a9 9 0 0 1 -18 0v-4h7v4a2 2 0 1 0 4 0v-4zm-3 -7a3 3 0 0 1 3 3v2h-7v-2a3 3 0 0 1 3 -3zm-11 0a3 3 0 0 1 3 3v2h-7v-2a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbMailOpenedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.872 14.287l6.522 6.52a2.996 2.996 0 0 1 -2.218 1.188l-.176 .005h-14a2.995 2.995 0 0 1 -2.394 -1.191l6.521 -6.522l2.318 1.545l.116 .066a1 1 0 0 0 .878 0l.116 -.066l2.317 -1.545z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9.535l5.429 3.62l-5.429 5.43z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9.535v9.05l-5.43 -5.43z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.44 2.102l.115 .066l8.444 5.629l-8.999 6l-9 -6l8.445 -5.63a1 1 0 0 1 .994 -.065z\"},\"child\":[]}]})(props);\n};\nexport function TbMailFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 7.535v9.465a3 3 0 0 1 -2.824 2.995l-.176 .005h-14a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-9.465l9.445 6.297l.116 .066a1 1 0 0 0 .878 0l.116 -.066l9.445 -6.297z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4c1.08 0 2.027 .57 2.555 1.427l-9.555 6.37l-9.555 -6.37a2.999 2.999 0 0 1 2.354 -1.42l.201 -.007h14z\"},\"child\":[]}]})(props);\n};\nexport function TbManFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8c1.628 0 3.2 .787 4.707 2.293a1 1 0 0 1 -1.414 1.414c-.848 -.848 -1.662 -1.369 -2.444 -1.587l-.849 5.944v4.936a1 1 0 0 1 -2 0v-4h-2v4a1 1 0 0 1 -2 0v-4.929l-.85 -5.951c-.781 .218 -1.595 .739 -2.443 1.587a1 1 0 1 1 -1.414 -1.414c1.506 -1.506 3.08 -2.293 4.707 -2.293z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1a3 3 0 1 1 -3 3l.005 -.176a3 3 0 0 1 2.995 -2.824\"},\"child\":[]}]})(props);\n};\nexport function TbManualGearboxFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3a3 3 0 0 1 1 5.829v1.171a3 3 0 0 1 -3 3h-4v2.171a3.001 3.001 0 1 1 -4 2.829l.005 -.176a3 3 0 0 1 1.995 -2.654v-2.17h-5v2.171a3.001 3.001 0 1 1 -4 2.829l.005 -.176a3 3 0 0 1 1.995 -2.654v-6.341a3 3 0 0 1 -2 -2.829l.005 -.176a3 3 0 1 1 3.996 3.005l-.001 2.171h5v-2.17a3 3 0 0 1 -2 -2.83l.005 -.176a3 3 0 1 1 3.996 3.005l-.001 2.171h4a1 1 0 0 0 1 -1v-1.17a3 3 0 0 1 -2 -2.83l.005 -.176a3 3 0 0 1 2.995 -2.824\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 4.636a9 9 0 0 1 .203 12.519l-.203 .21l-4.243 4.242a3 3 0 0 1 -4.097 .135l-.144 -.135l-4.244 -4.243a9 9 0 0 1 12.728 -12.728zm-6.364 3.364a3 3 0 1 0 0 6a3 3 0 0 0 0 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbMedicalCrossFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 2l-.15 .005a2 2 0 0 0 -1.85 1.995v2.803l-2.428 -1.401a2 2 0 0 0 -2.732 .732l-1 1.732l-.073 .138a2 2 0 0 0 .805 2.594l2.427 1.402l-2.427 1.402a2 2 0 0 0 -.732 2.732l1 1.732l.083 .132a2 2 0 0 0 2.649 .6l2.428 -1.402v2.804a2 2 0 0 0 2 2h2l.15 -.005a2 2 0 0 0 1.85 -1.995v-2.804l2.428 1.403a2 2 0 0 0 2.732 -.732l1 -1.732l.073 -.138a2 2 0 0 0 -.805 -2.594l-2.428 -1.403l2.428 -1.402a2 2 0 0 0 .732 -2.732l-1 -1.732l-.083 -.132a2 2 0 0 0 -2.649 -.6l-2.428 1.4v-2.802a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircle2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.821 4.91c3.898 -2.765 9.469 -2.539 13.073 .536c3.667 3.127 4.168 8.238 1.152 11.897c-2.842 3.447 -7.965 4.583 -12.231 2.805l-.232 -.101l-4.375 .931l-.075 .013l-.11 .009l-.113 -.004l-.044 -.005l-.11 -.02l-.105 -.034l-.1 -.044l-.076 -.042l-.108 -.077l-.081 -.074l-.073 -.083l-.053 -.075l-.065 -.115l-.042 -.106l-.031 -.113l-.013 -.075l-.009 -.11l.004 -.113l.005 -.044l.02 -.11l.022 -.072l1.15 -3.451l-.022 -.036c-2.21 -3.747 -1.209 -8.392 2.411 -11.118l.23 -.168z\"},\"child\":[]}]})(props);\n};\nexport function TbMickeyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.501 2a4.5 4.5 0 0 1 .878 8.913a8 8 0 1 1 -15.374 3.372l-.005 -.285l.005 -.285a7.991 7.991 0 0 1 .615 -2.803a4.5 4.5 0 0 1 -3.187 -6.348a4.505 4.505 0 0 1 3.596 -2.539l.225 -.018l.281 -.007l.244 .009a4.5 4.5 0 0 1 4.215 4.247a8.001 8.001 0 0 1 4.013 0a4.5 4.5 0 0 1 4.493 -4.256z\"},\"child\":[]}]})(props);\n};\nexport function TbMicrophoneFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9a1 1 0 0 1 1 1a8 8 0 0 1 -6.999 7.938l-.001 2.062h3a1 1 0 0 1 0 2h-8a1 1 0 0 1 0 -2h3v-2.062a8 8 0 0 1 -7 -7.938a1 1 0 1 1 2 0a6 6 0 0 0 12 0a1 1 0 0 1 1 -1m-7 -8a4 4 0 0 1 4 4v5a4 4 0 1 1 -8 0v-5a4 4 0 0 1 4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMicrowaveFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-16a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2zm-6 2h-10v10h10zm4.01 7h-.01a1 1 0 0 0 -.117 1.993l.127 .007a1 1 0 0 0 0 -2m0 -3h-.01a1 1 0 0 0 -.117 1.993l.127 .007a1 1 0 0 0 0 -2m0 -3h-.01a1 1 0 0 0 -.117 1.993l.127 .007a1 1 0 0 0 0 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.945 9.668c1.336 -.891 2.274 -.891 3.61 0l-.089 -.056l.04 .017l.146 .064l.095 .044c.378 .171 .533 .23 .674 .255c.133 .023 .186 .005 .336 -.16a1 1 0 1 1 1.486 1.337c-.613 .681 -1.358 .934 -2.164 .794c-.368 -.064 -.621 -.161 -1.158 -.405a10 10 0 0 0 -.306 -.135l-.17 -.091c-.664 -.443 -.726 -.443 -1.39 0a1 1 0 1 1 -1.11 -1.664\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.945 12.668c1.336 -.891 2.274 -.891 3.61 0l-.089 -.056l.04 .017l.146 .064l.095 .044c.378 .171 .533 .23 .674 .255c.133 .023 .186 .005 .336 -.16a1 1 0 0 1 1.486 1.337c-.613 .681 -1.358 .934 -2.164 .794c-.368 -.064 -.621 -.161 -1.158 -.405a10 10 0 0 0 -.306 -.135l-.17 -.091c-.664 -.443 -.726 -.443 -1.39 0a1 1 0 1 1 -1.11 -1.664\"},\"child\":[]}]})(props);\n};\nexport function TbMoodConfuzedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-1.43 10.162a11 11 0 0 0 -6.6 1.65a1 1 0 0 0 1.06 1.696a9 9 0 0 1 5.4 -1.35a1 1 0 0 0 .14 -1.996zm-6.56 -4.502l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm6 0l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodEmptyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2 10.66h-6l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h6l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm-5.99 -5l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm6 0l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodHappyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-2 9.66h-6a1 1 0 0 0 -1 1v.05a3.975 3.975 0 0 0 3.777 3.97l.227 .005a4.026 4.026 0 0 0 3.99 -3.79l.006 -.206a1 1 0 0 0 -1 -1.029zm-5.99 -5l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993zm6 0l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodKidFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 7.046 -9.232a3 3 0 0 0 2.949 3.556a1 1 0 0 0 0 -2l-.117 -.007a1 1 0 0 1 .117 -1.993c1.726 0 3.453 .447 5 1.34zm-1.8 10.946a1 1 0 0 0 -1.414 .014a2.5 2.5 0 0 1 -3.572 0a1 1 0 0 0 -1.428 1.4a4.5 4.5 0 0 0 6.428 0a1 1 0 0 0 -.014 -1.414zm-6.19 -5.286l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993zm6 0l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodNeutralFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-7.99 5.66l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm6 0l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSadFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-5 9.86a4.5 4.5 0 0 0 -3.214 1.35a1 1 0 1 0 1.428 1.4a2.5 2.5 0 0 1 3.572 0a1 1 0 0 0 1.428 -1.4a4.5 4.5 0 0 0 -3.214 -1.35zm-2.99 -4.2l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm6 0l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSmileFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-1.8 10.946a1 1 0 0 0 -1.414 .014a2.5 2.5 0 0 1 -3.572 0a1 1 0 0 0 -1.428 1.4a4.5 4.5 0 0 0 6.428 0a1 1 0 0 0 -.014 -1.414zm-6.19 -5.286l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993zm6 0l-.127 .007a1 1 0 0 0 .117 1.993l.127 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbMoonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.992a10 10 0 1 0 9.236 13.838c.341 -.82 -.476 -1.644 -1.298 -1.31a6.5 6.5 0 0 1 -6.864 -10.787l.077 -.08c.551 -.63 .113 -1.653 -.758 -1.653h-.266l-.068 -.006l-.06 -.002z\"},\"child\":[]}]})(props);\n};\nexport function TbMouseFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a5 5 0 0 1 5 5v10a5 5 0 0 1 -5 5h-4a5 5 0 0 1 -5 -5v-10a5 5 0 0 1 5 -5zm-2 4a1 1 0 0 0 -1 1v4l.007 .117a1 1 0 0 0 1.993 -.117v-4l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbMushroomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v4a3 3 0 0 1 -5.995 .176l-.005 -.176v-4h6zm-10.1 -2a1.9 1.9 0 0 1 -1.894 -1.752l-.006 -.148c0 -5.023 4.027 -9.1 9 -9.1s9 4.077 9 9.1a1.9 1.9 0 0 1 -1.752 1.894l-.148 .006h-14.2z\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.092 2.581a1 1 0 0 1 1.754 -.116l.062 .116l8.005 17.365c.198 .566 .05 1.196 -.378 1.615a1.53 1.53 0 0 1 -1.459 .393l-7.077 -2.398l-6.899 2.338a1.535 1.535 0 0 1 -1.52 -.231l-.112 -.1c-.398 -.386 -.556 -.954 -.393 -1.556l.047 -.15l7.97 -17.276z\"},\"child\":[]}]})(props);\n};\nexport function TbOctagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.3 2h-6.6c-.562 0 -1.016 .201 -1.407 .593l-4.7 4.7a1.894 1.894 0 0 0 -.593 1.407v6.6c0 .562 .201 1.016 .593 1.407l4.7 4.7c.391 .392 .845 .593 1.407 .593h6.6c.562 0 1.016 -.201 1.407 -.593l4.7 -4.7c.392 -.391 .593 -.845 .593 -1.407v-6.6c0 -.562 -.201 -1.016 -.593 -1.407l-4.7 -4.7a1.894 1.894 0 0 0 -1.407 -.593z\"},\"child\":[]}]})(props);\n};\nexport function TbOvalVerticalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5c-5.457 0 -10 3.028 -10 7s4.543 7 10 7s10 -3.028 10 -7s-4.543 -7 -10 -7z\"},\"child\":[]}]})(props);\n};\nexport function TbOvalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c3.972 0 7 4.542 7 10s-3.028 10 -7 10c-3.9 0 -6.89 -4.379 -6.997 -9.703l-.003 -.297l.003 -.297c.107 -5.323 3.097 -9.703 6.997 -9.703z\"},\"child\":[]}]})(props);\n};\nexport function TbPaintFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2a3 3 0 0 1 2.995 2.824l.005 .176a3 3 0 0 1 3 3a6 6 0 0 1 -5.775 5.996l-.225 .004h-4l.15 .005a2 2 0 0 1 1.844 1.838l.006 .157v4a2 2 0 0 1 -1.85 1.995l-.15 .005h-2a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-4a2 2 0 0 1 1.85 -1.995l.15 -.005v-1a1 1 0 0 1 .883 -.993l.117 -.007h5a4 4 0 0 0 4 -4a1 1 0 0 0 -.883 -.993l-.117 -.007l-.005 .176a3 3 0 0 1 -2.819 2.819l-.176 .005h-10a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-2a3 3 0 0 1 2.824 -2.995l.176 -.005h10z\"},\"child\":[]}]})(props);\n};\nexport function TbPawFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c-1.32 0 -1.983 .421 -2.931 1.924l-.244 .398l-.395 .688a50.89 50.89 0 0 0 -.141 .254c-.24 .434 -.571 .753 -1.139 1.142l-.55 .365c-.94 .627 -1.432 1.118 -1.707 1.955c-.124 .338 -.196 .853 -.193 1.28c0 1.687 1.198 2.994 2.8 2.994l.242 -.006c.119 -.006 .234 -.017 .354 -.034l.248 -.043l.132 -.028l.291 -.073l.162 -.045l.57 -.17l.763 -.243l.455 -.136c.53 -.15 .94 -.222 1.283 -.222c.344 0 .753 .073 1.283 .222l.455 .136l.764 .242l.569 .171l.312 .084c.097 .024 .187 .045 .273 .062l.248 .043c.12 .017 .235 .028 .354 .034l.242 .006c1.602 0 2.8 -1.307 2.8 -3c0 -.427 -.073 -.939 -.207 -1.306c-.236 -.724 -.677 -1.223 -1.48 -1.83l-.257 -.19l-.528 -.38c-.642 -.47 -1.003 -.826 -1.253 -1.278l-.27 -.485l-.252 -.432c-1.011 -1.696 -1.618 -2.099 -3.053 -2.099z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.78 7h-.03c-1.219 .02 -2.35 1.066 -2.908 2.504c-.69 1.775 -.348 3.72 1.075 4.333c.256 .109 .527 .163 .801 .163c1.231 0 2.38 -1.053 2.943 -2.504c.686 -1.774 .34 -3.72 -1.076 -4.332a2.05 2.05 0 0 0 -.804 -.164z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.025 3c-.112 0 -.185 .002 -.27 .015l-.093 .016c-1.532 .206 -2.397 1.989 -2.108 3.855c.272 1.725 1.462 3.114 2.92 3.114l.187 -.005a1.26 1.26 0 0 0 .084 -.01l.092 -.016c1.533 -.206 2.397 -1.989 2.108 -3.855c-.27 -1.727 -1.46 -3.114 -2.92 -3.114z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.972 3c-1.459 0 -2.647 1.388 -2.916 3.113c-.29 1.867 .574 3.65 2.174 3.867c.103 .013 .2 .02 .296 .02c1.39 0 2.543 -1.265 2.877 -2.883l.041 -.23c.29 -1.867 -.574 -3.65 -2.174 -3.867a2.154 2.154 0 0 0 -.298 -.02z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.217 7c-.274 0 -.544 .054 -.797 .161c-1.426 .615 -1.767 2.562 -1.078 4.335c.563 1.451 1.71 2.504 2.941 2.504c.274 0 .544 -.054 .797 -.161c1.426 -.615 1.767 -2.562 1.078 -4.335c-.563 -1.451 -1.71 -2.504 -2.941 -2.504z\"},\"child\":[]}]})(props);\n};\nexport function TbPennant2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a1 1 0 0 1 .993 .883l.007 .117v17h1a1 1 0 0 1 .117 1.993l-.117 .007h-4a1 1 0 0 1 -.117 -1.993l.117 -.007h1v-7.351l-8.406 -3.735c-.752 -.335 -.79 -1.365 -.113 -1.77l.113 -.058l8.406 -3.736v-.35a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPennantFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2a1 1 0 0 1 .993 .883l.007 .117v.35l8.406 3.736c.752 .335 .79 1.365 .113 1.77l-.113 .058l-8.406 3.735v7.351h1a1 1 0 0 1 .117 1.993l-.117 .007h-4a1 1 0 0 1 -.117 -1.993l.117 -.007h1v-17a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.205 2.6l-6.96 5.238a3 3 0 0 0 -1.045 3.338l2.896 8.765a3 3 0 0 0 2.85 2.059h8.12a3 3 0 0 0 2.841 -2.037l2.973 -8.764a3 3 0 0 0 -1.05 -3.37l-7.033 -5.237l-.091 -.061l-.018 -.01l-.106 -.07a3 3 0 0 0 -3.377 .148z\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a1 1 0 0 1 .877 .519l.051 .11l2 5a1 1 0 0 1 -.313 1.16l-.1 .068l-1.674 1.004l.063 .103a10 10 0 0 0 3.132 3.132l.102 .062l1.005 -1.672a1 1 0 0 1 1.113 -.453l.115 .039l5 2a1 1 0 0 1 .622 .807l.007 .121v4c0 1.657 -1.343 3 -3.06 2.998c-8.579 -.521 -15.418 -7.36 -15.94 -15.998a3 3 0 0 1 2.824 -2.995l.176 -.005h4z\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.813 11.612c.457 -.38 .918 -.38 1.386 .011l.108 .098l4.986 4.986l.094 .083a1 1 0 0 0 1.403 -1.403l-.083 -.094l-1.292 -1.293l.292 -.293l.106 -.095c.457 -.38 .918 -.38 1.386 .011l.108 .098l4.674 4.675a4 4 0 0 1 -3.775 3.599l-.206 .005h-12a4 4 0 0 1 -3.98 -3.603l6.687 -6.69l.106 -.095zm9.187 -9.612a4 4 0 0 1 3.995 3.8l.005 .2v9.585l-3.293 -3.292l-.15 -.137c-1.256 -1.095 -2.85 -1.097 -4.096 -.017l-.154 .14l-.307 .306l-2.293 -2.292l-.15 -.137c-1.256 -1.095 -2.85 -1.097 -4.096 -.017l-.154 .14l-5.307 5.306v-9.585a4 4 0 0 1 3.8 -3.995l.2 -.005h12zm-2.99 5l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbPictureInPictureTopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4a1 1 0 0 1 0 2h-6a1 1 0 0 0 -1 1v10a1 1 0 0 0 1 1h14a1 1 0 0 0 1 -1v-4a1 1 0 0 1 2 0v4a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-5a2 2 0 0 1 -2 -2v-3a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbPictureInPictureFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v4a1 1 0 0 1 -2 0v-4a1 1 0 0 0 -1 -1h-14a1 1 0 0 0 -1 1v10a1 1 0 0 0 1 1h6a1 1 0 0 1 0 2h-6a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-5a2 2 0 0 1 -2 -2v-3a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbPinFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.113 3.21l.094 .083l5.5 5.5a1 1 0 0 1 -1.175 1.59l-3.172 3.171l-1.424 3.797a1 1 0 0 1 -.158 .277l-.07 .08l-1.5 1.5a1 1 0 0 1 -1.32 .082l-.095 -.083l-2.793 -2.792l-3.793 3.792a1 1 0 0 1 -1.497 -1.32l.083 -.094l3.792 -3.793l-2.792 -2.793a1 1 0 0 1 -.083 -1.32l.083 -.094l1.5 -1.5a1 1 0 0 1 .258 -.187l.098 -.042l3.796 -1.425l3.171 -3.17a1 1 0 0 1 1.497 -1.26z\"},\"child\":[]}]})(props);\n};\nexport function TbPinnedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3a1 1 0 0 1 .117 1.993l-.117 .007v4.764l1.894 3.789a1 1 0 0 1 .1 .331l.006 .116v2a1 1 0 0 1 -.883 .993l-.117 .007h-4v4a1 1 0 0 1 -1.993 .117l-.007 -.117v-4h-4a1 1 0 0 1 -.993 -.883l-.007 -.117v-2a1 1 0 0 1 .06 -.34l.046 -.107l1.894 -3.791v-4.762a1 1 0 0 1 -.117 -1.993l.117 -.007h8z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerEjectFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.247 3.341l-7 8c-.565 .647 -.106 1.659 .753 1.659h14c.86 0 1.318 -1.012 .753 -1.659l-7 -8a1 1 0 0 0 -1.506 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15h-12a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerPauseFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerPlayFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v16a1 1 0 0 0 1.524 .852l13 -8a1 1 0 0 0 0 -1.704l-13 -8a1 1 0 0 0 -1.524 .852z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerRecordFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5.072a8 8 0 1 1 -3.995 7.213l-.005 -.285l.005 -.285a8 8 0 0 1 3.995 -6.643z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerSkipBackFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.496 4.136l-12 7a1 1 0 0 0 0 1.728l12 7a1 1 0 0 0 1.504 -.864v-14a1 1 0 0 0 -1.504 -.864z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4a1 1 0 0 1 .993 .883l.007 .117v14a1 1 0 0 1 -1.993 .117l-.007 -.117v-14a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerSkipForwardFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14a1 1 0 0 0 1.504 .864l12 -7a1 1 0 0 0 0 -1.728l-12 -7a1 1 0 0 0 -1.504 .864z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4a1 1 0 0 1 .993 .883l.007 .117v14a1 1 0 0 1 -1.993 .117l-.007 -.117v-14a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerStopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h-10a3 3 0 0 0 -3 3v10a3 3 0 0 0 3 3h10a3 3 0 0 0 3 -3v-10a3 3 0 0 0 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerTrackNextFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5v14c0 .86 1.012 1.318 1.659 .753l8 -7a1 1 0 0 0 0 -1.506l-8 -7c-.647 -.565 -1.659 -.106 -1.659 .753z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5v14c0 .86 1.012 1.318 1.659 .753l8 -7a1 1 0 0 0 0 -1.506l-8 -7c-.647 -.565 -1.659 -.106 -1.659 .753z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerTrackPrevFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.341 4.247l-8 7a1 1 0 0 0 0 1.506l8 7c.647 .565 1.659 .106 1.659 -.753v-14c0 -.86 -1.012 -1.318 -1.659 -.753z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.341 4.247l-8 7a1 1 0 0 0 0 1.506l8 7c.647 .565 1.659 .106 1.659 -.753v-14c0 -.86 -1.012 -1.318 -1.659 -.753z\"},\"child\":[]}]})(props);\n};\nexport function TbPointFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7a5 5 0 1 1 -4.995 5.217l-.005 -.217l.005 -.217a5 5 0 0 1 4.995 -4.783z\"},\"child\":[]}]})(props);\n};\nexport function TbPointerFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.039 4.277l3.904 13.563c.185 .837 .92 1.516 1.831 1.642l.17 .016a2.2 2.2 0 0 0 1.982 -1.006l.045 -.078l1.4 -2.072l4.05 4.05a2.067 2.067 0 0 0 2.924 0l1.047 -1.047c.388 -.388 .606 -.913 .606 -1.461l-.008 -.182a2.067 2.067 0 0 0 -.598 -1.28l-4.047 -4.048l2.103 -1.412c.726 -.385 1.18 -1.278 1.053 -2.189a2.2 2.2 0 0 0 -1.701 -1.845l-13.524 -3.89a1 1 0 0 0 -1.236 1.24z\"},\"child\":[]}]})(props);\n};\nexport function TbPolaroidFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.199 9.623l.108 .098l3.986 3.986l.094 .083a1 1 0 0 0 1.403 -1.403l-.083 -.094l-.292 -.293l1.292 -1.293l.106 -.095c.457 -.38 .918 -.38 1.386 .011l.108 .098l4.502 4.503a4.003 4.003 0 0 1 -3.596 2.77l-.213 .006h-12a4.002 4.002 0 0 1 -3.809 -2.775l5.516 -5.518l.106 -.095c.457 -.38 .918 -.38 1.386 .011zm8.801 -7.623a4 4 0 0 1 3.995 3.8l.005 .2v6.585l-3.293 -3.292l-.15 -.137c-1.256 -1.095 -2.85 -1.097 -4.096 -.017l-.154 .14l-1.307 1.306l-2.293 -2.292l-.15 -.137c-1.256 -1.095 -2.85 -1.097 -4.096 -.017l-.154 .14l-4.307 4.306v-6.585a4 4 0 0 1 3.8 -3.995l.2 -.005h12zm-2.99 3l-.127 .007a1 1 0 0 0 0 1.986l.117 .007l.127 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.01 20a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.01 20a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.01 20a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993l.127 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbPuzzleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2a3 3 0 0 1 2.995 2.824l.005 .176v1h3a2 2 0 0 1 1.995 1.85l.005 .15v3h1a3 3 0 0 1 .176 5.995l-.176 .005h-1v3a2 2 0 0 1 -1.85 1.995l-.15 .005h-3a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-1a1 1 0 0 0 -1.993 -.117l-.007 .117v1a2 2 0 0 1 -1.85 1.995l-.15 .005h-3a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-3a2 2 0 0 1 1.85 -1.995l.15 -.005h1a1 1 0 0 0 .117 -1.993l-.117 -.007h-1a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-3a2 2 0 0 1 1.85 -1.995l.15 -.005h3v-1a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbRadarFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10a2 2 0 0 1 1.678 .911l.053 .089h7.269l.117 .007a1 1 0 0 1 .883 .993c0 5.523 -4.477 10 -10 10a1 1 0 0 1 -1 -1v-7.269l-.089 -.053a2 2 0 0 1 -.906 -1.529l-.005 -.149a2 2 0 0 1 2 -2m9.428 -1.334a1 1 0 0 1 -1.884 .668a8 8 0 1 0 -10.207 10.218a1 1 0 0 1 -.666 1.886a10 10 0 1 1 12.757 -12.772m-4.628 -.266a1 1 0 0 1 -1.6 1.2a4 4 0 1 0 -5.6 5.6a1 1 0 0 1 -1.2 1.6a6 6 0 1 1 8.4 -8.4\"},\"child\":[]}]})(props);\n};\nexport function TbRadioactiveFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11a1 1 0 0 1 1 1a10 10 0 0 1 -5 8.656a1 1 0 0 1 -1.302 -.268l-.064 -.098l-3 -5.19a.995 .995 0 0 1 -.133 -.542l.01 -.11l.023 -.106l.034 -.106l.046 -.1l.056 -.094l.067 -.089a.994 .994 0 0 1 .165 -.155l.098 -.064a2 2 0 0 0 .993 -1.57l.007 -.163a1 1 0 0 1 .883 -.994l.117 -.007h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3.344a10 10 0 0 1 10 0a1 1 0 0 1 .418 1.262l-.052 .104l-3 5.19l-.064 .098a.994 .994 0 0 1 -.155 .165l-.089 .067a1 1 0 0 1 -.195 .102l-.105 .034l-.107 .022a1.003 1.003 0 0 1 -.547 -.07l-.104 -.052a2 2 0 0 0 -1.842 -.082l-.158 .082a1 1 0 0 1 -1.302 -.268l-.064 -.098l-3 -5.19a1 1 0 0 1 .366 -1.366z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a1 1 0 0 1 .993 .884l.007 .117a2 2 0 0 0 .861 1.645l.237 .152a.994 .994 0 0 1 .165 .155l.067 .089l.056 .095l.045 .099c.014 .036 .026 .07 .035 .106l.022 .107l.011 .11a.994 .994 0 0 1 -.08 .437l-.053 .104l-3 5.19a1 1 0 0 1 -1.366 .366a10 10 0 0 1 -5 -8.656a1 1 0 0 1 .883 -.993l.117 -.007h6z\"},\"child\":[]}]})(props);\n};\nexport function TbRectangleVerticalFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2h-10a3 3 0 0 0 -3 3v14a3 3 0 0 0 3 3h10a3 3 0 0 0 3 -3v-14a3 3 0 0 0 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbRectangleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-14a3 3 0 0 0 -3 3v10a3 3 0 0 0 3 3h14a3 3 0 0 0 3 -3v-10a3 3 0 0 0 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbRelationManyToManyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-3.2 5.4c-.577 -.769 -1.8 -.361 -1.8 .6v4a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-1l1.2 1.6c.577 .769 1.8 .361 1.8 -.6v-4a1 1 0 0 0 -1 -1l-.117 .007a1 1 0 0 0 -.883 .993v1zm-9 0c-.577 -.769 -1.8 -.361 -1.8 .6v4a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-1l1.2 1.6c.577 .769 1.8 .361 1.8 -.6v-4a1 1 0 0 0 -1 -1l-.117 .007a1 1 0 0 0 -.883 .993v1zm5.2 3.1a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1m0 -3a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbRelationOneToManyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-4.2 5.4c-.577 -.769 -1.8 -.361 -1.8 .6v4a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-1l1.2 1.6c.577 .769 1.8 .361 1.8 -.6v-4a1 1 0 0 0 -1 -1l-.117 .007a1 1 0 0 0 -.883 .993v1zm-6.8 -.4h-1a1 1 0 1 0 0 2v3a1 1 0 0 0 2 0v-4a1 1 0 0 0 -1 -1m3 3.5a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1m0 -3a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbRelationOneToOneFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3zm-10 5h-1a1 1 0 1 0 0 2v3a1 1 0 0 0 2 0v-4a1 1 0 0 0 -1 -1m7 0h-1a1 1 0 0 0 0 2v3a1 1 0 0 0 2 0v-4a1 1 0 0 0 -1 -1m-4 3.5a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1m0 -3a1 1 0 0 0 -1 1v.01a1 1 0 0 0 2 0v-.01a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbReplaceFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2h-4a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h4a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14h-4a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h4a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.707 2.293a1 1 0 0 1 .083 1.32l-.083 .094l-1.293 1.293h3.586a3 3 0 0 1 2.995 2.824l.005 .176v3a1 1 0 0 1 -1.993 .117l-.007 -.117v-3a1 1 0 0 0 -.883 -.993l-.117 -.007h-3.585l1.292 1.293a1 1 0 0 1 -1.32 1.497l-.094 -.083l-3 -3a.98 .98 0 0 1 -.28 -.872l.036 -.146l.04 -.104c.058 -.126 .14 -.24 .245 -.334l2.959 -2.958a1 1 0 0 1 1.414 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a1 1 0 0 1 .993 .883l.007 .117v3a1 1 0 0 0 .883 .993l.117 .007h3.585l-1.292 -1.293a1 1 0 0 1 -.083 -1.32l.083 -.094a1 1 0 0 1 1.32 -.083l.094 .083l3 3a.98 .98 0 0 1 .28 .872l-.036 .146l-.04 .104a1.02 1.02 0 0 1 -.245 .334l-2.959 2.958a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.291 -1.293h-3.584a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-3a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteDiscountCheckFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.01 2.011a3.2 3.2 0 0 1 2.113 .797l.154 .145l.698 .698a1.2 1.2 0 0 0 .71 .341l.135 .008h1a3.2 3.2 0 0 1 3.195 3.018l.005 .182v1c0 .27 .092 .533 .258 .743l.09 .1l.697 .698a3.2 3.2 0 0 1 .147 4.382l-.145 .154l-.698 .698a1.2 1.2 0 0 0 -.341 .71l-.008 .135v1a3.2 3.2 0 0 1 -3.018 3.195l-.182 .005h-1a1.2 1.2 0 0 0 -.743 .258l-.1 .09l-.698 .697a3.2 3.2 0 0 1 -4.382 .147l-.154 -.145l-.698 -.698a1.2 1.2 0 0 0 -.71 -.341l-.135 -.008h-1a3.2 3.2 0 0 1 -3.195 -3.018l-.005 -.182v-1a1.2 1.2 0 0 0 -.258 -.743l-.09 -.1l-.697 -.698a3.2 3.2 0 0 1 -.147 -4.382l.145 -.154l.698 -.698a1.2 1.2 0 0 0 .341 -.71l.008 -.135v-1l.005 -.182a3.2 3.2 0 0 1 3.013 -3.013l.182 -.005h1a1.2 1.2 0 0 0 .743 -.258l.1 -.09l.698 -.697a3.2 3.2 0 0 1 2.269 -.944zm3.697 7.282a1 1 0 0 0 -1.414 0l-3.293 3.292l-1.293 -1.292l-.094 -.083a1 1 0 0 0 -1.32 1.497l2 2l.094 .083a1 1 0 0 0 1.32 -.083l4 -4l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.01 2.011a3.2 3.2 0 0 1 2.113 .797l.154 .145l.698 .698a1.2 1.2 0 0 0 .71 .341l.135 .008h1a3.2 3.2 0 0 1 3.195 3.018l.005 .182v1c0 .27 .092 .533 .258 .743l.09 .1l.697 .698a3.2 3.2 0 0 1 .147 4.382l-.145 .154l-.698 .698a1.2 1.2 0 0 0 -.341 .71l-.008 .135v1a3.2 3.2 0 0 1 -3.018 3.195l-.182 .005h-1a1.2 1.2 0 0 0 -.743 .258l-.1 .09l-.698 .697a3.2 3.2 0 0 1 -4.382 .147l-.154 -.145l-.698 -.698a1.2 1.2 0 0 0 -.71 -.341l-.135 -.008h-1a3.2 3.2 0 0 1 -3.195 -3.018l-.005 -.182v-1a1.2 1.2 0 0 0 -.258 -.743l-.09 -.1l-.697 -.698a3.2 3.2 0 0 1 -.147 -4.382l.145 -.154l.698 -.698a1.2 1.2 0 0 0 .341 -.71l.008 -.135v-1l.005 -.182a3.2 3.2 0 0 1 3.013 -3.013l.182 -.005h1a1.2 1.2 0 0 0 .743 -.258l.1 -.09l.698 -.697a3.2 3.2 0 0 1 2.269 -.944z\"},\"child\":[]}]})(props);\n};\nexport function TbSectionFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.01 19a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993zm-16 0a1 1 0 0 1 0 2a1 1 0 0 1 -.127 -1.993zm4 0a1 1 0 0 1 0 2a1 1 0 0 1 -.127 -1.993zm4 0a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993zm4 0a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993zm4 -16a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993zm-16 0a1 1 0 1 1 0 2a1 1 0 0 1 -.127 -1.993zm4 0a1 1 0 1 1 0 2a1 1 0 0 1 -.127 -1.993zm4 0a1 1 0 0 1 .117 1.993l-.127 .007a1 1 0 0 1 -.117 -1.993zm3.99 0a1 1 0 0 1 1 1a1 1 0 1 1 -2 .01c0 -.562 .448 -1.01 1 -1.01m3 4a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.647 4.081a.724 .724 0 0 0 1.08 .448c2.439 -1.485 5.23 1.305 3.745 3.744a.724 .724 0 0 0 .447 1.08c2.775 .673 2.775 4.62 0 5.294a.724 .724 0 0 0 -.448 1.08c1.485 2.439 -1.305 5.23 -3.744 3.745a.724 .724 0 0 0 -1.08 .447c-.673 2.775 -4.62 2.775 -5.294 0a.724 .724 0 0 0 -1.08 -.448c-2.439 1.485 -5.23 -1.305 -3.745 -3.744a.724 .724 0 0 0 -.447 -1.08c-2.775 -.673 -2.775 -4.62 0 -5.294a.724 .724 0 0 0 .448 -1.08c-1.485 -2.439 1.305 -5.23 3.744 -3.745a.722 .722 0 0 0 1.08 -.447c.673 -2.775 4.62 -2.775 5.294 0zm-2.647 4.919a3 3 0 1 0 0 6a3 3 0 0 0 0 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbShieldCheckFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.998 2l.118 .007l.059 .008l.061 .013l.111 .034a.993 .993 0 0 1 .217 .112l.104 .082l.255 .218a11 11 0 0 0 7.189 2.537l.342 -.01a1 1 0 0 1 1.005 .717a13 13 0 0 1 -9.208 16.25a1 1 0 0 1 -.502 0a13 13 0 0 1 -9.209 -16.25a1 1 0 0 1 1.005 -.717a11 11 0 0 0 7.531 -2.527l.263 -.225l.096 -.075a.993 .993 0 0 1 .217 -.112l.112 -.034a.97 .97 0 0 1 .119 -.021l.115 -.007zm3.71 7.293a1 1 0 0 0 -1.415 0l-3.293 3.292l-1.293 -1.292l-.094 -.083a1 1 0 0 0 -1.32 1.497l2 2l.094 .083a1 1 0 0 0 1.32 -.083l4 -4l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbShieldCheckeredFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.013 12v9.754a13 13 0 0 1 -8.733 -9.754h8.734zm9.284 3.794a13 13 0 0 1 -7.283 5.951l-.001 -9.745h8.708a12.96 12.96 0 0 1 -1.424 3.794zm-9.283 -13.268l-.001 7.474h-8.986c-.068 -1.432 .101 -2.88 .514 -4.282a1 1 0 0 1 1.005 -.717a11 11 0 0 0 7.192 -2.256l.276 -.219zm1.999 7.474v-7.453l-.09 -.073a11 11 0 0 0 7.189 2.537l.342 -.01a1 1 0 0 1 1.005 .717c.413 1.403 .582 2.85 .514 4.282h-8.96z\"},\"child\":[]}]})(props);\n};\nexport function TbShieldHalfFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a12 12 0 0 0 8.5 3a12 12 0 0 1 -8.5 15a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11h8.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h8.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5h3.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h6.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h8\"},\"child\":[]}]})(props);\n};\nexport function TbShieldLockFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.998 2l.118 .007l.059 .008l.061 .013l.111 .034a.993 .993 0 0 1 .217 .112l.104 .082l.255 .218a11 11 0 0 0 7.189 2.537l.342 -.01a1 1 0 0 1 1.005 .717a13 13 0 0 1 -9.208 16.25a1 1 0 0 1 -.502 0a13 13 0 0 1 -9.209 -16.25a1 1 0 0 1 1.005 -.717a11 11 0 0 0 7.531 -2.527l.263 -.225l.096 -.075a.993 .993 0 0 1 .217 -.112l.112 -.034a.97 .97 0 0 1 .119 -.021l.115 -.007zm.002 7a2 2 0 0 0 -1.995 1.85l-.005 .15l.005 .15a2 2 0 0 0 .995 1.581v1.769l.007 .117a1 1 0 0 0 1.993 -.117l.001 -1.768a2 2 0 0 0 -1.001 -3.732z\"},\"child\":[]}]})(props);\n};\nexport function TbShieldFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.884 2.007l.114 -.007l.118 .007l.059 .008l.061 .013l.111 .034a.993 .993 0 0 1 .217 .112l.104 .082l.255 .218a11 11 0 0 0 7.189 2.537l.342 -.01a1 1 0 0 1 1.005 .717a13 13 0 0 1 -9.208 16.25a1 1 0 0 1 -.502 0a13 13 0 0 1 -9.209 -16.25a1 1 0 0 1 1.005 -.717a11 11 0 0 0 7.531 -2.527l.263 -.225l.096 -.075a.993 .993 0 0 1 .217 -.112l.112 -.034a.97 .97 0 0 1 .119 -.021z\"},\"child\":[]}]})(props);\n};\nexport function TbShirtFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.883 3.007l.095 -.007l.112 .004l.113 .017l.113 .03l6 2a1 1 0 0 1 .677 .833l.007 .116v5a1 1 0 0 1 -.883 .993l-.117 .007h-2v7a2 2 0 0 1 -1.85 1.995l-.15 .005h-10a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-7h-2a1 1 0 0 1 -.993 -.883l-.007 -.117v-5a1 1 0 0 1 .576 -.906l.108 -.043l6 -2a1 1 0 0 1 1.316 .949a2 2 0 0 0 3.995 .15l.009 -.24l.017 -.113l.037 -.134l.044 -.103l.05 -.092l.068 -.093l.069 -.08c.056 -.054 .113 -.1 .175 -.14l.096 -.053l.103 -.044l.108 -.032l.112 -.02z\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2a1 1 0 0 1 .993 .883l.007 .117v1.068l13.071 .935a1 1 0 0 1 .929 1.024l-.01 .114l-1 7a1 1 0 0 1 -.877 .853l-.113 .006h-12v2h10a3 3 0 1 1 -2.995 3.176l-.005 -.176l.005 -.176c.017 -.288 .074 -.564 .166 -.824h-5.342a3 3 0 1 1 -5.824 1.176l-.005 -.176l.005 -.176a3.002 3.002 0 0 1 1.995 -2.654v-12.17h-1a1 1 0 0 1 -.993 -.883l-.007 -.117a1 1 0 0 1 .883 -.993l.117 -.007h2zm0 16a1 1 0 1 0 0 2a1 1 0 0 0 0 -2zm11 0a1 1 0 1 0 0 2a1 1 0 0 0 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSignLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a1 1 0 0 1 .993 .883l.007 .117v2h3a1 1 0 0 1 .993 .883l.007 .117v5a1 1 0 0 1 -.883 .993l-.117 .007h-3v8h1a1 1 0 0 1 .117 1.993l-.117 .007h-4a1 1 0 0 1 -.117 -1.993l.117 -.007h1v-8h-5a1 1 0 0 1 -.694 -.28l-.087 -.095l-2 -2.5a1 1 0 0 1 -.072 -1.147l.072 -.103l2 -2.5a1 1 0 0 1 .652 -.367l.129 -.008h5v-2a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbSignRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 2a1 1 0 0 1 .993 .883l.007 .117v2h5a1 1 0 0 1 .694 .28l.087 .095l2 2.5a1 1 0 0 1 .072 1.147l-.072 .103l-2 2.5a1 1 0 0 1 -.652 .367l-.129 .008h-5v8h1a1 1 0 0 1 .117 1.993l-.117 .007h-4a1 1 0 0 1 -.117 -1.993l.117 -.007h1v-8h-3a1 1 0 0 1 -.993 -.883l-.007 -.117v-5a1 1 0 0 1 .883 -.993l.117 -.007h3v-2a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbSoupFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10a2 2 0 0 1 2 2v.5c0 1.694 -2.247 5.49 -3.983 6.983l-.017 .013v.504a2 2 0 0 1 -1.85 1.995l-.15 .005h-8a2 2 0 0 1 -2 -2v-.496l-.065 -.053c-1.76 -1.496 -3.794 -4.965 -3.928 -6.77l-.007 -.181v-.5a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.417 3.188a1 1 0 1 1 1.166 1.624c-.375 .27 -.593 .706 -.583 1.209a1.4 1.4 0 0 0 .583 1.167a1 1 0 1 1 -1.166 1.624a3.38 3.38 0 0 1 -1.417 -2.791a3.4 3.4 0 0 1 1.417 -2.833\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.417 3.188a1 1 0 1 1 1.166 1.624c-.375 .27 -.593 .706 -.583 1.209a1.4 1.4 0 0 0 .583 1.167a1 1 0 1 1 -1.166 1.624a3.38 3.38 0 0 1 -1.417 -2.791a3.4 3.4 0 0 1 1.417 -2.833\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.417 3.188a1 1 0 1 1 1.166 1.624c-.375 .27 -.593 .706 -.583 1.209a1.4 1.4 0 0 0 .583 1.167a1 1 0 1 1 -1.166 1.624a3.38 3.38 0 0 1 -1.417 -2.791a3.4 3.4 0 0 1 1.417 -2.833\"},\"child\":[]}]})(props);\n};\nexport function TbSpadeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.327 2.26a1395.065 1395.065 0 0 0 -4.923 4.504c-.626 .6 -1.212 1.21 -1.774 1.843a6.528 6.528 0 0 0 -.314 8.245l.14 .177c1.012 1.205 2.561 1.755 4.055 1.574l.246 -.037l-.706 2.118a1 1 0 0 0 .949 1.316h6l.118 -.007a1 1 0 0 0 .83 -1.31l-.688 -2.065l.104 .02c1.589 .25 3.262 -.387 4.32 -1.785a6.527 6.527 0 0 0 -.311 -8.243a31.787 31.787 0 0 0 -1.76 -1.83l-4.938 -4.518a1 1 0 0 0 -1.348 -.001z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5a1 1 0 0 0 -1 1v5.585l-2.293 -2.292l-.094 -.083a1 1 0 0 0 -1.32 1.497l4 4l.094 .083l.092 .064l.098 .052l.11 .044l.112 .03l.126 .017l.075 .003l.117 -.007l.149 -.029l.105 -.035l.113 -.054l.111 -.071a.939 .939 0 0 0 .112 -.097l4 -4l.083 -.094a1 1 0 0 0 -.083 -1.32l-.094 -.083a1 1 0 0 0 -1.32 .083l-2.293 2.292v-5.585l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-6.293 5.293a1 1 0 0 0 -1.414 0l-4 4l-.083 .094l-.064 .092l-.052 .098l-.044 .11l-.03 .112l-.017 .126l-.003 .075l.004 .09l.007 .058l.025 .118l.035 .105l.054 .113l.071 .111c.03 .04 .061 .077 .097 .112l4 4l.094 .083a1 1 0 0 0 1.32 -.083l.083 -.094a1 1 0 0 0 -.083 -1.32l-2.292 -2.293h5.585l.117 -.007a1 1 0 0 0 -.117 -1.993h-5.585l2.292 -2.293l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-6.387 5.21a1 1 0 0 0 -1.32 .083l-.083 .094a1 1 0 0 0 .083 1.32l2.292 2.293h-5.585l-.117 .007a1 1 0 0 0 .117 1.993h5.585l-2.292 2.293l-.083 .094a1 1 0 0 0 1.497 1.32l4 -4l.073 -.082l.074 -.104l.052 -.098l.044 -.11l.03 -.112l.017 -.126l.003 -.075l-.007 -.118l-.029 -.148l-.035 -.105l-.054 -.113l-.071 -.111a1.008 1.008 0 0 0 -.097 -.112l-4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5l-.09 .004l-.058 .007l-.118 .025l-.105 .035l-.113 .054l-.111 .071a1.008 1.008 0 0 0 -.112 .097l-4 4l-.083 .094a1 1 0 0 0 .083 1.32l.094 .083a1 1 0 0 0 1.32 -.083l2.293 -2.292v5.585l.007 .117a1 1 0 0 0 1.993 -.117v-5.585l2.293 2.292l.094 .083a1 1 0 0 0 1.32 -1.497l-4 -4l-.082 -.073l-.104 -.074l-.098 -.052l-.11 -.044l-.112 -.03l-.126 -.017l-.075 -.003z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareAsteriskFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5.5a1 1 0 0 0 -1 1v1.631l-1.445 -.963l-.101 -.06a1 1 0 0 0 -1.009 1.724l1.75 1.168l-1.75 1.169l-.093 .07a1 1 0 0 0 1.203 1.594l1.445 -.965v1.632l.007 .117a1 1 0 0 0 1.993 -.117v-1.631l1.445 .963l.101 .06a1 1 0 0 0 1.009 -1.724l-1.752 -1.169l1.752 -1.167l.093 -.07a1 1 0 0 0 -1.203 -1.594l-1.445 .962v-1.63l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareCheckFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.626 7.293a1 1 0 0 0 -1.414 0l-3.293 3.292l-1.293 -1.292l-.094 -.083a1 1 0 0 0 -1.32 1.497l2 2l.094 .083a1 1 0 0 0 1.32 -.083l4 -4l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-9.387 8.21a1 1 0 0 0 -1.32 1.497l3 3l.094 .083a1 1 0 0 0 1.32 -.083l3 -3l.083 -.094a1 1 0 0 0 -.083 -1.32l-.094 -.083a1 1 0 0 0 -1.32 .083l-2.293 2.292l-2.293 -2.292z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5.293 6.293a1 1 0 0 0 -1.414 0l-3 3l-.083 .094a1 1 0 0 0 .083 1.32l3 3l.094 .083a1 1 0 0 0 1.32 -.083l.083 -.094a1 1 0 0 0 -.083 -1.32l-2.292 -2.293l2.292 -2.293l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7.387 6.21a1 1 0 0 0 -1.32 .083l-.083 .094a1 1 0 0 0 .083 1.32l2.292 2.293l-2.292 2.293l-.083 .094a1 1 0 0 0 1.497 1.32l3 -3l.083 -.094a1 1 0 0 0 -.083 -1.32l-3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-6.387 7.21a1 1 0 0 0 -1.32 .083l-3 3l-.083 .094a1 1 0 0 0 .083 1.32l.094 .083a1 1 0 0 0 1.32 -.083l2.293 -2.292l2.293 2.292l.094 .083a1 1 0 0 0 1.32 -1.497l-3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-9.387 10.21a1 1 0 0 0 -1.32 1.497l3 3l.094 .083a1 1 0 0 0 1.32 -.083l3 -3l.083 -.094a1 1 0 0 0 -.083 -1.32l-.094 -.083a1 1 0 0 0 -1.32 .083l-2.293 2.292l-2.293 -2.292zm0 -5a1 1 0 0 0 -1.32 1.497l3 3l.094 .083a1 1 0 0 0 1.32 -.083l3 -3l.083 -.094a1 1 0 0 0 -.083 -1.32l-.094 -.083a1 1 0 0 0 -1.32 .083l-2.293 2.292l-2.293 -2.292z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-2.293 6.293a1 1 0 0 0 -1.414 0l-3 3l-.083 .094a1 1 0 0 0 .083 1.32l3 3l.094 .083a1 1 0 0 0 1.32 -.083l.083 -.094a1 1 0 0 0 -.083 -1.32l-2.292 -2.293l2.292 -2.293l.083 -.094a1 1 0 0 0 -.083 -1.32zm-5 0a1 1 0 0 0 -1.414 0l-3 3l-.083 .094a1 1 0 0 0 .083 1.32l3 3l.094 .083a1 1 0 0 0 1.32 -.083l.083 -.094a1 1 0 0 0 -.083 -1.32l-2.292 -2.293l2.292 -2.293l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-10.387 6.21a1 1 0 0 0 -1.32 .083l-.083 .094a1 1 0 0 0 .083 1.32l2.292 2.293l-2.292 2.293l-.083 .094a1 1 0 0 0 1.497 1.32l3 -3l.083 -.094a1 1 0 0 0 -.083 -1.32l-3 -3zm5 0a1 1 0 0 0 -1.32 .083l-.083 .094a1 1 0 0 0 .083 1.32l2.292 2.293l-2.292 2.293l-.083 .094a1 1 0 0 0 1.497 1.32l3 -3l.083 -.094a1 1 0 0 0 -.083 -1.32l-3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-6.387 10.21a1 1 0 0 0 -1.32 .083l-3 3l-.083 .094a1 1 0 0 0 .083 1.32l.094 .083a1 1 0 0 0 1.32 -.083l2.293 -2.292l2.293 2.292l.094 .083a1 1 0 0 0 1.32 -1.497l-3 -3zm0 -5a1 1 0 0 0 -1.32 .083l-3 3l-.083 .094a1 1 0 0 0 .083 1.32l.094 .083a1 1 0 0 0 1.32 -.083l2.293 -2.292l2.293 2.292l.094 .083a1 1 0 0 0 1.32 -1.497l-3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareDotFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 8a2 2 0 0 0 -1.995 1.85l-.005 .15l.005 .15a2 2 0 1 0 1.995 -2.15z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF0Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.833 6a2.5 2.5 0 0 0 -2.495 2.336l-.005 .164v3l.005 .164a2.5 2.5 0 0 0 4.99 0l.005 -.164v-3l-.005 -.164a2.5 2.5 0 0 0 -2.495 -2.336zm-4.5 0h-2l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117v6l.007 .117a1 1 0 0 0 .876 .876l.117 .007l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117v-2h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm4.5 2a.5 .5 0 0 1 .492 .41l.008 .09v3l-.008 .09a.5 .5 0 0 1 -.984 0l-.008 -.09v-3l.008 -.09a.5 .5 0 0 1 .492 -.41z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-8.333 6h-2l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117v6l.007 .117a1 1 0 0 0 .876 .876l.117 .007l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117v-2h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm5.994 .886c-.083 -.777 -1.008 -1.16 -1.617 -.67l-.084 .077l-2 2l-.083 .094a1 1 0 0 0 0 1.226l.083 .094l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l.293 -.293v3.586l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-6l-.006 -.114z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.333 6h-2l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h2v1h-1l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v1l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-2v-1h1l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-1l-.005 -.15a2 2 0 0 0 -1.995 -1.85zm-5 0h-2l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117v6l.007 .117a1 1 0 0 0 .876 .876l.117 .007l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117v-2h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.833 6h-1l-.144 .007a1.5 1.5 0 0 0 -1.356 1.493a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .727 -.457l.02 -.036h.636l.09 .008a.5 .5 0 0 1 0 .984l-.09 .008h-.5l-.133 .007c-1.156 .124 -1.156 1.862 0 1.986l.133 .007h.5l.09 .008a.5 .5 0 0 1 .41 .492l-.008 .09a.5 .5 0 0 1 -.492 .41h-.635l-.02 -.036a1 1 0 0 0 -1.845 .536a1.5 1.5 0 0 0 1.5 1.5h1l.164 -.005a2.5 2.5 0 0 0 2.336 -2.495l-.005 -.164a2.487 2.487 0 0 0 -.477 -1.312l-.019 -.024l.126 -.183a2.5 2.5 0 0 0 -2.125 -3.817zm-4.5 0h-2l-.117 .007a1 1 0 0 0 -.883 .993v6l.007 .117a1 1 0 0 0 .993 .883l.117 -.007a1 1 0 0 0 .883 -.993v-2h1l.117 -.007a1 1 0 0 0 -.117 -1.993h-1v-1h1l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.333 6a1 1 0 0 0 -.993 .883l-.007 .117v2h-1v-2l-.007 -.117a1 1 0 0 0 -1.986 0l-.007 .117v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h1v2l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-6l-.007 -.117a1 1 0 0 0 -.993 -.883zm-6 0h-2l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117v6l.007 .117a1 1 0 0 0 .876 .876l.117 .007l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117v-2h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF5Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.333 6h-3l-.117 .007a1 1 0 0 0 -.857 .764l-.02 .112l-.006 .117v3l.007 .117a1 1 0 0 0 .764 .857l.112 .02l.117 .006h2v1h-1.033l-.025 -.087l-.049 -.113a1 1 0 0 0 -1.893 .45c0 .867 .63 1.587 1.458 1.726l.148 .018l.144 .006h1.25l.157 -.006a2 2 0 0 0 1.819 -1.683l.019 -.162l.005 -.149v-1l-.006 -.157a2 2 0 0 0 -1.683 -1.819l-.162 -.019l-.149 -.005h-1v-1h2l.117 -.007a1 1 0 0 0 .857 -.764l.02 -.112l.006 -.117l-.007 -.117a1 1 0 0 0 -.764 -.857l-.112 -.02l-.117 -.006zm-6 0h-2l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117v6l.007 .117a1 1 0 0 0 .876 .876l.117 .007l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117v-2h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF6Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.083 6h-1.25l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v4l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h1l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-1l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006h-1v-1h1.032l.026 .087a1 1 0 0 0 1.942 -.337a1.75 1.75 0 0 0 -1.606 -1.744l-.144 -.006zm-5.25 0h-2l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117v6l.007 .117a1 1 0 0 0 .876 .876l.117 .007l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117v-2h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm5 5v1h-1v-1h1z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF7Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-2.333 6h-3l-.117 .007a1 1 0 0 0 -.883 .993l.007 .117a1 1 0 0 0 .993 .883h1.718l-1.188 4.757l-.022 .115a1 1 0 0 0 1.962 .37l1.5 -6l.021 -.11a1 1 0 0 0 -.991 -1.132zm-6 0h-2l-.117 .007a1 1 0 0 0 -.883 .993v6l.007 .117a1 1 0 0 0 .993 .883l.117 -.007a1 1 0 0 0 .883 -.993v-2h1l.117 -.007a1 1 0 0 0 -.117 -1.993h-1v-1h1l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF8Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.333 6h-1l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v1l.005 .15c.018 .236 .077 .46 .17 .667l.075 .152l.018 .03l-.018 .032c-.133 .24 -.218 .509 -.243 .795l-.007 .174v1l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h1l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-1l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-1l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm-5 0h-2l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117v6l.007 .117a1 1 0 0 0 .876 .876l.117 .007l.117 -.007a1 1 0 0 0 .876 -.876l.007 -.117v-2h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007zm5 5v1h-1v-1h1zm0 -3v1h-1v-1h1z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF9Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-3.083 6h-1.5l-.144 .006a1.75 1.75 0 0 0 -1.606 1.744v1.5l.006 .144a1.75 1.75 0 0 0 1.744 1.606h1.25v1h-1.033l-.025 -.087a1 1 0 0 0 -1.942 .337c0 .966 .784 1.75 1.75 1.75h1.5l.144 -.006a1.75 1.75 0 0 0 1.606 -1.744v-4.5l-.006 -.144a1.75 1.75 0 0 0 -1.744 -1.606zm-5.25 0h-2l-.117 .007a1 1 0 0 0 -.883 .993v6l.007 .117a1 1 0 0 0 .993 .883l.117 -.007a1 1 0 0 0 .883 -.993v-2h1l.117 -.007a1 1 0 0 0 -.117 -1.993h-1v-1h1l.117 -.007a1 1 0 0 0 -.117 -1.993zm5 2v1h-1v-1h1z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterAFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5a3 3 0 0 0 -3 3v6a1 1 0 0 0 2 0v-2h2v2a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-6a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v2h-2v-2a1 1 0 0 1 .883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterBFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3l-.005 -.176a3 3 0 0 0 -.654 -1.7l-.106 -.124l.106 -.124a3 3 0 0 0 -2.341 -4.876m0 6a1 1 0 0 1 0 2h-1v-2zm0 -4a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterCFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0a1 1 0 0 0 -1.993 -.117l-.007 .117a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1.993 -.117l.007 .117a1 1 0 0 0 2 0a3 3 0 0 0 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterDFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-1v-6z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterEFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-2h1.5a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-1.5v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterFFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-2v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterGFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5h-2a3 3 0 0 0 -3 3v4a3 3 0 0 0 3 3h2a1 1 0 0 0 1 -1v-4a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883v2h-1a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterHFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5a1 1 0 0 0 -1 1v3h-2v-3a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-3h2v3a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterIFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterJFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h3v5a1 1 0 0 1 -1.993 .117l-.007 -.117a1 1 0 0 0 -2 0a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterKFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-4.47 5.152a1 1 0 0 0 -1.378 .318l-2.152 3.443v-2.913a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-2.914l2.152 3.444a1 1 0 0 0 1.276 .374l.102 -.056l.095 -.068a1 1 0 0 0 .223 -1.31l-2.17 -3.47l2.17 -3.47a1 1 0 0 0 -.318 -1.378\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterLFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-9 5a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-7a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterMFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-3 6c0 -1.014 -1.336 -1.384 -1.857 -.514l-2.143 3.57l-2.143 -3.57c-.521 -.87 -1.857 -.5 -1.857 .514v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-4.39l1.143 1.904l.074 .108a1 1 0 0 0 1.64 -.108l1.143 -1.904v4.39a1 1 0 0 0 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterNFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-8.106 5.553c-.471 -.944 -1.894 -.608 -1.894 .447v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3.764l2.106 4.211c.471 .944 1.894 .608 1.894 -.447v-8a1 1 0 0 0 -1 -1l-.117 .007a1 1 0 0 0 -.883 .993v3.764z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterOFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterPFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h1a3 3 0 0 0 0 -6m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterQFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5a3 3 0 0 0 -3 3v4a3 3 0 0 0 4.168 2.764l.125 -.057a1 1 0 0 0 1.414 -1.414l.057 -.125a3 3 0 0 0 .236 -1.168v-4a3 3 0 0 0 -3 -3m1 7.001h-.059a.996 .996 0 0 0 -.941 1a1 1 0 0 1 -1 -1.001v-4a1 1 0 0 1 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterRFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-7 5h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-2.332l2.2 2.932a1 1 0 0 0 1.4 .2l.096 -.081a1 1 0 0 0 .104 -1.319l-1.903 -2.538l.115 -.037a3.001 3.001 0 0 0 -1.012 -5.825m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterSFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-6 5h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2v2h-2a1 1 0 0 0 -2 0a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterTFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5h-4a1 1 0 1 0 0 2h1v7a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-7h1a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterUFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5a1 1 0 0 0 -1 1v6a1 1 0 0 1 -2 0v-6a1 1 0 0 0 -2 0v6a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterVFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-4.757 5.03a1 1 0 0 0 -1.213 .727l-1.03 4.118l-1.03 -4.118a1 1 0 1 0 -1.94 .486l2 8c.252 1.01 1.688 1.01 1.94 0l2 -8a1 1 0 0 0 -.727 -1.213\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterWFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-4.992 5.876l-.52 4.153l-.56 -1.4c-.319 -.799 -1.41 -.837 -1.803 -.114l-.053 .114l-.561 1.4l-.519 -4.153a1 1 0 0 0 -1 -.876l-.116 .008a1 1 0 0 0 -.868 1.116l1 8c.128 1.025 1.537 1.207 1.92 .247l1.072 -2.678l1.072 2.678c.383 .96 1.792 .778 1.92 -.247l1 -8a1 1 0 0 0 -1.984 -.248\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-4.553 5.106a1 1 0 0 0 -1.341 .447l-1.106 2.21l-1.106 -2.21a1 1 0 0 0 -1.234 -.494l-.107 .047a1 1 0 0 0 -.447 1.341l1.774 3.553l-1.775 3.553a1 1 0 0 0 .345 1.283l.102 .058a1 1 0 0 0 1.341 -.447l1.107 -2.211l1.106 2.211a1 1 0 0 0 1.234 .494l.107 -.047a1 1 0 0 0 .447 -1.341l-1.776 -3.553l1.776 -3.553a1 1 0 0 0 -.345 -1.283z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterYFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-4.629 5.072a1 1 0 0 0 -1.3 .557l-1.071 2.678l-1.072 -2.678a1 1 0 0 0 -1.856 .742l1.928 4.823v2.806a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-2.809l1.928 -4.82a1 1 0 0 0 -.45 -1.25z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterZFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-5 5h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h2.382l-3.276 6.553a1 1 0 0 0 .894 1.447h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-2.382l3.276 -6.553a1 1 0 0 0 -.894 -1.447\"},\"child\":[]}]})(props);\n};\nexport function TbSquareMinusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2a3 3 0 0 1 3 3v14a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3v-14a3 3 0 0 1 3 -3zm-4 9h-6l-.117 .007a1 1 0 0 0 .117 1.993h6l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber0Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-6.333 5a3 3 0 0 0 -2.995 2.824l-.005 .176v4l.005 .176a3 3 0 0 0 5.99 0l.005 -.176v-4l-.005 -.176a3 3 0 0 0 -2.995 -2.824zm0 2a1 1 0 0 1 .993 .883l.007 .117v4l-.007 .117a1 1 0 0 1 -1.986 0l-.007 -.117v-4l.007 -.117a1 1 0 0 1 .993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-5.339 5.886c-.083 -.777 -1.008 -1.16 -1.617 -.67l-.084 .077l-2 2l-.083 .094a1 1 0 0 0 0 1.226l.083 .094l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l.293 -.293v5.586l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.006 -.114z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-5.333 5h-3l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h3v2h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h3l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-3v-2h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-5.333 5h-2l-.15 .005a2 2 0 0 0 -1.85 1.995a1 1 0 0 0 1.974 .23l.02 -.113l.006 -.117h2v2h-2l-.133 .007c-1.111 .12 -1.154 1.73 -.128 1.965l.128 .021l.133 .007h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-4.333 5a1 1 0 0 0 -.993 .883l-.007 .117v3h-2v-3l-.007 -.117a1 1 0 0 0 -1.986 0l-.007 .117v3l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v3l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber5Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-4.333 5h-4a1 1 0 0 0 -.993 .883l-.007 .117v4a1 1 0 0 0 .883 .993l.117 .007h3v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2a2 2 0 0 0 1.995 -1.85l.005 -.15v-2a2 2 0 0 0 -1.85 -1.995l-.15 -.005h-2v-2h3a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -.883 -.993l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber6Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-5.333 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v6l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -1.85 -1.995l-.15 -.005zm0 6v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber7Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-4.333 5h-4l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117l.007 .117a1 1 0 0 0 .876 .876l.117 .007h2.718l-1.688 6.757l-.022 .115a1 1 0 0 0 1.927 .482l.035 -.111l2 -8l.021 -.112a1 1 0 0 0 -.878 -1.125l-.113 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber8Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-5.333 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15c.018 .236 .077 .46 .17 .667l.075 .152l.018 .03l-.018 .032c-.133 .24 -.218 .509 -.243 .795l-.007 .174v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 6v2h-2v-2h2zm0 -4v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber9Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.333 2c1.96 0 3.56 1.537 3.662 3.472l.005 .195v12.666c0 1.96 -1.537 3.56 -3.472 3.662l-.195 .005h-12.666a3.667 3.667 0 0 1 -3.662 -3.472l-.005 -.195v-12.666c0 -1.96 1.537 -3.56 3.472 -3.662l.195 -.005h12.666zm-5.333 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-6l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 2v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRotatedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.793 2.893l-6.9 6.9c-1.172 1.171 -1.172 3.243 0 4.414l6.9 6.9c1.171 1.172 3.243 1.172 4.414 0l6.9 -6.9c1.172 -1.171 1.172 -3.243 0 -4.414l-6.9 -6.9c-1.171 -1.172 -3.243 -1.172 -4.414 0z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm0 5a1 1 0 0 1 .993 .883l.007 .117v5.585l2.293 -2.292a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 .083 1.32l-.083 .094l-4 4a1.008 1.008 0 0 1 -.112 .097l-.11 .071l-.114 .054l-.105 .035l-.149 .03l-.117 .006l-.075 -.003l-.126 -.017l-.111 -.03l-.111 -.044l-.098 -.052l-.092 -.064l-.094 -.083l-4 -4a1 1 0 0 1 1.32 -1.497l.094 .083l2.293 2.292v-5.585a1 1 0 0 1 1 -1z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.324 .001l.318 .004l.616 .017l.299 .013l.579 .034l.553 .046c4.785 .464 6.732 2.411 7.196 7.196l.046 .553l.034 .579c.005 .098 .01 .198 .013 .299l.017 .616l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.464 4.785 -2.411 6.732 -7.196 7.196l-.553 .046l-.579 .034c-.098 .005 -.198 .01 -.299 .013l-.616 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.785 -.464 -6.732 -2.411 -7.196 -7.196l-.046 -.553l-.034 -.579a28.058 28.058 0 0 1 -.013 -.299l-.017 -.616c-.003 -.21 -.005 -.424 -.005 -.642l.001 -.324l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.464 -4.785 2.411 -6.732 7.196 -7.196l.553 -.046l.579 -.034c.098 -.005 .198 -.01 .299 -.013l.616 -.017c.21 -.003 .424 -.005 .642 -.005zm.707 5.293a1 1 0 0 0 -1.414 0l-4 4a1.037 1.037 0 0 0 -.2 .284l-.022 .052a.95 .95 0 0 0 -.06 .222l-.008 .067l-.002 .063v-.035v.073a1.034 1.034 0 0 0 .07 .352l.023 .052l.03 .061l.022 .037a1.2 1.2 0 0 0 .05 .074l.024 .03l.073 .082l4 4l.094 .083a1 1 0 0 0 1.32 -.083l.083 -.094a1 1 0 0 0 -.083 -1.32l-2.292 -2.293h5.585l.117 -.007a1 1 0 0 0 -.117 -1.993h-5.585l2.292 -2.293l.083 -.094a1 1 0 0 0 -.083 -1.32z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm.613 5.21l.094 .083l4 4a.927 .927 0 0 1 .097 .112l.071 .11l.054 .114l.035 .105l.03 .148l.006 .118l-.003 .075l-.017 .126l-.03 .111l-.044 .111l-.052 .098l-.074 .104l-.073 .082l-4 4a1 1 0 0 1 -1.497 -1.32l.083 -.094l2.292 -2.293h-5.585a1 1 0 0 1 -.117 -1.993l.117 -.007h5.585l-2.292 -2.293a1 1 0 0 1 -.083 -1.32l.083 -.094a1 1 0 0 1 1.32 -.083z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm-.148 5.011l.058 -.007l.09 -.004l.075 .003l.126 .017l.111 .03l.111 .044l.098 .052l.104 .074l.082 .073l4 4a1 1 0 0 1 -1.32 1.497l-.094 -.083l-2.293 -2.292v5.585a1 1 0 0 1 -1.993 .117l-.007 -.117v-5.585l-2.293 2.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 -.083 -1.32l.083 -.094l4 -4a.927 .927 0 0 1 .112 -.097l.11 -.071l.114 -.054l.105 -.035l.118 -.025z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedCheckFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm2.293 7.293a1 1 0 0 1 1.497 1.32l-.083 .094l-4 4a1 1 0 0 1 -1.32 .083l-.094 -.083l-2 -2a1 1 0 0 1 1.32 -1.497l.094 .083l1.293 1.292l3.293 -3.292z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm-3.707 8.293a1 1 0 0 1 1.32 -.083l.094 .083l2.293 2.292l2.293 -2.292a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 .083 1.32l-.083 .094l-3 3a1 1 0 0 1 -1.32 .083l-.094 -.083l-3 -3a1 1 0 0 1 0 -1.414z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.324 .001l.318 .004l.616 .017l.299 .013l.579 .034l.553 .046c4.785 .464 6.732 2.411 7.196 7.196l.046 .553l.034 .579c.005 .098 .01 .198 .013 .299l.017 .616l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.464 4.785 -2.411 6.732 -7.196 7.196l-.553 .046l-.579 .034c-.098 .005 -.198 .01 -.299 .013l-.616 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.785 -.464 -6.732 -2.411 -7.196 -7.196l-.046 -.553l-.034 -.579a28.058 28.058 0 0 1 -.013 -.299l-.017 -.616c-.003 -.21 -.005 -.424 -.005 -.642l.001 -.324l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.464 -4.785 2.411 -6.732 7.196 -7.196l.553 -.046l.579 -.034c.098 -.005 .198 -.01 .299 -.013l.616 -.017c.21 -.003 .424 -.005 .642 -.005zm1.707 6.293a1 1 0 0 0 -1.414 0l-3 3l-.083 .094a1 1 0 0 0 .083 1.32l3 3l.094 .083a1 1 0 0 0 1.32 -.083l.083 -.094a1 1 0 0 0 -.083 -1.32l-2.292 -2.293l2.292 -2.293l.083 -.094a1 1 0 0 0 -.083 -1.32z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm-1.707 6.293a1 1 0 0 1 1.32 -.083l.094 .083l3 3a1 1 0 0 1 .083 1.32l-.083 .094l-3 3a1 1 0 0 1 -1.497 -1.32l.083 -.094l2.292 -2.293l-2.292 -2.293a1 1 0 0 1 -.083 -1.32l.083 -.094z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm-.707 7.293a1 1 0 0 1 1.32 -.083l.094 .083l3 3a1 1 0 0 1 -1.32 1.497l-.094 -.083l-2.293 -2.292l-2.293 2.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 -.083 -1.32l.083 -.094l3 -3z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm-3.707 6.293a1 1 0 0 1 1.32 -.083l.094 .083l2.293 2.292l2.293 -2.292a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 .083 1.32l-.083 .094l-3 3a1 1 0 0 1 -1.32 .083l-.094 -.083l-3 -3a1 1 0 0 1 0 -1.414zm0 4a1 1 0 0 1 1.32 -.083l.094 .083l2.293 2.292l2.293 -2.292a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 .083 1.32l-.083 .094l-3 3a1 1 0 0 1 -1.32 .083l-.094 -.083l-3 -3a1 1 0 0 1 0 -1.414z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm2.293 6.293a1 1 0 0 1 1.497 1.32l-.083 .094l-2.292 2.293l2.292 2.293a1 1 0 0 1 .083 1.32l-.083 .094a1 1 0 0 1 -1.32 .083l-.094 -.083l-3 -3a1 1 0 0 1 -.083 -1.32l.083 -.094l3 -3zm-4 0a1 1 0 0 1 1.497 1.32l-.083 .094l-2.292 2.293l2.292 2.293a1 1 0 0 1 .083 1.32l-.083 .094a1 1 0 0 1 -1.32 .083l-.094 -.083l-3 -3a1 1 0 0 1 -.083 -1.32l.083 -.094l3 -3z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm-3.707 6.293a1 1 0 0 1 1.32 -.083l.094 .083l3 3a1 1 0 0 1 .083 1.32l-.083 .094l-3 3a1 1 0 0 1 -1.497 -1.32l.083 -.094l2.292 -2.293l-2.292 -2.293a1 1 0 0 1 -.083 -1.32l.083 -.094zm4 0a1 1 0 0 1 1.32 -.083l.094 .083l3 3a1 1 0 0 1 .083 1.32l-.083 .094l-3 3a1 1 0 0 1 -1.497 -1.32l.083 -.094l2.292 -2.293l-2.292 -2.293a1 1 0 0 1 -.083 -1.32l.083 -.094z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001zm-.707 9.293a1 1 0 0 1 1.32 -.083l.094 .083l3 3a1 1 0 0 1 -1.32 1.497l-.094 -.083l-2.293 -2.292l-2.293 2.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 -.083 -1.32l.083 -.094l3 -3zm0 -4a1 1 0 0 1 1.32 -.083l.094 .083l3 3a1 1 0 0 1 -1.32 1.497l-.094 -.083l-2.293 -2.292l-2.293 2.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 -.083 -1.32l.083 -.094l3 -3z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterAFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999a3 3 0 0 0 -3 3v6a1 1 0 0 0 2 0v-2h2v2a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-6a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v2h-2v-2a1 1 0 0 1 .883 -.993z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterBFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3l-.005 -.176a3 3 0 0 0 -.654 -1.7l-.106 -.124l.106 -.124a3 3 0 0 0 -2.341 -4.876m0 6a1 1 0 0 1 0 2h-1v-2zm0 -4a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterCFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0a1 1 0 0 0 -1.993 -.117l-.007 .117a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1.993 -.117l.007 .117a1 1 0 0 0 2 0a3 3 0 0 0 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterDFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h2a3 3 0 0 0 3 -3v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-1v-6z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterEFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-2h1.5a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-1.5v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterFFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999h-4a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h2a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1h-2v-2h3a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterGFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999h-2a3 3 0 0 0 -3 3v4a3 3 0 0 0 3 3h2a1 1 0 0 0 1 -1v-4a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883v2h-1a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterHFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999a1 1 0 0 0 -1 1v3h-2v-3a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-3h2v3a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterIFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-8a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterJFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h3v5a1 1 0 0 1 -1.993 .117l-.007 -.117a1 1 0 0 0 -2 0a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterKFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.854 5.151a1 1 0 0 0 -1.378 .318l-2.152 3.443v-2.913a1 1 0 0 0 -.883 -.993l-.117 -.007a1 1 0 0 0 -1 1v8a1 1 0 0 0 2 0v-2.914l2.152 3.444a1 1 0 0 0 1.276 .374l.102 -.056l.095 -.068a1 1 0 0 0 .223 -1.31l-2.17 -3.47l2.17 -3.47a1 1 0 0 0 -.318 -1.378\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterLFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m-1.676 4.999a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-3v-7a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterMFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m4.324 5.999c0 -1.014 -1.336 -1.384 -1.857 -.514l-2.143 3.57l-2.143 -3.57c-.521 -.87 -1.857 -.5 -1.857 .514v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-4.39l1.143 1.904l.074 .108a1 1 0 0 0 1.64 -.108l1.143 -1.904v4.39a1 1 0 0 0 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterNFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m-.782 5.552c-.471 -.944 -1.894 -.608 -1.894 .447v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3.764l2.106 4.211c.471 .944 1.894 .608 1.894 -.447v-8a1 1 0 0 0 -1 -1l-.117 .007a1 1 0 0 0 -.883 .993v3.764z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterOFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0v-4a3 3 0 0 0 -3 -3m0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterPFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-3h1a3 3 0 0 0 0 -6m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterQFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999a3 3 0 0 0 -3 3v4a3 3 0 0 0 4.168 2.764l.125 -.057a1 1 0 0 0 1.414 -1.414l.057 -.125a3 3 0 0 0 .236 -1.168v-4a3 3 0 0 0 -3 -3m1 7.001h-.059a.996 .996 0 0 0 -.941 1a1 1 0 0 1 -1 -1.001v-4a1 1 0 0 1 2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterRFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m.324 4.999h-2a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1l.117 -.007a1 1 0 0 0 .883 -.993v-2.332l2.2 2.932a1 1 0 0 0 1.4 .2l.096 -.081a1 1 0 0 0 .104 -1.319l-1.903 -2.538l.115 -.037a3.001 3.001 0 0 0 -1.012 -5.825m0 2a1 1 0 0 1 0 2h-1v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterSFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m1.324 4.999h-2a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h2v2h-2a1 1 0 0 0 -2 0a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterTFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999h-4a1 1 0 1 0 0 2h1v7a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-7h1a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterUFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999a1 1 0 0 0 -1 1v6a1 1 0 0 1 -2 0v-6a1 1 0 0 0 -2 0v6a3 3 0 0 0 6 0v-6a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterVFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.567 5.029a1 1 0 0 0 -1.213 .727l-1.03 4.118l-1.03 -4.118a1 1 0 1 0 -1.94 .486l2 8c.252 1.01 1.688 1.01 1.94 0l2 -8a1 1 0 0 0 -.727 -1.213\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterWFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.332 5.875l-.52 4.153l-.56 -1.4c-.319 -.799 -1.41 -.837 -1.803 -.114l-.053 .114l-.561 1.4l-.519 -4.153a1 1 0 0 0 -1 -.876l-.116 .008a1 1 0 0 0 -.868 1.116l1 8c.128 1.025 1.537 1.207 1.92 .247l1.072 -2.678l1.072 2.678c.383 .96 1.792 .778 1.92 -.247l1 -8a1 1 0 0 0 -1.984 -.248\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.771 5.105a1 1 0 0 0 -1.341 .447l-1.106 2.21l-1.106 -2.21a1 1 0 0 0 -1.234 -.494l-.107 .047a1 1 0 0 0 -.447 1.341l1.774 3.553l-1.775 3.553a1 1 0 0 0 .345 1.283l.102 .058a1 1 0 0 0 1.341 -.447l1.107 -2.211l1.106 2.211a1 1 0 0 0 1.234 .494l.107 -.047a1 1 0 0 0 .447 -1.341l-1.776 -3.553l1.776 -3.553a1 1 0 0 0 -.345 -1.283z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterYFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.695 5.07a1 1 0 0 0 -1.3 .558l-1.071 2.678l-1.072 -2.678a1 1 0 0 0 -1.856 .742l1.928 4.823v2.806a1 1 0 0 0 .883 .993l.117 .007a1 1 0 0 0 1 -1v-2.809l1.928 -4.82a1 1 0 0 0 -.45 -1.25z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterZFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.676 2.001l.324 -.001c7.752 0 10 2.248 10 10l-.005 .642c-.126 7.235 -2.461 9.358 -9.995 9.358l-.642 -.005c-7.13 -.125 -9.295 -2.395 -9.358 -9.67v-.325c0 -7.643 2.185 -9.936 9.676 -9.999m2.324 4.999h-4a1 1 0 0 0 -1 1l.007 .117a1 1 0 0 0 .993 .883h2.382l-3.276 6.553a1 1 0 0 0 .894 1.447h4a1 1 0 0 0 1 -1l-.007 -.117a1 1 0 0 0 -.993 -.883h-2.382l3.276 -6.553a1 1 0 0 0 -.894 -1.447\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedMinusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.324 .001l.318 .004l.616 .017l.299 .013l.579 .034l.553 .046c4.785 .464 6.732 2.411 7.196 7.196l.046 .553l.034 .579c.005 .098 .01 .198 .013 .299l.017 .616l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.464 4.785 -2.411 6.732 -7.196 7.196l-.553 .046l-.579 .034c-.098 .005 -.198 .01 -.299 .013l-.616 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.785 -.464 -6.732 -2.411 -7.196 -7.196l-.046 -.553l-.034 -.579a28.058 28.058 0 0 1 -.013 -.299l-.017 -.616c-.003 -.21 -.005 -.424 -.005 -.642l.001 -.324l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.464 -4.785 2.411 -6.732 7.196 -7.196l.553 -.046l.579 -.034c.098 -.005 .198 -.01 .299 -.013l.616 -.017c.21 -.003 .424 -.005 .642 -.005zm3 9h-6l-.117 .007a1 1 0 0 0 .117 1.993h6l.117 -.007a1 1 0 0 0 -.117 -1.993z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber0Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm0 5a3 3 0 0 0 -3 3v4a3 3 0 0 0 6 0v-4a3 3 0 0 0 -3 -3zm0 2a1 1 0 0 1 1 1v4a1 1 0 0 1 -2 0v-4a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber1Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm.994 5.886c-.083 -.777 -1.008 -1.16 -1.617 -.67l-.084 .077l-2 2l-.083 .094a1 1 0 0 0 0 1.226l.083 .094l.094 .083a1 1 0 0 0 1.226 0l.094 -.083l.293 -.293v5.586l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.006 -.114z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm1 5h-3l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h3v2h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h3l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-3v-2h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm1 5h-2l-.15 .005a2 2 0 0 0 -1.85 1.995a1 1 0 0 0 1.974 .23l.02 -.113l.006 -.117h2v2h-2l-.133 .007c-1.111 .12 -1.154 1.73 -.128 1.965l.128 .021l.133 .007h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber4Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm2 5a1 1 0 0 0 -.993 .883l-.007 .117v3h-2v-3l-.007 -.117a1 1 0 0 0 -1.986 0l-.007 .117v3l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v3l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-8l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber5Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm2 5h-4a1 1 0 0 0 -.993 .883l-.007 .117v4a1 1 0 0 0 .883 .993l.117 .007h3v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2a2 2 0 0 0 1.995 -1.85l.005 -.15v-2a2 2 0 0 0 -1.85 -1.995l-.15 -.005h-2v-2h3a1 1 0 0 0 .993 -.883l.007 -.117a1 1 0 0 0 -.883 -.993l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber6Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm1 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v6l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006h-2v-2h2l.007 .117a1 1 0 0 0 1.993 -.117a2 2 0 0 0 -1.85 -1.995l-.15 -.005zm0 6v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber7Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm2 5h-4l-.117 .007a1 1 0 0 0 -.876 .876l-.007 .117l.007 .117a1 1 0 0 0 .876 .876l.117 .007h2.718l-1.688 6.757l-.022 .115a1 1 0 0 0 1.927 .482l.035 -.111l2 -8l.021 -.112a1 1 0 0 0 -.878 -1.125l-.113 -.006z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber8Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm1 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15c.018 .236 .077 .46 .17 .667l.075 .152l.018 .03l-.018 .032c-.133 .24 -.218 .509 -.243 .795l-.007 .174v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-2l-.005 -.15a1.988 1.988 0 0 0 -.17 -.667l-.075 -.152l-.019 -.032l.02 -.03a2.01 2.01 0 0 0 .242 -.795l.007 -.174v-2l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 6v2h-2v-2h2zm0 -4v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber9Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.642 .005l.616 .017l.299 .013l.579 .034l.553 .046c4.687 .455 6.65 2.333 7.166 6.906l.03 .29l.046 .553l.041 .727l.006 .15l.017 .617l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.455 4.687 -2.333 6.65 -6.906 7.166l-.29 .03l-.553 .046l-.727 .041l-.15 .006l-.617 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.687 -.455 -6.65 -2.333 -7.166 -6.906l-.03 -.29l-.046 -.553l-.041 -.727l-.006 -.15l-.017 -.617l-.004 -.318v-.648l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.455 -4.687 2.333 -6.65 6.906 -7.166l.29 -.03l.553 -.046l.727 -.041l.15 -.006l.617 -.017c.21 -.003 .424 -.005 .642 -.005zm1 5h-2l-.15 .005a2 2 0 0 0 -1.844 1.838l-.006 .157v2l.005 .15a2 2 0 0 0 1.838 1.844l.157 .006h2v2h-2l-.007 -.117a1 1 0 0 0 -1.993 .117a2 2 0 0 0 1.85 1.995l.15 .005h2l.15 -.005a2 2 0 0 0 1.844 -1.838l.006 -.157v-6l-.005 -.15a2 2 0 0 0 -1.838 -1.844l-.157 -.006zm0 2v2h-2v-2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedPlusFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.324 .001l.318 .004l.616 .017l.299 .013l.579 .034l.553 .046c4.785 .464 6.732 2.411 7.196 7.196l.046 .553l.034 .579c.005 .098 .01 .198 .013 .299l.017 .616l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.464 4.785 -2.411 6.732 -7.196 7.196l-.553 .046l-.579 .034c-.098 .005 -.198 .01 -.299 .013l-.616 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.785 -.464 -6.732 -2.411 -7.196 -7.196l-.046 -.553l-.034 -.579a28.058 28.058 0 0 1 -.013 -.299l-.017 -.616c-.003 -.21 -.005 -.424 -.005 -.642l.001 -.324l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.464 -4.785 2.411 -6.732 7.196 -7.196l.553 -.046l.579 -.034c.098 -.005 .198 -.01 .299 -.013l.616 -.017c.21 -.003 .424 -.005 .642 -.005zm0 6a1 1 0 0 0 -1 1v2h-2l-.117 .007a1 1 0 0 0 .117 1.993h2v2l.007 .117a1 1 0 0 0 1.993 -.117v-2h2l.117 -.007a1 1 0 0 0 -.117 -1.993h-2v-2l-.007 -.117a1 1 0 0 0 -.993 -.883z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l.324 .001l.318 .004l.616 .017l.299 .013l.579 .034l.553 .046c4.785 .464 6.732 2.411 7.196 7.196l.046 .553l.034 .579c.005 .098 .01 .198 .013 .299l.017 .616l.005 .642l-.005 .642l-.017 .616l-.013 .299l-.034 .579l-.046 .553c-.464 4.785 -2.411 6.732 -7.196 7.196l-.553 .046l-.579 .034c-.098 .005 -.198 .01 -.299 .013l-.616 .017l-.642 .005l-.642 -.005l-.616 -.017l-.299 -.013l-.579 -.034l-.553 -.046c-4.785 -.464 -6.732 -2.411 -7.196 -7.196l-.046 -.553l-.034 -.579a28.058 28.058 0 0 1 -.013 -.299l-.017 -.616c-.003 -.21 -.005 -.424 -.005 -.642l.001 -.324l.004 -.318l.017 -.616l.013 -.299l.034 -.579l.046 -.553c.464 -4.785 2.411 -6.732 7.196 -7.196l.553 -.046l.579 -.034c.098 -.005 .198 -.01 .299 -.013l.616 -.017c.21 -.003 .424 -.005 .642 -.005zm-1.489 7.14a1 1 0 0 0 -1.218 1.567l1.292 1.293l-1.292 1.293l-.083 .094a1 1 0 0 0 1.497 1.32l1.293 -1.292l1.293 1.292l.094 .083a1 1 0 0 0 1.32 -1.497l-1.292 -1.293l1.292 -1.293l.083 -.094a1 1 0 0 0 -1.497 -1.32l-1.293 1.292l-1.293 -1.292l-.094 -.083z\",\"fill\":\"currentColor\",\"strokeWidth\":\"0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c-.218 0 -.432 .002 -.642 .005l-.616 .017l-.299 .013l-.579 .034l-.553 .046c-4.785 .464 -6.732 2.411 -7.196 7.196l-.046 .553l-.034 .579c-.005 .098 -.01 .198 -.013 .299l-.017 .616l-.004 .318l-.001 .324c0 .218 .002 .432 .005 .642l.017 .616l.013 .299l.034 .579l.046 .553c.464 4.785 2.411 6.732 7.196 7.196l.553 .046l.579 .034c.098 .005 .198 .01 .299 .013l.616 .017l.642 .005l.642 -.005l.616 -.017l.299 -.013l.579 -.034l.553 -.046c4.785 -.464 6.732 -2.411 7.196 -7.196l.046 -.553l.034 -.579c.005 -.098 .01 -.198 .013 -.299l.017 -.616l.005 -.642l-.005 -.642l-.017 -.616l-.013 -.299l-.034 -.579l-.046 -.553c-.464 -4.785 -2.411 -6.732 -7.196 -7.196l-.553 -.046l-.579 -.034a28.058 28.058 0 0 0 -.299 -.013l-.616 -.017l-.318 -.004l-.324 -.001z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2h-14a3 3 0 0 0 -3 3v14a3 3 0 0 0 3 3h14a3 3 0 0 0 3 -3v-14a3 3 0 0 0 -3 -3zm-9.387 6.21l.094 .083l2.293 2.292l2.293 -2.292a1 1 0 0 1 1.497 1.32l-.083 .094l-2.292 2.293l2.292 2.293a1 1 0 0 1 -1.32 1.497l-.094 -.083l-2.293 -2.292l-2.293 2.292a1 1 0 0 1 -1.497 -1.32l.083 -.094l2.292 -2.293l-2.292 -2.293a1 1 0 0 1 1.32 -1.497z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2h-14a3 3 0 0 0 -3 3v14a3 3 0 0 0 3 3h14a3 3 0 0 0 3 -3v-14a3 3 0 0 0 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbSquaresFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7a3 3 0 0 1 3 3v9a3 3 0 0 1 -3 3h-9a3 3 0 0 1 -3 -3v-9a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a3 3 0 0 1 3 2.999l-7 .001a5 5 0 0 0 -5 5l-.001 7l-.175 -.005a3 3 0 0 1 -2.824 -2.995v-9a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbStack2Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.894 15.553a1 1 0 0 1 -.447 1.341l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 .894 -1.788l7.553 3.774l7.554 -3.775a1 1 0 0 1 1.341 .447m0 -4a1 1 0 0 1 -.447 1.341l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 .894 -1.788l7.552 3.775l7.554 -3.775a1 1 0 0 1 1.341 .447m-8.887 -8.552q .056 0 .111 .007l.111 .02l.086 .024l.012 .006l.012 .002l.029 .014l.05 .019l.016 .009l.012 .005l8 4a1 1 0 0 1 0 1.788l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 0 -1.788l8 -4l.011 -.005l.018 -.01l.078 -.032l.011 -.002l.013 -.006l.086 -.024l.11 -.02l.056 -.005z\"},\"child\":[]}]})(props);\n};\nexport function TbStack3Filled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.894 17.553a1 1 0 0 1 -.447 1.341l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 .894 -1.788l7.553 3.774l7.554 -3.775a1 1 0 0 1 1.341 .447m0 -4a1 1 0 0 1 -.447 1.341l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 .894 -1.788l7.552 3.775l7.554 -3.775a1 1 0 0 1 1.341 .447m0 -4a1 1 0 0 1 -.447 1.341l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 .894 -1.788l7.552 3.775l7.554 -3.775a1 1 0 0 1 1.341 .447m-8.887 -8.552q .056 0 .111 .007l.111 .02l.086 .024l.012 .006l.012 .002l.029 .014l.05 .019l.016 .009l.012 .005l8 4a1 1 0 0 1 0 1.788l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 0 -1.788l8 -4l.011 -.005l.018 -.01l.078 -.032l.011 -.002l.013 -.006l.086 -.024l.11 -.02l.056 -.005z\"},\"child\":[]}]})(props);\n};\nexport function TbStackFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.894 13.553a1 1 0 0 1 -.447 1.341l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 .894 -1.788l7.553 3.774l7.554 -3.775a1 1 0 0 1 1.341 .447m-8.887 -8.552q .056 0 .111 .007l.111 .02l.086 .024l.012 .006l.012 .002l.029 .014l.05 .019l.016 .009l.012 .005l8 4a1 1 0 0 1 0 1.788l-8 4a1 1 0 0 1 -.894 0l-8 -4a1 1 0 0 1 0 -1.788l8 -4l.011 -.005l.018 -.01l.078 -.032l.011 -.002l.013 -.006l.086 -.024l.11 -.02l.056 -.005z\"},\"child\":[]}]})(props);\n};\nexport function TbStarHalfFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1a.993 .993 0 0 1 .823 .443l.067 .116l2.852 5.781l6.38 .925c.741 .108 1.08 .94 .703 1.526l-.07 .095l-.078 .086l-4.624 4.499l1.09 6.355a1.001 1.001 0 0 1 -1.249 1.135l-.101 -.035l-.101 -.046l-5.693 -3l-5.706 3c-.105 .055 -.212 .09 -.32 .106l-.106 .01a1.003 1.003 0 0 1 -1.038 -1.06l.013 -.11l1.09 -6.355l-4.623 -4.5a1.001 1.001 0 0 1 .328 -1.647l.113 -.036l.114 -.023l6.379 -.925l2.853 -5.78a.968 .968 0 0 1 .904 -.56zm0 3.274v12.476a1 1 0 0 1 .239 .029l.115 .036l.112 .05l4.363 2.299l-.836 -4.873a1 1 0 0 1 .136 -.696l.07 -.099l.082 -.09l3.546 -3.453l-4.891 -.708a1 1 0 0 1 -.62 -.344l-.073 -.097l-.06 -.106l-2.183 -4.424z\"},\"child\":[]}]})(props);\n};\nexport function TbStarFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.243 7.34l-6.38 .925l-.113 .023a1 1 0 0 0 -.44 1.684l4.622 4.499l-1.09 6.355l-.013 .11a1 1 0 0 0 1.464 .944l5.706 -3l5.693 3l.1 .046a1 1 0 0 0 1.352 -1.1l-1.091 -6.355l4.624 -4.5l.078 -.085a1 1 0 0 0 -.633 -1.62l-6.38 -.926l-2.852 -5.78a1 1 0 0 0 -1.794 0l-2.853 5.78z\"},\"child\":[]}]})(props);\n};\nexport function TbStarsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 12.007a1.39 1.39 0 0 0 -1.103 .765l-.855 1.723l-1.907 .277c-.52 .072 -.96 .44 -1.124 .944l-.038 .14c-.1 .465 .046 .954 .393 1.29l1.377 1.337l-.326 1.892a1.393 1.393 0 0 0 2.018 1.465l1.708 -.895l1.708 .896a1.388 1.388 0 0 0 1.462 -.105l.112 -.09a1.39 1.39 0 0 0 .442 -1.272l-.325 -1.891l1.38 -1.339c.38 -.371 .516 -.924 .352 -1.427l-.051 -.134a1.39 1.39 0 0 0 -1.073 -.81l-1.907 -.278l-.853 -1.722a1.393 1.393 0 0 0 -1.247 -.773l-.143 .007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.057 12.007a1.39 1.39 0 0 0 -1.103 .765l-.855 1.723l-1.907 .277c-.52 .072 -.96 .44 -1.124 .944l-.038 .14c-.1 .465 .046 .954 .393 1.29l1.377 1.337l-.326 1.892a1.393 1.393 0 0 0 2.018 1.465l1.708 -.895l1.708 .896a1.388 1.388 0 0 0 1.462 -.105l.112 -.09a1.39 1.39 0 0 0 .442 -1.272l-.324 -1.891l1.38 -1.339c.38 -.371 .516 -.924 .352 -1.427l-.051 -.134a1.39 1.39 0 0 0 -1.073 -.81l-1.908 -.279l-.853 -1.722a1.393 1.393 0 0 0 -1.247 -.772l-.143 .007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.857 2.007a1.39 1.39 0 0 0 -1.103 .765l-.855 1.723l-1.907 .277c-.52 .072 -.96 .44 -1.124 .944l-.038 .14c-.1 .465 .046 .954 .393 1.29l1.377 1.337l-.326 1.892a1.393 1.393 0 0 0 2.018 1.465l1.708 -.894l1.709 .896a1.388 1.388 0 0 0 1.462 -.105l.112 -.09a1.39 1.39 0 0 0 .442 -1.272l-.325 -1.892l1.38 -1.339c.38 -.371 .516 -.924 .352 -1.427l-.051 -.134a1.39 1.39 0 0 0 -1.073 -.81l-1.908 -.279l-.853 -1.722a1.393 1.393 0 0 0 -1.247 -.772l-.143 .007z\"},\"child\":[]}]})(props);\n};\nexport function TbSunFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.313 16.91l.094 .083l.7 .7a1 1 0 0 1 -1.32 1.497l-.094 -.083l-.7 -.7a1 1 0 0 1 1.218 -1.567l.102 .07z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.007 16.993a1 1 0 0 1 .083 1.32l-.083 .094l-.7 .7a1 1 0 0 1 -1.497 -1.32l.083 -.094l.7 -.7a1 1 0 0 1 1.414 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.213 4.81l.094 .083l.7 .7a1 1 0 0 1 -1.32 1.497l-.094 -.083l-.7 -.7a1 1 0 0 1 1.217 -1.567l.102 .07z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.107 4.893a1 1 0 0 1 .083 1.32l-.083 .094l-.7 .7a1 1 0 0 1 -1.497 -1.32l.083 -.094l.7 -.7a1 1 0 0 1 1.414 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7a5 5 0 1 1 -4.995 5.217l-.005 -.217l.005 -.217a5 5 0 0 1 4.995 -4.783z\"},\"child\":[]}]})(props);\n};\nexport function TbTableFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h4a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-2a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-6a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v6a3 3 0 0 1 -2.824 2.995l-.176 .005h-6a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h8a1 1 0 0 1 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 2.995 2.824l.005 .176v2a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-2a3 3 0 0 1 2.824 -2.995l.176 -.005h2a1 1 0 0 1 1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbThumbDownFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21.008a3 3 0 0 0 2.995 -2.823l.005 -.177v-4h2a3 3 0 0 0 2.98 -2.65l.015 -.173l.005 -.177l-.02 -.196l-1.006 -5.032c-.381 -1.625 -1.502 -2.796 -2.81 -2.78l-.164 .008h-8a1 1 0 0 0 -.993 .884l-.007 .116l.001 9.536a1 1 0 0 0 .5 .866a2.998 2.998 0 0 1 1.492 2.396l.007 .202v1a3 3 0 0 0 3 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14.008a1 1 0 0 0 .993 -.883l.007 -.117v-9a1 1 0 0 0 -.883 -.993l-.117 -.007h-1a2 2 0 0 0 -1.995 1.852l-.005 .15v7a2 2 0 0 0 1.85 1.994l.15 .005h1z\"},\"child\":[]}]})(props);\n};\nexport function TbThumbUpFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3a3 3 0 0 1 2.995 2.824l.005 .176v4h2a3 3 0 0 1 2.98 2.65l.015 .174l.005 .176l-.02 .196l-1.006 5.032c-.381 1.626 -1.502 2.796 -2.81 2.78l-.164 -.008h-8a1 1 0 0 1 -.993 -.883l-.007 -.117l.001 -9.536a1 1 0 0 1 .5 -.865a2.998 2.998 0 0 0 1.492 -2.397l.007 -.202v-1a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10a1 1 0 0 1 .993 .883l.007 .117v9a1 1 0 0 1 -.883 .993l-.117 .007h-1a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-7a2 2 0 0 1 1.85 -1.995l.15 -.005h1z\"},\"child\":[]}]})(props);\n};\nexport function TbTimelineEventFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17c1.306 0 2.418 .835 2.83 2h5.17a1 1 0 0 1 0 2h-5.171a3.001 3.001 0 0 1 -5.658 0h-5.171a1 1 0 0 1 0 -2h5.17a3.001 3.001 0 0 1 2.83 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2.586l-1.707 1.707a1 1 0 0 1 -1.32 .083l-.094 -.083l-1.708 -1.707h-2.585a2 2 0 0 1 -1.995 -1.85l-.005 -.15v-8a2 2 0 0 1 2 -2h10z\"},\"child\":[]}]})(props);\n};\nexport function TbToggleLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9a3 3 0 1 1 -3 3l.005 -.176a3 3 0 0 1 2.995 -2.824\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5a7 7 0 0 1 0 14h-8a7 7 0 0 1 0 -14zm0 2h-8a5 5 0 1 0 0 10h8a5 5 0 0 0 0 -10\"},\"child\":[]}]})(props);\n};\nexport function TbToggleRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9a3 3 0 1 1 -3 3l.005 -.176a3 3 0 0 1 2.995 -2.824\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5a7 7 0 0 1 0 14h-8a7 7 0 0 1 0 -14zm0 2h-8a5 5 0 1 0 0 10h8a5 5 0 0 0 0 -10\"},\"child\":[]}]})(props);\n};\nexport function TbTransformFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.707 2.293a1 1 0 0 1 .083 1.32l-.083 .094l-1.293 1.293h3.586a3 3 0 0 1 2.995 2.824l.005 .176v3a1 1 0 0 1 -1.993 .117l-.007 -.117v-3a1 1 0 0 0 -.883 -.993l-.117 -.007h-3.585l1.292 1.293a1 1 0 0 1 -1.32 1.497l-.094 -.083l-3 -3a.98 .98 0 0 1 -.28 -.872l.036 -.146l.04 -.104c.058 -.126 .14 -.24 .245 -.334l2.959 -2.958a1 1 0 0 1 1.414 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a1 1 0 0 1 .993 .883l.007 .117v3a1 1 0 0 0 .883 .993l.117 .007h3.585l-1.292 -1.293a1 1 0 0 1 -.083 -1.32l.083 -.094a1 1 0 0 1 1.32 -.083l.094 .083l3 3a.98 .98 0 0 1 .28 .872l-.036 .146l-.04 .104a1.02 1.02 0 0 1 -.245 .334l-2.959 2.958a1 1 0 0 1 -1.497 -1.32l.083 -.094l1.291 -1.293h-3.584a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-3a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionBottomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17a1 1 0 0 1 1 1a4 4 0 0 1 -4 4h-12a4 4 0 0 1 -4 -4a1 1 0 0 1 2 0a2 2 0 0 0 2 2h12a2 2 0 0 0 1.995 -1.85l.005 -.15a1 1 0 0 1 1 -1m-9 1l-.082 -.004l-.119 -.016l-.111 -.03l-.111 -.044l-.098 -.052l-.096 -.067l-.09 -.08l-3 -3a1 1 0 0 1 1.414 -1.414l1.293 1.293v-4.586h-5a4 4 0 1 1 0 -8h12a4 4 0 1 1 0 8h-5v4.583l1.293 -1.29a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 0 1.414l-3 3l-.112 .097l-.11 .071l-.062 .031l-.081 .034l-.076 .024l-.149 .03z\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionLeftFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2a1 1 0 1 1 0 2a2 2 0 0 0 -2 2v12a2 2 0 0 0 1.85 1.995l.15 .005a1 1 0 0 1 0 2a4 4 0 0 1 -4 -4v-12a4 4 0 0 1 4 -4m12 0a4 4 0 0 1 4 4v12a4 4 0 1 1 -8 0v-5h-4.585l1.292 1.293a1 1 0 0 1 .083 1.32l-.083 .094a1 1 0 0 1 -1.414 0l-3 -3l-.097 -.112l-.071 -.11l-.031 -.062l-.034 -.081l-.024 -.076l-.025 -.118l-.007 -.058l-.004 -.108l.003 -.064l.017 -.119l.03 -.111l.044 -.111l.052 -.098l.067 -.096l.08 -.09l3 -3a1 1 0 0 1 1.414 1.414l-1.292 1.293h4.585v-5a4 4 0 0 1 4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionRightFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2a4 4 0 0 1 4 4v12a4 4 0 0 1 -4 4a1 1 0 0 1 -.117 -1.993l.117 -.007a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2a1 1 0 0 1 0 -2m-8 16a4 4 0 1 1 -8 0v-12a4 4 0 1 1 8 0v5h4.585l-1.292 -1.293a1 1 0 0 1 -.083 -1.32l.083 -.094a1 1 0 0 1 1.414 0l3 3l.097 .112l.071 .11l.031 .062l.034 .081l.024 .076l.03 .148l.006 .118l-.004 .085l-.016 .116l-.03 .111l-.044 .111l-.052 .098l-.074 .104l-.073 .082l-3 3a1 1 0 0 1 -1.414 -1.414l1.292 -1.293h-4.585z\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionTopFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l.081 .003l.12 .017l.111 .03l.111 .044l.098 .052l.104 .074l.082 .073l3 3a1 1 0 1 1 -1.414 1.414l-1.293 -1.292v4.585h5a4 4 0 1 1 0 8h-12a4 4 0 1 1 0 -8h5v-4.585l-1.293 1.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 0 -1.414l3 -3l.112 -.097l.11 -.071l.062 -.031l.081 -.034l.076 -.024l.118 -.025l.058 -.007zm6 -4a4 4 0 0 1 4 4a1 1 0 0 1 -1.993 .117l-.007 -.117a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2a1 1 0 1 1 -2 0a4 4 0 0 1 4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbTrashXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a1 1 0 0 1 .117 1.993l-.117 .007h-.081l-.919 11a3 3 0 0 1 -2.824 2.995l-.176 .005h-8c-1.598 0 -2.904 -1.249 -2.992 -2.75l-.005 -.167l-.923 -11.083h-.08a1 1 0 0 1 -.117 -1.993l.117 -.007h16zm-9.489 5.14a1 1 0 0 0 -1.218 1.567l1.292 1.293l-1.292 1.293l-.083 .094a1 1 0 0 0 1.497 1.32l1.293 -1.292l1.293 1.292l.094 .083a1 1 0 0 0 1.32 -1.497l-1.292 -1.293l1.292 -1.293l.083 -.094a1 1 0 0 0 -1.497 -1.32l-1.293 1.292l-1.293 -1.292l-.094 -.083z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a2 2 0 0 1 2 2a1 1 0 0 1 -1.993 .117l-.007 -.117h-4l-.007 .117a1 1 0 0 1 -1.993 -.117a2 2 0 0 1 1.85 -1.995l.15 -.005h4z\"},\"child\":[]}]})(props);\n};\nexport function TbTrashFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a1 1 0 0 1 .117 1.993l-.117 .007h-.081l-.919 11a3 3 0 0 1 -2.824 2.995l-.176 .005h-8c-1.598 0 -2.904 -1.249 -2.992 -2.75l-.005 -.167l-.923 -11.083h-.08a1 1 0 0 1 -.117 -1.993l.117 -.007h16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a2 2 0 0 1 2 2a1 1 0 0 1 -1.993 .117l-.007 -.117h-4l-.007 .117a1 1 0 0 1 -1.993 -.117a2 2 0 0 1 1.85 -1.995l.15 -.005h4z\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleInvertedFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.118 3h-16.225a2.914 2.914 0 0 0 -2.503 4.371l8.116 13.549a2.917 2.917 0 0 0 4.987 .005l8.11 -13.539a2.914 2.914 0 0 0 -2.486 -4.386z\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleSquareCircleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.132 2.504l-4 7a1 1 0 0 0 .868 1.496h8a1 1 0 0 0 .868 -1.496l-4 -7a1 1 0 0 0 -1.736 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13a4 4 0 1 1 -3.995 4.2l-.005 -.2l.005 -.2a4 4 0 0 1 3.995 -3.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h-4a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h4a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1.67a2.914 2.914 0 0 0 -2.492 1.403l-8.11 13.537a2.914 2.914 0 0 0 2.484 4.385h16.225a2.914 2.914 0 0 0 2.503 -4.371l-8.116 -13.546a2.917 2.917 0 0 0 -2.494 -1.408z\"},\"child\":[]}]})(props);\n};\nexport function TbTrophyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3a1 1 0 0 1 .993 .883l.007 .117v2.17a3 3 0 1 1 0 5.659v.171a6.002 6.002 0 0 1 -5 5.917v2.083h3a1 1 0 0 1 .117 1.993l-.117 .007h-8a1 1 0 0 1 -.117 -1.993l.117 -.007h3v-2.083a6.002 6.002 0 0 1 -4.996 -5.692l-.004 -.225v-.171a3 3 0 0 1 -3.996 -2.653l-.003 -.176l.005 -.176a3 3 0 0 1 3.995 -2.654l-.001 -2.17a1 1 0 0 1 1 -1h10zm-12 5a1 1 0 1 0 0 2a1 1 0 0 0 0 -2zm14 0a1 1 0 1 0 0 2a1 1 0 0 0 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbUmbrellaFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 0 1 9 9a1 1 0 0 1 -.883 .993l-.117 .007h-7v5a1 1 0 0 0 1.993 .117l.007 -.117a1 1 0 0 1 2 0a3 3 0 0 1 -5.995 .176l-.005 -.176v-5h-7a1 1 0 0 1 -.993 -.883l-.007 -.117a9 9 0 0 1 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbUserFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a5 5 0 1 1 -5 5l.005 -.217a5 5 0 0 1 4.995 -4.783z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14a5 5 0 0 1 5 5v1a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-1a5 5 0 0 1 5 -5h4z\"},\"child\":[]}]})(props);\n};\nexport function TbVersionsFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4h-6a3 3 0 0 0 -3 3v10a3 3 0 0 0 3 3h6a3 3 0 0 0 3 -3v-10a3 3 0 0 0 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6a1 1 0 0 1 .993 .883l.007 .117v10a1 1 0 0 1 -1.993 .117l-.007 -.117v-10a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a1 1 0 0 1 .993 .883l.007 .117v8a1 1 0 0 1 -1.993 .117l-.007 -.117v-8a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbWindmillFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c3.292 0 6 2.435 6 5.5c0 1.337 -.515 2.554 -1.369 3.5h4.369a1 1 0 0 1 1 1c0 3.292 -2.435 6 -5.5 6c-1.336 0 -2.553 -.515 -3.5 -1.368v4.368a1 1 0 0 1 -1 1c-3.292 0 -6 -2.435 -6 -5.5c0 -1.336 .515 -2.553 1.368 -3.5h-4.368a1 1 0 0 1 -1 -1c0 -3.292 2.435 -6 5.5 -6c1.337 0 2.554 .515 3.5 1.369v-4.369a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbWomanFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8c1.91 0 3.79 .752 5.625 2.219a1 1 0 1 1 -1.25 1.562c-1.019 -.815 -2.016 -1.345 -2.997 -1.6l1.584 5.544a1 1 0 0 1 -.962 1.275h-1v4a1 1 0 0 1 -2 0v-4h-2v4a1 1 0 0 1 -2 0v-4h-1a1 1 0 0 1 -.962 -1.275l1.584 -5.545c-.98 .256 -1.978 .786 -2.997 1.601a1 1 0 1 1 -1.25 -1.562c1.733 -1.386 3.506 -2.133 5.307 -2.212l.335 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1a3 3 0 1 1 -3 3l.005 -.176a3 3 0 0 1 2.995 -2.824\"},\"child\":[]}]})(props);\n};\nexport function TbXboxAFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m.936 5.649c-.324 -.865 -1.548 -.865 -1.872 0l-3 8a1 1 0 0 0 .585 1.287l.111 .035a1 1 0 0 0 1.176 -.62l.507 -1.351h3.114l.507 1.351a1 1 0 1 0 1.872 -.702zm-.936 3.199l.807 2.152h-1.614z\"},\"child\":[]}]})(props);\n};\nexport function TbXboxBFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m1 5h-3a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h3a3 3 0 0 0 2.235 -5a3 3 0 0 0 -2.235 -5m0 6a1 1 0 0 1 1 1l-.007 .117a1 1 0 0 1 -.993 .883h-2v-2zm0 -4a1 1 0 0 1 0 2h-2v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbXboxXFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m3.6 5.2a1 1 0 0 0 -1.4 .2l-2.2 2.933l-2.2 -2.933a1 1 0 1 0 -1.6 1.2l2.55 3.4l-2.55 3.4a1 1 0 1 0 1.6 1.2l2.2 -2.933l2.2 2.933a1 1 0 0 0 1.6 -1.2l-2.55 -3.4l2.55 -3.4a1 1 0 0 0 -.2 -1.4\"},\"child\":[]}]})(props);\n};\nexport function TbXboxYFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c5.523 0 10 4.477 10 10s-4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10m3.6 5.2a1 1 0 0 0 -1.4 .2l-2.2 2.933l-2.2 -2.933a1 1 0 1 0 -1.6 1.2l2.81 3.748l-.01 3.649a1 1 0 0 0 .997 1.003l.117 -.006a1 1 0 0 0 .886 -.991l.01 -3.683l2.79 -3.72a1 1 0 0 0 -.2 -1.4\"},\"child\":[]}]})(props);\n};\nexport function TbYinYangFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3.34a10 10 0 1 1 -14.995 8.984l-.005 -.324l.005 -.324a10 10 0 0 1 14.995 -8.336zm-9 1.732a8 8 0 0 0 4 14.928l.2 -.005a4 4 0 0 0 0 -7.99l-.2 -.005a4 4 0 0 1 -.2 -7.995l.2 -.005a7.995 7.995 0 0 0 -4 1.072zm4 1.428a1.5 1.5 0 1 0 0 3a1.5 1.5 0 0 0 0 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14.5a1.5 1.5 0 1 1 0 3a1.5 1.5 0 0 1 0 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbZeppelinFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 3c5.187 0 9.5 3.044 9.5 7c0 3.017 -2.508 5.503 -6 6.514v3.486a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1v-4.046a21 21 0 0 1 -2.66 -1.411l-.13 -.082l-1.57 1.308a1 1 0 0 1 -1.634 -.656l-.006 -.113v-2.851l-.31 -.25a47 47 0 0 1 -.682 -.568l-.67 -.582a1 1 0 0 1 0 -1.498a47 47 0 0 1 1.351 -1.151l.311 -.25v-2.85a1 1 0 0 1 1.55 -.836l.09 .068l1.57 1.307l.128 -.08c2.504 -1.553 4.784 -2.378 6.853 -2.453zm-2.499 13.657l-.001 2.343h4l.001 -2.086q -.735 .086 -1.501 .086a9.6 9.6 0 0 1 -2.13 -.252z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomCancelFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.32 11.834l5.387 5.387a1 1 0 0 1 -1.414 1.414l-5.388 -5.387a8 8 0 0 1 -12.905 -6.32l.005 -.285a8 8 0 0 1 11.995 -6.643m-5.293 4.22a1 1 0 0 0 -1.414 1.415l1.292 1.293l-1.292 1.293a1 1 0 0 0 1.414 1.414l1.293 -1.292l1.293 1.292a1 1 0 0 0 1.414 -1.414l-1.292 -1.293l1.292 -1.293a1 1 0 1 0 -1.414 -1.414l-1.293 1.292z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomCheckFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.617 11.424l4.944 4.943a1.5 1.5 0 0 1 -2.008 2.225l-.114 -.103l-4.943 -4.944a8 8 0 0 1 -12.49 -6.332l-.006 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643zm-.293 4.22a1 1 0 0 0 -1.414 0l-3.293 3.294l-1.293 -1.293l-.094 -.083a1 1 0 0 0 -1.32 1.497l2 2l.094 .083a1 1 0 0 0 1.32 -.083l4 -4l.083 -.094a1 1 0 0 0 -.083 -1.32z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomCodeFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.32 11.834l5.387 5.387a1 1 0 0 1 -1.414 1.414l-5.388 -5.387a8 8 0 0 1 -12.905 -6.32l.005 -.285a8 8 0 0 1 11.995 -6.643m-5.293 4.22a1 1 0 0 0 -1.414 0l-2 2a1 1 0 0 0 0 1.415l2 2a1 1 0 0 0 1.414 0l.083 -.094a1 1 0 0 0 -.083 -1.32l-1.292 -1.293l1.292 -1.293a1 1 0 0 0 0 -1.414m4 0a1 1 0 0 0 -1.414 0l-.083 .095a1 1 0 0 0 .083 1.32l1.292 1.292l-1.292 1.293a1 1 0 0 0 1.414 1.414l2 -2a1 1 0 0 0 0 -1.414z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomExclamationFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.32 11.834l5.387 5.387a1 1 0 0 1 -1.414 1.414l-5.388 -5.387a8 8 0 0 1 -12.905 -6.32l.005 -.285a8 8 0 0 1 11.995 -6.643m-4 8.928a1 1 0 0 0 -1 1l.007 .127a1 1 0 0 0 1.993 -.117l-.007 -.127a1 1 0 0 0 -.993 -.883m0 -6a1 1 0 0 0 -1 1v3a1 1 0 0 0 2 0v-3a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbZoomInAreaFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9a6 6 0 0 1 4.891 9.476l2.816 2.817a1 1 0 0 1 -1.32 1.497l-.094 -.083l-2.817 -2.816a6 6 0 0 1 -9.472 -4.666l-.004 -.225l.004 -.225a6 6 0 0 1 5.996 -5.775zm0 3a1 1 0 0 0 -.993 .883l-.007 .117v1h-1l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h1v1l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-1h1l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-1v-1l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 0 .883 .993l.117 .007h1a1 1 0 0 1 .117 1.993l-.117 .007h-1a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9a1 1 0 0 1 .993 .883l.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 0 -.993 .883l-.007 .117v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a3 3 0 0 1 2.824 -2.995l.176 -.005h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 2a1 1 0 0 1 .117 1.993l-.117 .007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2a3 3 0 0 1 2.995 2.824l.005 .176v1a1 1 0 0 1 -1.993 .117l-.007 -.117v-1a1 1 0 0 0 -.883 -.993l-.117 -.007h-1a1 1 0 0 1 -.117 -1.993l.117 -.007h1z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomInFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.617 11.424l4.944 4.943a1.5 1.5 0 0 1 -2.008 2.225l-.114 -.103l-4.943 -4.944a8 8 0 0 1 -12.49 -6.332l-.006 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643zm-4 2.928a1 1 0 0 0 -.993 .883l-.007 .117v2h-2l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h2v2l.007 .117a1 1 0 0 0 1.986 0l.007 -.117v-2h2l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007h-2v-2l-.007 -.117a1 1 0 0 0 -.993 -.883z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomMoneyFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.32 11.834l5.387 5.387a1 1 0 0 1 -1.414 1.414l-5.388 -5.387a8 8 0 0 1 -12.905 -6.32l.005 -.285a8 8 0 0 1 11.995 -6.643m-2 2.928h-2.5a2.5 2.5 0 0 0 0 5h1a.5 .5 0 1 1 0 1h-2.5a1 1 0 0 0 0 2h2.5a2.5 2.5 0 1 0 0 -5h-1a.5 .5 0 0 1 0 -1h2.5a1 1 0 0 0 0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbZoomOutAreaFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9a6 6 0 0 1 4.891 9.476l2.816 2.817a1 1 0 0 1 -1.414 1.414l-2.817 -2.816a6 6 0 0 1 -9.476 -4.891l.004 -.225a6 6 0 0 1 5.996 -5.775m2 5h-4a1 1 0 0 0 0 2h4a1 1 0 0 0 0 -2m-14 0a1 1 0 0 1 1 1v1a1 1 0 0 0 1 1h1a1 1 0 0 1 0 2h-1a3 3 0 0 1 -3 -3v-1a1 1 0 0 1 1 -1m0 -5a1 1 0 0 1 1 1v1a1 1 0 0 1 -2 0v-1a1 1 0 0 1 1 -1m3 -7a1 1 0 1 1 0 2h-1a1 1 0 0 0 -1 1v1a1 1 0 1 1 -2 0v-1a3 3 0 0 1 3 -3zm5 0a1 1 0 0 1 0 2h-1a1 1 0 1 1 0 -2zm5 0a3 3 0 0 1 3 3v1a1 1 0 0 1 -2 0v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomOutFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.617 11.424l4.944 4.943a1.5 1.5 0 0 1 -2.008 2.225l-.114 -.103l-4.943 -4.944a8 8 0 0 1 -12.49 -6.332l-.006 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643zm-1 5.928h-6l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h6l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomPanFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a4 4 0 0 1 3.447 6.031l2.26 2.262a1 1 0 0 1 -1.414 1.414l-2.262 -2.26a4 4 0 0 1 -6.031 -3.447l.005 -.2a4 4 0 0 1 3.995 -3.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.293 1.293a1 1 0 0 1 1.414 0l2 2a1 1 0 1 1 -1.414 1.414l-1.293 -1.292l-1.293 1.292a1 1 0 0 1 -1.32 .083l-.094 -.083a1 1 0 0 1 0 -1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.293 9.293a1 1 0 0 1 1.414 0l2 2a1 1 0 0 1 0 1.414l-2 2a1 1 0 0 1 -1.414 -1.414l1.292 -1.293l-1.292 -1.293a1 1 0 0 1 -.083 -1.32z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.293 9.293a1 1 0 1 1 1.414 1.414l-1.292 1.293l1.292 1.293a1 1 0 0 1 .083 1.32l-.083 .094a1 1 0 0 1 -1.414 0l-2 -2a1 1 0 0 1 0 -1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.293 19.293a1 1 0 0 1 1.414 0l1.293 1.292l1.294 -1.292a1 1 0 0 1 1.32 -.083l.094 .083a1 1 0 0 1 0 1.414l-2 2a1 1 0 0 1 -1.414 0l-2 -2a1 1 0 0 1 0 -1.414\"},\"child\":[]}]})(props);\n};\nexport function TbZoomQuestionFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.32 11.834l5.387 5.387a1 1 0 0 1 -1.414 1.414l-5.388 -5.387a8 8 0 0 1 -12.905 -6.32l.005 -.285a8 8 0 0 1 11.995 -6.643m-4 8.928a1 1 0 0 0 -.993 .883l-.007 .127a1 1 0 0 0 1.993 .117l.007 -.127a1 1 0 0 0 -1 -1m-1.9 -5.123a1 1 0 0 0 1.433 1.389l.088 -.09a.5 .5 0 1 1 .379 .824a1 1 0 0 0 -.002 2a2.5 2.5 0 1 0 -1.9 -4.123\"},\"child\":[]}]})(props);\n};\nexport function TbZoomScanFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15a1 1 0 0 1 1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 1 0 2h-2a3 3 0 0 1 -3 -3v-2a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15a1 1 0 0 1 1 1v2a3 3 0 0 1 -3 3h-2a1 1 0 0 1 0 -2h2a1 1 0 0 0 1 -1v-2a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7a4 4 0 0 1 3.446 6.031l2.261 2.262a1 1 0 0 1 -1.414 1.414l-2.262 -2.26l-.031 .017a4 4 0 0 1 -6 -3.464l.005 -.2a4 4 0 0 1 3.995 -3.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3a1 1 0 1 1 0 2h-2a1 1 0 0 0 -1 1v2a1 1 0 1 1 -2 0v-2a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v2a1 1 0 0 1 -2 0v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 0 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbZoomFilled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"currentColor\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.072a8 8 0 0 1 2.617 11.424l4.944 4.943a1.5 1.5 0 0 1 -2.008 2.225l-.114 -.103l-4.943 -4.944a8 8 0 0 1 -12.49 -6.332l-.006 -.285l.005 -.285a8 8 0 0 1 11.995 -6.643z\"},\"child\":[]}]})(props);\n};\nexport function TbAB2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21h3c.81 0 1.48 -.67 1.48 -1.48l.02 -.02c0 -.82 -.69 -1.5 -1.5 -1.5h-3v3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15h2.5c.84 -.01 1.5 .66 1.5 1.5s-.66 1.5 -1.5 1.5h-2.5v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9v-4c0 -1.036 .895 -2 2 -2s2 .964 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.99 11.98a9 9 0 0 0 9 9m9 -9a9 9 0 0 0 -9 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7h-4\"},\"child\":[]}]})(props);\n};\nexport function TbABOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v-5.5a2.5 2.5 0 0 1 5 0v5.5m0 -4h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h3a2 2 0 1 1 0 4h-3m3 0a2 2 0 0 1 .83 3.82m-3.83 -3.82v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAB (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v-5.5a2.5 2.5 0 0 1 5 0v5.5m0 -4h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l0 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v-8h3a2 2 0 0 1 0 4h-3m3 0a2 2 0 0 1 0 4h-3\"},\"child\":[]}]})(props);\n};\nexport function TbAbacusOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v-2m0 -4v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7h2m4 0h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAbacus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]}]})(props);\n};\nexport function TbAbc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-1a2 2 0 1 0 -4 0v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.732 12a2 2 0 0 0 -3.732 1v1a2 2 0 0 0 3.726 1.01\"},\"child\":[]}]})(props);\n};\nexport function TbAccessPointOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.828 9.172a4 4 0 0 1 1.172 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 6.343a8 8 0 0 1 1.635 8.952\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.168 14.828a4 4 0 0 1 0 -5.656\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.337 17.657a8 8 0 0 1 0 -11.314\"},\"child\":[]}]})(props);\n};\nexport function TbAccessPoint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.828 9.172a4 4 0 0 1 0 5.656\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 6.343a8 8 0 0 1 0 11.314\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.168 14.828a4 4 0 0 1 0 -5.656\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.337 17.657a8 8 0 0 1 0 -11.314\"},\"child\":[]}]})(props);\n};\nexport function TbAccessibleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16.5l2 -3l2 3m-2 -3v-1.5m2.627 -1.376l.373 -.124m-6 0l2.231 .744\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.042 16.045a9 9 0 0 0 -12.087 -12.087m-2.318 1.677a9 9 0 1 0 12.725 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a.5 .5 0 1 0 -.5 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAccessible (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16.5l2 -3l2 3m-2 -3v-2l3 -1m-6 0l3 1\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"7.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbActivityHeartbeat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h4.5l1.5 -6l4 12l2 -9l1.5 3h4.5\"},\"child\":[]}]})(props);\n};\nexport function TbActivity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h4l3 8l4 -16l3 8h4\"},\"child\":[]}]})(props);\n};\nexport function TbAd2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.933 5h-6.933v16h13v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h5v-4h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbAdCircleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.91 4.949a9.968 9.968 0 0 0 -2.91 7.051c0 5.523 4.477 10 10 10a9.968 9.968 0 0 0 7.05 -2.909\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.778 16.793a9.955 9.955 0 0 0 1.222 -4.793c0 -5.523 -4.477 -10 -10 -10c-1.74 0 -3.376 .444 -4.8 1.225\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-4.5a1.5 1.5 0 0 1 2.138 -1.358\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.854 9.853c.094 .196 .146 .415 .146 .647v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14v1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v-2a2 2 0 0 0 -2 -2h-1v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAdCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-10 0a10 10 0 1 0 20 0a10 10 0 1 0 -20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-4.5a1.5 1.5 0 0 1 3 0v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-1z\"},\"child\":[]}]})(props);\n};\nexport function TbAdOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h10a2 2 0 0 1 2 2v10m-2 2h-14a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-4a2 2 0 0 1 2 -2m2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.115 12.131c.33 .149 .595 .412 .747 .74\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-4a2 2 0 0 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v6h-1.5a1.5 1.5 0 1 1 1.5 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbAddressBookOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.57 3.399c-.363 .37 -.87 .601 -1.43 .601h-10a2 2 0 0 1 -2 -2v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11a2 2 0 0 0 2 2m2 -2a2 2 0 0 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAddressBook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6v12a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h3\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l0 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9l0 11\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v3\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.499 14.675a2 2 0 1 0 -1.499 3.325\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.823 15.176a2 2 0 1 0 -2.638 2.651\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.557 14.745a2 2 0 1 0 -1.557 3.255\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.199 14.399a2 2 0 1 0 -1.199 3.601\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.366 14.54a2 2 0 1 0 -.216 3.097\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.945 15.53a2 2 0 1 0 -1.945 2.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l11 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18l1 0\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.954 15.574a2 2 0 1 0 -1.954 2.426\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v4m0 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v5m0 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.627 14.836a2 2 0 1 0 -.62 2.892\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v4.5\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.071 14.31a2 2 0 1 0 -1.071 3.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.958 15.592a2 2 0 1 0 -1.958 2.408\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.577 14.77a2 2 0 1 0 .117 2.295\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v2\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14a2 2 0 0 0 -1.042 3.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.387 14.56a2 2 0 1 0 -.798 3.352\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v4\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v1\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.927 15.462a2 2 0 1 0 -1.927 2.538\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustmentsX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.653 14.874a2 2 0 1 0 -.586 2.818\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbAdjustments (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v11\"},\"child\":[]}]})(props);\n};\nexport function TbAerialLift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5l16 -2m-8 1v10m-5.106 -6h10.306c2.45 3 2.45 9 -.2 12h-10.106c-2.544 -3 -2.544 -9 0 -12zm-1.894 6h14\"},\"child\":[]}]})(props);\n};\nexport function TbAffiliate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.931 6.936l1.275 4.249m5.607 5.609l4.251 1.275\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.683 12.317l5.759 -5.759\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 5.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 5.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 18.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 15.5m-4.5 0a4.5 4.5 0 1 0 9 0a4.5 4.5 0 1 0 -9 0\"},\"child\":[]}]})(props);\n};\nexport function TbAirBalloon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16c3.314 0 6 -4.686 6 -8a6 6 0 1 0 -12 0c0 3.314 2.686 8 6 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-2 0a2 7 0 1 0 4 0a2 7 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbAirConditioningDisabled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v-3a1 1 0 0 1 1 -1h8a1 1 0 0 1 1 1v3\"},\"child\":[]}]})(props);\n};\nexport function TbAirConditioning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16a3 3 0 0 1 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13v-3a1 1 0 0 1 1 -1h8a1 1 0 0 1 1 1v3\"},\"child\":[]}]})(props);\n};\nexport function TbAirTrafficControl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.998 6h12.004a2 2 0 0 1 1.916 2.575l-1.8 6a2 2 0 0 1 -1.916 1.425h-8.404a2 2 0 0 1 -1.916 -1.425l-1.8 -6a2 2 0 0 1 1.916 -2.575z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 6l1.5 10v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 6l-1.5 10v5\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmAverage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13a7 7 0 1 0 14 0a7 7 0 0 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l-2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h1l2 3l2 -6l2 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l-2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.587 7.566a7 7 0 1 0 9.833 9.864m1.35 -2.645a7 7 0 0 0 -8.536 -8.56\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.261 5.265l-1.011 .735\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l-2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v4\"},\"child\":[]}]})(props);\n};\nexport function TbAlarmSnooze (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h4l-4 4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l-2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l2.75 2\"},\"child\":[]}]})(props);\n};\nexport function TbAlarm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l0 3l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l-2.75 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l2.75 2\"},\"child\":[]}]})(props);\n};\nexport function TbAlbumOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.581 3.41c-.362 .364 -.864 .59 -1.419 .59h-12a2 2 0 0 1 -2 -2v-12c0 -.552 .224 -1.052 .585 -1.413\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v4m1.503 1.497l.497 -.497l2 2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAlbum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v7l2 -2l2 2v-7\"},\"child\":[]}]})(props);\n};\nexport function TbAlertCircleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.644 5.629a9 9 0 1 0 12.715 12.741m1.693 -2.349a9 9 0 0 0 -12.087 -12.068\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAlertCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlertHexagonOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.36 18.387l-5.268 3.333a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l1.317 -.777m2.535 -1.493l2.898 -1.709a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .414 -.116 .812 -.326 1.155\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlertHexagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlertOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.802 2.165l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-2.389 5.575c-.206 .48 -.589 .863 -1.07 1.07l-5.574 2.388c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlertSmallOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAlertSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlertSquareRoundedOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.201 19.199c-1.35 1.35 -3.6 1.801 -7.201 1.801c-7.2 0 -9 -1.8 -9 -9c0 -3.598 .45 -5.847 1.797 -7.197m2.626 -1.376c1.204 -.307 2.709 -.427 4.577 -.427c7.2 0 9 1.8 9 9c0 1.865 -.12 3.367 -.425 4.57\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAlertSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlertSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlertTriangleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.998 17.997a1.913 1.913 0 0 0 -.255 -.872l-8.106 -13.534a1.914 1.914 0 0 0 -3.274 0l-1.04 1.736m-1.493 2.493l-5.573 9.304a1.914 1.914 0 0 0 1.636 2.871h16.107\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]}]})(props);\n};\nexport function TbAlertTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 3.591l-8.106 13.534a1.914 1.914 0 0 0 1.636 2.871h16.214a1.914 1.914 0 0 0 1.636 -2.87l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbAlien (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a2.5 2.5 0 0 0 2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-4.664 0 -7.396 2.331 -7.862 5.595a11.816 11.816 0 0 0 2 8.592a10.777 10.777 0 0 0 3.199 3.064c1.666 1 3.664 1 5.33 0a10.777 10.777 0 0 0 3.199 -3.064a11.89 11.89 0 0 0 2 -8.592c-.466 -3.265 -3.198 -5.595 -7.862 -5.595z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxBottomCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13v4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxBottomLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13v4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxBottomRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxCenterBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxCenterMiddle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxCenterStretch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxCenterTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxLeftBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11h-4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxLeftMiddle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h-4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxLeftStretch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h-4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxLeftTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h-4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxRightBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxRightMiddle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxRightStretch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxRightTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxTopCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v-4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxTopLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11v-4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignBoxTopRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11v-4\"},\"child\":[]}]})(props);\n};\nexport function TbAlignCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l12 0\"},\"child\":[]}]})(props);\n};\nexport function TbAlignJustified (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l12 0\"},\"child\":[]}]})(props);\n};\nexport function TbAlignLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbAlignRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbAlpha (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.1 6c-1.1 2.913 -1.9 4.913 -2.4 6c-1.879 4.088 -3.713 6 -6 6c-2.4 0 -4.8 -2.4 -4.8 -6s2.4 -6 4.8 -6c2.267 0 4.135 1.986 6 6c.512 1.102 1.312 3.102 2.4 6\"},\"child\":[]}]})(props);\n};\nexport function TbAlphabetCyrillic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h2a2 2 0 0 1 2 2v5h-3a2 2 0 1 1 0 -4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7h-3a2 2 0 0 0 -2 2v6a2 2 0 0 0 2 2h1a2 2 0 0 0 2 -2v-3a2 2 0 0 0 -2 -2h-3\"},\"child\":[]}]})(props);\n};\nexport function TbAlphabetGreek (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10m0 2a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20v-11a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbAlphabetLatin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h2a2 2 0 0 1 2 2v5h-3a2 2 0 1 1 0 -4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10m0 2a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbAlt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbAmbulance (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h-2v-11a1 1 0 0 1 1 -1h9v12m-4 0h6m4 0h2v-6h-8m0 -5h5l3 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h4m-2 -2v4\"},\"child\":[]}]})(props);\n};\nexport function TbAmpersand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20l-10.403 -10.972a2.948 2.948 0 0 1 0 -4.165a2.94 2.94 0 0 1 4.161 0a2.948 2.948 0 0 1 0 4.165l-4.68 4.687a3.685 3.685 0 0 0 0 5.207a3.675 3.675 0 0 0 5.2 0l5.722 -5.922\"},\"child\":[]}]})(props);\n};\nexport function TbAnalyzeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -6.986 -6.918a8.086 8.086 0 0 0 -4.31 .62m-2.383 1.608a8.089 8.089 0 0 0 -1.326 1.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8.1 8.1 0 0 0 13.687 4.676\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16a1 1 0 0 0 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.888 9.87a3 3 0 1 0 4.233 4.252m.595 -3.397a3.012 3.012 0 0 0 -1.426 -1.435\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAnalyze (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -6.986 -6.918a8.095 8.095 0 0 0 -8.019 3.918\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8.1 8.1 0 0 0 15 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbAnchorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8 8 0 0 0 14.138 5.13m1.44 -2.56a7.99 7.99 0 0 0 .422 -2.57\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.866 8.873a3 3 0 1 0 -3.737 -3.747\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAnchor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v12m-8 -8a8 8 0 0 0 16 0m1 0h-2m-14 0h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbAngle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19h-18l9 -15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.615 15.171h.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.515 11.771h.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.715 8.671h.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.415 5.971h.015\"},\"child\":[]}]})(props);\n};\nexport function TbAnkh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-8l-.422 -.211a6.472 6.472 0 0 1 -3.578 -5.789a4 4 0 1 1 8 0a6.472 6.472 0 0 1 -3.578 5.789l-.422 .211\"},\"child\":[]}]})(props);\n};\nexport function TbAntennaBars1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbAntennaBars2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbAntennaBars3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l0 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbAntennaBars4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l0 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18l0 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbAntennaBars5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l0 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18l0 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18l0 -12\"},\"child\":[]}]})(props);\n};\nexport function TbAntennaBarsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAntennaOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4.5v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3m0 4v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h-8m-4 0h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAntenna (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4.5v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5.5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h-16\"},\"child\":[]}]})(props);\n};\nexport function TbApertureOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h10.55\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.641 5.631a9 9 0 1 0 12.719 12.738m1.68 -2.318a9 9 0 0 0 -12.074 -12.098\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.395 7.534l2.416 7.438\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.032 4.636l-4.852 3.526m-2.334 1.695l-1.349 .98\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.559 14.51l-8.535 -6.201\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.257 20.916l2.123 -6.533m.984 -3.028l.154 -.473\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAperture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h10.55\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.551 4.938l3.26 10.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.032 4.636l-8.535 6.201\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.559 14.51l-8.535 -6.201\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.257 20.916l3.261 -10.034\"},\"child\":[]}]})(props);\n};\nexport function TbApiAppOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h-6.5a2.5 2.5 0 1 1 0 -5h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v3.5a2.5 2.5 0 1 1 -5 0v-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h5.5a2.5 2.5 0 1 1 0 5h-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-3m.042 -3.957a2.5 2.5 0 0 1 4.958 .457v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbApiApp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h-6.5a2.5 2.5 0 1 1 0 -5h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v6.5a2.5 2.5 0 1 1 -5 0v-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h6.5a2.5 2.5 0 1 1 0 5h-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-6.5a2.5 2.5 0 0 1 5 0v.5\"},\"child\":[]}]})(props);\n};\nexport function TbApiOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-4m0 -4h3a2 2 0 0 1 2 2v1c0 .554 -.225 1.055 -.589 1.417m-3.411 .583h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-5.5a2.5 2.5 0 0 0 -5 0v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbApi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-8h3a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-5.5a2.5 2.5 0 0 0 -5 0v5.5\"},\"child\":[]}]})(props);\n};\nexport function TbAppWindow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h.01\"},\"child\":[]}]})(props);\n};\nexport function TbApple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v-6a2 2 0 0 1 2 -2h2v1a2 2 0 0 1 -2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10.5c1.333 .667 2.667 .667 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbAppsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h1a1 1 0 0 1 1 1v1m-.29 3.704a1 1 0 0 1 -.71 .296h-4a1 1 0 0 1 -1 -1v-4c0 -.276 .111 -.525 .292 -.706\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14h1a1 1 0 0 1 1 1v1m-.29 3.704a1 1 0 0 1 -.71 .296h-4a1 1 0 0 1 -1 -1v-4c0 -.276 .111 -.525 .292 -.706\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbApps (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbArcheryArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v3h3l3 -3h-3v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l-9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v4h4\"},\"child\":[]}]})(props);\n};\nexport function TbArchiveOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h11a2 2 0 1 1 0 4h-7m-4 0h-3a2 2 0 0 1 -.826 -3.822\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8v10a2 2 0 0 0 2 2h10a2 2 0 0 0 1.824 -1.18m.176 -3.82v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbArchive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8v10a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbArmchair2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10v-4a3 3 0 0 1 .128 -.869m2.038 -2.013c.264 -.078 .544 -.118 .834 -.118h8a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.124 12.145a3 3 0 1 1 3.756 3.724m-.88 3.131h-14v-3a3 3 0 1 1 3 -3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbArmchair2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10v-4a3 3 0 0 1 3 -3h8a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15v-2a3 3 0 1 1 3 3v3h-14v-3a3 3 0 1 1 3 -3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19v2\"},\"child\":[]}]})(props);\n};\nexport function TbArmchairOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13a2 2 0 1 1 4 0v4m-2 2h-14a2 2 0 0 1 -2 -2v-4a2 2 0 1 1 4 0v2h8.036\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-5a3 3 0 0 1 .134 -.89m1.987 -1.98a3 3 0 0 1 .879 -.13h8a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbArmchair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11a2 2 0 0 1 2 2v2h10v-2a2 2 0 1 1 4 0v4a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-5a3 3 0 0 1 3 -3h8a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v2\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitContent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h-7\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitHeight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18h-17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v-6a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4h-6a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20v-17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowAutofitWidth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBackUpDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h7a4 4 0 1 1 0 8h-1\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBackUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h11a4 4 0 1 1 0 8h-1\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l-4 4l4 4m-4 -4h11a4 4 0 0 0 0 -8h-1\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v-6l-5 4l-5 -4v6l5 4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h6l-4 -5l4 -5h-6l-4 5z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h-6l4 5l-4 5h6l4 -5z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBadgeUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11v6l-5 -4l-5 4v-6l5 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarBoth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v16\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarToDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l0 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarToLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarToRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l-10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarToUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBarUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBearLeft2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h-5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3l7.536 7.536a5 5 0 0 1 1.464 3.534v6.93\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5l-4.5 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBearLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3h-5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3l7.536 7.536a5 5 0 0 1 1.464 3.534v6.93\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBearRight2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3l-7.536 7.536a5 5 0 0 0 -1.464 3.534v6.93\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5l4.5 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBearRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l-7.536 7.536a5 5 0 0 0 -1.464 3.534v6.93\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigDownLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h3.586a1 1 0 0 1 .707 1.707l-6.586 6.586a1 1 0 0 1 -1.414 0l-6.586 -6.586a1 1 0 0 1 .707 -1.707h3.586v-6h6v6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h-6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigDownLines (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h3.586a1 1 0 0 1 .707 1.707l-6.586 6.586a1 1 0 0 1 -1.414 0l-6.586 -6.586a1 1 0 0 1 .707 -1.707h3.586v-3h6v3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6h-6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4v8h3.586a1 1 0 0 1 .707 1.707l-6.586 6.586a1 1 0 0 1 -1.414 0l-6.586 -6.586a1 1 0 0 1 .707 -1.707h3.586v-8a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigLeftLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v3.586a1 1 0 0 1 -1.707 .707l-6.586 -6.586a1 1 0 0 1 0 -1.414l6.586 -6.586a1 1 0 0 1 1.707 .707v3.586h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v-6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigLeftLines (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v3.586a1 1 0 0 1 -1.707 .707l-6.586 -6.586a1 1 0 0 1 0 -1.414l6.586 -6.586a1 1 0 0 1 1.707 .707v3.586h3v6h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v-6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-8v3.586a1 1 0 0 1 -1.707 .707l-6.586 -6.586a1 1 0 0 1 0 -1.414l6.586 -6.586a1 1 0 0 1 1.707 .707v3.586h8a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigRightLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v-3.586a1 1 0 0 1 1.707 -.707l6.586 6.586a1 1 0 0 1 0 1.414l-6.586 6.586a1 1 0 0 1 -1.707 -.707v-3.586h-6v-6h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigRightLines (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v-3.586a1 1 0 0 1 1.707 -.707l6.586 6.586a1 1 0 0 1 0 1.414l-6.586 6.586a1 1 0 0 1 -1.707 -.707v-3.586h-3v-6h3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h8v-3.586a1 1 0 0 1 1.707 -.707l6.586 6.586a1 1 0 0 1 0 1.414l-6.586 6.586a1 1 0 0 1 -1.707 -.707v-3.586h-8a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigUpLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h-3.586a1 1 0 0 1 -.707 -1.707l6.586 -6.586a1 1 0 0 1 1.414 0l6.586 6.586a1 1 0 0 1 -.707 1.707h-3.586v6h-6v-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigUpLines (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h-3.586a1 1 0 0 1 -.707 -1.707l6.586 -6.586a1 1 0 0 1 1.414 0l6.586 6.586a1 1 0 0 1 -.707 1.707h-3.586v3h-6v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBigUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20v-8h-3.586a1 1 0 0 1 -.707 -1.707l6.586 -6.586a1 1 0 0 1 1.414 0l6.586 6.586a1 1 0 0 1 -.707 1.707h-3.586v8a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowBounce (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8a9 9 0 0 1 9 9v1l1.428 -4.285a12 12 0 0 1 6.018 -6.938l.554 -.277\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6h5v5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowCapsule (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15a6 6 0 1 1 -12 0v-6a6 6 0 1 1 12 0v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowCurveLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v4.394a6.737 6.737 0 0 0 3 5.606a6.737 6.737 0 0 1 3 5.606v2.394\"},\"child\":[]}]})(props);\n};\nexport function TbArrowCurveRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4.394a6.737 6.737 0 0 1 -3 5.606a6.737 6.737 0 0 0 -3 5.606v2.394\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownBar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7a2 2 0 1 0 0 -4a2 2 0 0 0 0 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownFromArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 8.464l-9.536 9.536\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.586 8.414a2 2 0 1 0 2.828 -2.828a2 2 0 0 0 -2.828 2.828\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l-10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17l-9 0l0 -9\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownRhombus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 5.5l-2.5 -2.5l-2.5 2.5l2.5 2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.464 8.464l9.536 9.536\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.414 8.414a2 2 0 1 0 -2.828 -2.828a2 2 0 0 0 2.828 2.828\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l0 9l-9 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4h-4v-4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownTail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDownToArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11l-4 4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowElbowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14v-6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8l9 9l9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbArrowElbowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14v-6h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8l-9 9l-9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbArrowFork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h-5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-7.536 7.536a5 5 0 0 0 -1.464 3.534v6.93\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l7.536 7.536a5 5 0 0 1 1.464 3.534v.93\"},\"child\":[]}]})(props);\n};\nexport function TbArrowForwardUpDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h-7a4 4 0 1 0 0 8h1\"},\"child\":[]}]})(props);\n};\nexport function TbArrowForwardUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10h-11a4 4 0 1 0 0 8h1\"},\"child\":[]}]})(props);\n};\nexport function TbArrowForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l4 4l-4 4m4 -4h-11a4 4 0 0 1 0 -8h1\"},\"child\":[]}]})(props);\n};\nexport function TbArrowGuide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h3a2 2 0 0 0 2 -2v-8a2 2 0 0 1 2 -2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowIteration (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 16a5.5 5.5 0 1 0 -5.5 -5.5v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftBar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftFromArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 0 18\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftRhombus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 9.5l2.5 2.5l-2.5 2.5l-2.5 -2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14a5 5 0 0 1 5 -5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v-5a5 5 0 0 0 -5 -5h-4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14h-4v-4h4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftTail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h-15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeftToArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 0 18\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLoopLeft2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21v-6m0 -6v-1a4 4 0 1 1 4 4h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-4 -4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLoopLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21v-13a4 4 0 1 1 4 4h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-4 -4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLoopRight2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-6m0 -6v-1a4 4 0 1 0 -4 4h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16l4 -4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowLoopRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-13a4 4 0 1 0 -4 4h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16l4 -4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMergeAltLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18.01v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15.02v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13.03v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5.394a6.737 6.737 0 0 1 -3 5.606a6.737 6.737 0 0 0 -3 5.606v1.394\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMergeAltRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18.01v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15.02v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13.03v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5.394a6.737 6.737 0 0 0 3 5.606a6.737 6.737 0 0 1 3 5.606v1.394\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMergeBoth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18c-4 -1.333 -6 -4.667 -6 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18c4 -1.333 6 -4.667 6 -10\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMergeLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18c4 -1.333 6 -4.667 6 -10\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMergeRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18c-4 -1.333 -6 -4.667 -6 -10\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMerge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5.394a6.737 6.737 0 0 1 -3 5.606a6.737 6.737 0 0 0 -3 5.606v1.394\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5.394a6.737 6.737 0 0 0 3 5.606a6.737 6.737 0 0 1 3 5.606v1.394\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMoveDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMoveLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12a2 2 0 1 1 4 0a2 2 0 0 1 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMoveRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12a2 2 0 1 1 -4 0a2 2 0 0 1 4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowMoveUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a2 2 0 1 1 0 4a2 2 0 0 1 0 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowNarrowDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowNarrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowNarrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowNarrowUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRampLeft2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v8.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21c0 -6.075 -4.925 -11 -11 -11h-3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRampLeft3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21v-6a3 3 0 0 0 -3 -3h-11\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRampLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l0 8.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14l-4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21a11 11 0 0 0 -11 -11h-3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRampRight2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v8.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21c0 -6.075 4.925 -11 11 -11h3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRampRight3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-6a3 3 0 0 1 3 -3h11\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRampRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3l0 8.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21a11 11 0 0 1 11 -11h3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRightBar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h14\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRightFromArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 0 -18\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRightRhombus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 9.5l-2.5 2.5l2.5 2.5l2.5 -2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRightSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRightTail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l15 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRightToArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8l4 4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 0 -18\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRotaryFirstLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10a3 3 0 1 1 0 -6a3 3 0 0 1 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 9.5l-8.5 8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h-5v-5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRotaryFirstRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 9.5l8.5 8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h5v-5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRotaryLastLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15a3 3 0 1 1 0 -6a3 3 0 0 1 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 9.5l-6.5 -6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h-5v5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRotaryLastRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 9.5l6.5 -6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3h5v5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRotaryLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10a3 3 0 1 1 0 -6a3 3 0 0 1 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11l-4 -4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRotaryRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h10\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRotaryStraight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l4 -4l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRoundaboutLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h8a5 5 0 1 1 5 5v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5l-4 4l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowRoundaboutRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9h-8a5 5 0 1 0 -5 5v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5l4 4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowSharpTurnLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18v-11.31a.7 .7 0 0 0 -1.195 -.495l-9.805 9.805\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h-5v-5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowSharpTurnRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18v-11.31a.7 .7 0 0 1 1.195 -.495l9.805 9.805\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h5v-5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpBar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l0 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a2 2 0 1 0 0 4a2 2 0 0 0 0 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpFromArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l4 4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 0 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpLeftCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 15.536l-9.536 -9.536\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h-4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.586 15.586a2 2 0 1 0 2.828 2.828a2 2 0 0 0 -2.828 -2.828\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-9 0l0 9\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpRhombus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 18.5l-2.5 2.5l-2.5 -2.5l2.5 -2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpRightCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.464 15.536l9.536 -9.536\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10v-4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.414 15.586a2 2 0 1 0 -2.828 2.828a2 2 0 0 0 2.828 -2.828\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l-10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l9 0l0 9\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 -14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-4h4v4z\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpTail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l0 -15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUpToArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 0 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowWaveLeftDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12c-.887 1.284 -2.48 2.033 -4 2c-1.52 .033 -3.113 -.716 -4 -2s-2.48 -2.033 -4 -2c-1.52 -.033 -3 1 -4 2l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbArrowWaveLeftUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h-4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12c-.887 -1.285 -2.48 -2.033 -4 -2c-1.52 -.033 -3.113 .715 -4 2c-.887 1.284 -2.48 2.033 -4 2c-1.52 .033 -3 -1 -4 -2l-2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbArrowWaveRightDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14h4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c.887 1.284 2.48 2.033 4 2c1.52 .033 3.113 -.716 4 -2s2.48 -2.033 4 -2c1.52 -.033 3 1 4 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbArrowWaveRightUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c.887 -1.284 2.48 -2.033 4 -2c1.52 -.033 3.113 .716 4 2s2.48 2.033 4 2c1.52 .033 3 -1 4 -2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbArrowZigZag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v-10l10 6v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsCross (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l16 16\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDiagonal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l4 0l0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l-4 0l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDiagonalMinimize2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l-6 6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDiagonalMinimize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14h-4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDiagonal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l4 0l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l-4 0l0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDiff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDoubleNeSw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14l11 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10l-11 11\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDoubleNwSe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21l-11 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14h4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3l11 11\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDoubleSeNw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l11 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17v4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3h-4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14l-11 -11\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDoubleSwNe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l-11 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21l11 -11\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDownUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21l0 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21l0 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l0 -18\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsExchange2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10h-14l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h14l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsExchange (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h14l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14h-14l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l4 4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsJoin2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h1.948c1.913 0 3.705 .933 4.802 2.5a5.861 5.861 0 0 0 4.802 2.5h6.448\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h1.95a5.854 5.854 0 0 0 4.798 -2.5a5.854 5.854 0 0 1 4.798 -2.5h5.454\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsJoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h5l3.5 5h9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h5l3.495 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsLeftDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h11a3 3 0 0 1 3 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17l4 4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsLeftRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17l-18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsMaximize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l4 0l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l-4 0l0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l4 0l0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l-4 0l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsMinimize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9l4 0l0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15l4 0l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9l-4 0l0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15l-4 0l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsMoveHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsMoveVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsMove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v6\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsRandom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 9.504l-3.5 -2l2 -3.504\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7.504l6.83 -1.87\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l4 -1l1 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15l-3.5 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5l-.5 4l-4 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 9l-4.5 -5.5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsRightDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l4 4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21v-11a3 3 0 0 1 3 -3h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11l4 -4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsRightLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7l-18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17l-18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7l-18 0\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsShuffle2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h3a5 5 0 0 1 5 5a5 5 0 0 0 5 5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h3a5 5 0 0 0 5 -5a5 5 0 0 1 5 -5h5\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsShuffle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h3a5 5 0 0 1 5 5a5 5 0 0 0 5 5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h-5a4.978 4.978 0 0 0 -3 1m-4 8a4.984 4.984 0 0 1 -3 1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsSort (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l4 -4l4 4m-4 -4v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15l-4 4l-4 -4m4 4v-14\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsSplit2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17h-5.397a5 5 0 0 1 -4.096 -2.133l-.514 -.734a5 5 0 0 0 -4.096 -2.133h-3.897\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h-5.395a5 5 0 0 0 -4.098 2.135l-.51 .73a5 5 0 0 1 -4.097 2.135h-3.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsSplit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17h-8l-3.5 -5h-6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h-8l-3.495 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsTransferDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21v-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15v-2\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsTransferUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9v2\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsUpDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l0 -18\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v11a3 3 0 0 1 -3 3h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13l-4 4l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17h-11a3 3 0 0 1 -3 -3v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l-4 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3l0 18\"},\"child\":[]}]})(props);\n};\nexport function TbArrowsVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l4 4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 18\"},\"child\":[]}]})(props);\n};\nexport function TbArtboardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h3a1 1 0 0 1 1 1v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.716 15.698a1 1 0 0 1 -.716 .302h-6a1 1 0 0 1 -1 -1v-6c0 -.273 .11 -.52 .287 -.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbArtboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3l0 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l0 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 1\"},\"child\":[]}]})(props);\n};\nexport function TbArticleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h11a2 2 0 0 1 2 2v11m-1.172 2.821a1.993 1.993 0 0 1 -.828 .179h-14a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 1.156 -1.814\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h1m4 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h5m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbArticle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h10\"},\"child\":[]}]})(props);\n};\nexport function TbAspectRatioOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h10a2 2 0 0 1 2 2v10m-2 2h-14a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v-3h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12v1m-2 2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAspectRatio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12v3h-3\"},\"child\":[]}]})(props);\n};\nexport function TbAssemblyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.703 4.685l2.326 -1.385a2.056 2.056 0 0 1 2 0l6 3.573h-.029a2 2 0 0 1 1 1.747v6.536c0 .248 -.046 .49 -.132 .715m-2.156 1.837l-4.741 3.029a2 2 0 0 1 -1.942 0l-6 -3.833a2 2 0 0 1 -1.029 -1.747v-6.537a2 2 0 0 1 1.029 -1.748l1.157 -.689\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.593 7.591c.295 -.133 .637 -.12 .921 .04l3 1.79h-.014c.312 .181 .503 .516 .5 .877v1.702m-1.152 2.86l-2.363 1.514a1 1 0 0 1 -.97 0l-3 -1.922a1 1 0 0 1 -.515 -.876v-3.278c0 -.364 .197 -.7 .514 -.877l.568 -.339\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAssembly (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 9.422c.312 .18 .503 .515 .5 .876v3.277c0 .364 -.197 .7 -.515 .877l-3 1.922a1 1 0 0 1 -.97 0l-3 -1.922a1 1 0 0 1 -.515 -.876v-3.278c0 -.364 .197 -.7 .514 -.877l3 -1.79c.311 -.174 .69 -.174 1 0l3 1.79h-.014z\"},\"child\":[]}]})(props);\n};\nexport function TbAsset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.218 17.975l6.619 -12.174\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.079 9.756l12.217 -6.631\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbAsteriskSimple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-9 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l9 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l6 8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-6 8.5\"},\"child\":[]}]})(props);\n};\nexport function TbAsterisk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbAtOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.174 9.17a4 4 0 0 0 5.646 5.668m1.18 -2.838a4 4 0 0 0 -4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.695 15.697a2.5 2.5 0 0 0 1.305 -2.197v-1.5a9 9 0 0 0 -13.055 -8.047m-2.322 1.683a9 9 0 0 0 9.877 14.644\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v1.5a2.5 2.5 0 0 0 5 0v-1.5a9 9 0 1 0 -5.5 8.28\"},\"child\":[]}]})(props);\n};\nexport function TbAtom2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20.1a9 9 0 0 1 -5 -7.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20.1a9 9 0 0 0 5 -7.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.2 5a9 9 0 0 1 11.4 0\"},\"child\":[]}]})(props);\n};\nexport function TbAtomOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 9.172c-3.906 3.905 -5.805 8.337 -4.243 9.9c1.562 1.561 6 -.338 9.9 -4.244m1.884 -2.113c2.587 -3.277 3.642 -6.502 2.358 -7.786c-1.284 -1.284 -4.508 -.23 -7.784 2.357\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 4.929c-1.562 1.562 .337 6 4.243 9.9c3.905 3.905 8.337 5.804 9.9 4.242m-.072 -4.071c-.767 -1.794 -2.215 -3.872 -4.172 -5.828c-1.944 -1.945 -4.041 -3.402 -5.828 -4.172\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAtom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 4.929c-1.562 -1.562 -6 .337 -9.9 4.243c-3.905 3.905 -5.804 8.337 -4.242 9.9c1.562 1.561 6 -.338 9.9 -4.244c3.905 -3.905 5.804 -8.337 4.242 -9.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 4.929c-1.562 1.562 .337 6 4.243 9.9c3.905 3.905 8.337 5.804 9.9 4.242c1.561 -1.562 -.338 -6 -4.244 -9.9c-3.905 -3.905 -8.337 -5.804 -9.9 -4.242\"},\"child\":[]}]})(props);\n};\nexport function TbAugmentedReality2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21h-2a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-4 -2.5l4 -2.5l4 2.5v4.5l-4 2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14.5v4.5l4 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l4 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]}]})(props);\n};\nexport function TbAugmentedRealityOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2c0 -.557 .228 -1.061 .595 -1.424\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2c.558 0 1.062 -.228 1.425 -.596\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12.5l.312 -.195m2.457 -1.536l1.231 -.769\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.225 9.235l-1.225 .765l4 2.5v4.5l3.076 -1.923m.924 -3.077v-2l-4 -2.5l-.302 .189\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v4.5l4 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAugmentedReality (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12.5l4 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10l4 2.5v4.5l4 -2.5v-4.5l-4 -2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v4.5l4 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbAuth2Fa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h-4l3.47 -4.66a2 2 0 1 0 -3.47 -1.54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16v-6a2 2 0 0 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbAutomaticGearbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v4h1a2 2 0 1 0 0 -4h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11h1.5a1.5 1.5 0 0 0 0 -3h-1.5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7v3a1 1 0 0 0 1 1h3v7a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h4\"},\"child\":[]}]})(props);\n};\nexport function TbAvocado (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 14.04a3.905 3.905 0 0 1 1.337 -2.075c1.195 -.985 1.816 -2.285 1.863 -3.902c-.047 -1.43 -.54 -2.626 -1.477 -3.586c-.96 -.938 -2.156 -1.43 -3.585 -1.477c-1.618 .047 -2.918 .668 -3.903 1.863c-.562 .68 -1.254 1.125 -2.074 1.336c-.938 .188 -1.828 .48 -2.672 .88c-.844 .398 -1.559 .878 -2.144 1.44c-1.43 1.501 -2.145 3.224 -2.145 5.169c0 1.946 .715 3.668 2.145 5.168c1.5 1.429 3.222 2.144 5.168 2.144c1.945 0 3.667 -.715 5.167 -2.145c.563 -.585 1.055 -1.3 1.477 -2.144c.398 -.844 .68 -1.723 .844 -2.637v-.035z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.87 10.036c-.942 .112 -1.794 .538 -2.556 1.278c-.74 .762 -1.166 1.614 -1.278 2.556c-.135 .92 .112 1.704 .74 2.354c.65 .628 1.435 .875 2.354 .74c.942 -.112 1.794 -.538 2.556 -1.278c.74 -.762 1.166 -1.614 1.278 -2.556c.135 -.92 -.112 -1.704 -.74 -2.354c-.65 -.628 -1.435 -.875 -2.354 -.74z\"},\"child\":[]}]})(props);\n};\nexport function TbAwardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.72 12.704a6 6 0 0 0 -8.433 -8.418m-1.755 2.24a6 6 0 0 0 7.936 7.944\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l3.4 5.89l1.598 -3.233l.707 .046m1.108 -2.902l-1.617 -2.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.802 12l-3.4 5.89l3.598 -.233l1.598 3.232l3.4 -5.889\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbAward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l3.4 5.89l1.598 -3.233l3.598 .232l-3.4 -5.889\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.802 12l-3.4 5.89l3.598 -.233l1.598 3.232l3.4 -5.889\"},\"child\":[]}]})(props);\n};\nexport function TbAxe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l7.383 7.418c.823 .82 .823 2.148 0 2.967a2.11 2.11 0 0 1 -2.976 0l-7.407 -7.385\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.66 15.66l-3.32 -3.32a1.25 1.25 0 0 1 .42 -2.044l3.24 -1.296l6 -6l3 3l-6 6l-1.296 3.24a1.25 1.25 0 0 1 -2.044 .42z\"},\"child\":[]}]})(props);\n};\nexport function TbAxisX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h16\"},\"child\":[]}]})(props);\n};\nexport function TbAxisY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-16\"},\"child\":[]}]})(props);\n};\nexport function TbBabyBottle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a5 5 0 0 1 5 5v11a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2v-11a5 5 0 0 1 5 -5z\"},\"child\":[]}]})(props);\n};\nexport function TbBabyCarriage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5h2.5l1.632 4.897a6 6 0 0 0 5.693 4.103h2.675a5.5 5.5 0 0 0 0 -11h-.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l1 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l1 3\"},\"child\":[]}]})(props);\n};\nexport function TbBackground (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4l-10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 -16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10l-10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbBackhoe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v-5h2a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v-2a1 1 0 0 1 1 -1h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.12 9.88l-3.12 -4.88l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.12 9.88a3 3 0 0 1 -2.12 5.12a3 3 0 0 1 -2.12 -.88l4.24 -4.24z\"},\"child\":[]}]})(props);\n};\nexport function TbBackpackOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h3a6 6 0 0 1 6 6v3m-.129 3.872a3 3 0 0 1 -2.871 2.128h-8a3 3 0 0 1 -3 -3v-6a5.99 5.99 0 0 1 2.285 -4.712\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v-1a2 2 0 1 1 4 0v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-4a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBackpack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18v-6a6 6 0 0 1 6 -6h2a6 6 0 0 1 6 6v6a3 3 0 0 1 -3 3h-8a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v-1a2 2 0 1 1 4 0v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-4a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h2\"},\"child\":[]}]})(props);\n};\nexport function TbBackslash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5l10 14\"},\"child\":[]}]})(props);\n};\nexport function TbBackspace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-11l-5 -5a1.5 1.5 0 0 1 0 -2l5 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbBadge3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h1.5a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBadge4K (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9v2a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l-2 3l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-1\"},\"child\":[]}]})(props);\n};\nexport function TbBadge8K (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l-2 3l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 12h-.5a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeAdOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h10a2 2 0 0 1 2 2v10m-2 2h-14a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14v1h1m2 -2v-2a2 2 0 0 0 -2 -2h-1v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-4.5a1.5 1.5 0 0 1 2.077 -1.385m.788 .762c.087 .19 .135 .4 .135 .623v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeAd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-4.5a1.5 1.5 0 0 1 3 0v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h3\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeAr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-4.5a1.5 1.5 0 0 1 3 0v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6m3 0l-2 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeCc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeHd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h3\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v10l5 3l5 -3m0 -4v-9l-5 3l-2.496 -1.497\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeSd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeTm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v-6l2 3l2 -3v6\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeVo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l2 6l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 9a1.5 1.5 0 0 1 1.5 1.5v3a1.5 1.5 0 0 1 -3 0v-3a1.5 1.5 0 0 1 1.5 -1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeVr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6m3 0l-2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l2 6l2 -6\"},\"child\":[]}]})(props);\n};\nexport function TbBadgeWc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 9l.5 6l2 -4l2 4l.5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]}]})(props);\n};\nexport function TbBadge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v-13l-5 3l-5 -3v13l5 3z\"},\"child\":[]}]})(props);\n};\nexport function TbBadgesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.505 14.497l-2.505 1.503l-5 -3v4l5 3l5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.873 9.876l3.127 -1.876v-4l-5 3l-2.492 -1.495m-2.508 1.495v1l2.492 1.495\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBadges (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v-4l-5 3l-5 -3v4l5 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v-4l-5 3l-5 -3v4l5 3z\"},\"child\":[]}]})(props);\n};\nexport function TbBaguette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.628 11.283l5.644 -5.637c2.665 -2.663 5.924 -3.747 8.663 -1.205l.188 .181a2.987 2.987 0 0 1 0 4.228l-11.287 11.274a3 3 0 0 1 -4.089 .135l-.143 -.135c-2.728 -2.724 -1.704 -6.117 1.024 -8.841z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 7.5l1.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 10.5l1.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 4.5l1.5 3.5\"},\"child\":[]}]})(props);\n};\nexport function TbBallAmericanFootballOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-1 1m-2 2l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21a5 5 0 0 0 -5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.813 6.802a12.96 12.96 0 0 0 -3.813 9.198a5 5 0 0 0 5 5a12.96 12.96 0 0 0 9.186 -3.801m1.789 -2.227a12.94 12.94 0 0 0 2.025 -6.972a5 5 0 0 0 -5 -5a12.94 12.94 0 0 0 -6.967 2.022\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3a5 5 0 0 0 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBallAmericanFootball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21a5 5 0 0 0 -5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3c-7.18 0 -13 5.82 -13 13a5 5 0 0 0 5 5c7.18 0 13 -5.82 13 -13a5 5 0 0 0 -5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3a5 5 0 0 0 5 5\"},\"child\":[]}]})(props);\n};\nexport function TbBallBaseball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 18.364a9 9 0 1 0 12.728 -12.728a9 9 0 0 0 -12.728 12.728z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.495 3.02a9 9 0 0 1 -9.475 9.475\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.98 11.505a9 9 0 0 0 -9.475 9.475\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11l1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11l1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16l2 1\"},\"child\":[]}]})(props);\n};\nexport function TbBallBasketball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.65 5.65l12.7 12.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.65 18.35l12.7 -12.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 0 0 9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 1 9 9\"},\"child\":[]}]})(props);\n};\nexport function TbBallBowling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBallFootballOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.041 16.046a9 9 0 0 0 -12.084 -12.09m-2.323 1.683a9 9 0 0 0 12.726 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l4.755 3.455l-.566 1.743l-.98 3.014l-.209 .788h-6l-1.755 -5.545l1.86 -1.351l2.313 -1.681z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l2.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.755 10.455l3.745 -1.455\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.061 16.045l-2.561 2.955\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.245 10.455l-3.745 -1.455\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBallFootball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l4.76 3.45l-1.76 5.55h-6l-1.76 -5.55z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v-4m3 13l2.5 3m-.74 -8.55l3.74 -1.45m-11.44 7.05l-2.56 2.95m.74 -8.55l-3.74 -1.45\"},\"child\":[]}]})(props);\n};\nexport function TbBallTennis (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5.3a9 9 0 0 1 0 13.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5.3a9 9 0 0 0 0 13.4\"},\"child\":[]}]})(props);\n};\nexport function TbBallVolleyball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a8 8 0 0 0 8 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 13.5a12 12 0 0 0 8.5 6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a8 8 0 0 0 -7.464 4.928\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.951 7.353a12 12 0 0 0 -9.88 4.111\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a8 8 0 0 0 -.536 -8.928\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.549 15.147a12 12 0 0 0 1.38 -10.611\"},\"child\":[]}]})(props);\n};\nexport function TbBalloonOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8a2 2 0 0 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.762 3.753a6 6 0 0 1 10.238 4.247c0 1.847 -.37 3.564 -1.007 4.993m-1.59 2.42c-.967 1 -2.14 1.587 -3.403 1.587c-3.314 0 -6 -4.03 -6 -9c0 -.593 .086 -1.166 .246 -1.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v1a2 2 0 0 1 -2 2h-3a2 2 0 0 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBalloon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8a2 2 0 0 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8a6 6 0 1 1 12 0c0 4.97 -2.686 9 -6 9s-6 -4.03 -6 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v1a2 2 0 0 1 -2 2h-3a2 2 0 0 0 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbBallpenOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6l7 7l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l-4.172 4.172a2.828 2.828 0 1 0 4 4l4.172 -4.172\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l4.414 -4.414a2 2 0 0 0 0 -2.829l-1.171 -1.171a2 2 0 0 0 -2.829 0l-4.414 4.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l1.768 -1.768\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBallpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6l7 7l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.828 18.172a2.828 2.828 0 0 0 4 0l10.586 -10.586a2 2 0 0 0 0 -2.829l-1.171 -1.171a2 2 0 0 0 -2.829 0l-10.586 10.586a2.828 2.828 0 0 0 0 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l1.768 -1.768\"},\"child\":[]}]})(props);\n};\nexport function TbBan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.7 5.7l12.6 12.6\"},\"child\":[]}]})(props);\n};\nexport function TbBandageOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.513 6.487l1.987 -1.987a4.95 4.95 0 0 1 7 7l-2.018 2.018m-1.982 1.982l-4 4a4.95 4.95 0 0 1 -7 -7l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBandage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 12.5l8 -8a4.94 4.94 0 0 1 7 7l-8 8a4.94 4.94 0 0 1 -7 -7\"},\"child\":[]}]})(props);\n};\nexport function TbBarbellOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.298 6.288a1 1 0 0 0 -.298 .712v10a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v2a1 1 0 0 0 1 1h1c.275 0 .523 -.11 .704 -.29m.296 -3.71v-7a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8h2a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBarbell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7v10a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-10a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v10a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-10a1 1 0 0 0 -1 -1h-1a1 1 0 0 0 -1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8h2a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-1\"},\"child\":[]}]})(props);\n};\nexport function TbBarcodeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7v-1c0 -.552 .224 -1.052 .586 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v1a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2c.551 0 1.05 -.223 1.412 -.584\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11h1v2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBarcode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7v-1a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v1a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11h1v2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h1v2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11l0 2\"},\"child\":[]}]})(props);\n};\nexport function TbBarrelOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h8.722a2 2 0 0 1 1.841 1.22c.958 2.26 1.437 4.52 1.437 6.78a16.35 16.35 0 0 1 -.407 3.609m-.964 3.013l-.066 .158a2 2 0 0 1 -1.841 1.22h-9.444a2 2 0 0 1 -1.841 -1.22c-.958 -2.26 -1.437 -4.52 -1.437 -6.78c0 -2.21 .458 -4.42 1.374 -6.63\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4c.585 2.337 .913 4.674 .985 7.01m-.114 3.86a33.415 33.415 0 0 1 -.871 5.13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4a34.42 34.42 0 0 0 -.366 1.632m-.506 3.501a32.126 32.126 0 0 0 -.128 2.867c0 2.667 .333 5.333 1 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 16h11.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 8h-7.5m-4 0h-3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBarrel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.278 4h9.444a2 2 0 0 1 1.841 1.22c.958 2.26 1.437 4.52 1.437 6.78c0 2.26 -.479 4.52 -1.437 6.78a2 2 0 0 1 -1.841 1.22h-9.444a2 2 0 0 1 -1.841 -1.22c-.958 -2.26 -1.437 -4.52 -1.437 -6.78c0 -2.26 .479 -4.52 1.437 -6.78a2 2 0 0 1 1.841 -1.22z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4c.667 2.667 1 5.333 1 8s-.333 5.333 -1 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4c-.667 2.667 -1 5.333 -1 8s.333 5.333 1 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 16h15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 8h-15\"},\"child\":[]}]})(props);\n};\nexport function TbBarrierBlockOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h8a1 1 0 0 1 1 1v7c0 .27 -.107 .516 -.282 .696\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h-11a1 1 0 0 1 -1 -1v-7a1 1 0 0 1 1 -1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16l4.244 -4.244\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.745 9.755l2.755 -2.755\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 16l1.249 -1.249\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.741 12.759l3.259 -3.259\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13.5l4.752 -4.752\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBarrierBlock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v7a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16l9 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 16l6.5 -6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13.5l6.5 -6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v-2\"},\"child\":[]}]})(props);\n};\nexport function TbBaselineDensityLarge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]}]})(props);\n};\nexport function TbBaselineDensityMedium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h16\"},\"child\":[]}]})(props);\n};\nexport function TbBaselineDensitySmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h16\"},\"child\":[]}]})(props);\n};\nexport function TbBaseline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16v-8a4 4 0 1 1 8 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h8\"},\"child\":[]}]})(props);\n};\nexport function TbBasketBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-5.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.358 2.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbBasketCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-4.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.3 1.713\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBasketCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-3.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.479 2.729\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBasketCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-3.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304c-.21 1.202 -.37 2.104 -.475 2.705\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbBasketCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-4.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.267 1.522\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbBasketDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20h-5.256a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.394 2.248\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.856 13.254a2 2 0 1 0 -1.856 2.746\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBasketDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-5.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbBasketDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-4.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.349 1.989\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBasketExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-7.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.36 2.055\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBasketHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20h-3.256a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.143 .817\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.602 12.092a2 2 0 0 0 -2.233 3.066\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbBasketMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-4.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.833 4.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbBasketOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l.75 -2.252m1.001 -3.002l.249 -.746\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h7a2 2 0 0 1 1.977 2.304c-.442 2.516 -.756 4.438 -.977 5.696m-1.01 3.003a2.997 2.997 0 0 1 -2.234 .997h-9.512a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h2.999\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a2 2 0 1 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBasketPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-5.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.478 2.725\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbBasketPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-4.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.161 .92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.866 13.28a2 2 0 1 0 -1.866 2.72\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBasketPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-4.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.359 2.043\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbBasketQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-7.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.161 .918\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16a2 2 0 1 0 0 -4a2 2 0 0 0 0 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbBasketSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-3.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.215 1.227\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.483 12.658a2 2 0 1 0 -2.162 3.224\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbBasketShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20h-5.256a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.478 2.723\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14a2 2 0 1 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBasketStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20h-3.256a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.133 .757\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.596 12.794a2 2 0 0 0 -3.377 2.116\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbBasketUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-4.756a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.358 2.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbBasketX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 20h-6.256a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304h13.999a2 2 0 0 1 1.977 2.304l-.532 3.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbBasket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.001 8h13.999a2 2 0 0 1 1.977 2.304l-1.255 7.152a3 3 0 0 1 -2.966 2.544h-9.512a3 3 0 0 1 -2.965 -2.544l-1.255 -7.152a2 2 0 0 1 1.977 -2.304z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -6\"},\"child\":[]}]})(props);\n};\nexport function TbBat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16c.74 -2.286 2.778 -3.762 5 -3c-.173 -2.595 .13 -5.314 -2 -7.5c-1.708 2.648 -3.358 2.557 -5 2.5v-4l-3 2l-3 -2v4c-1.642 .057 -3.292 .148 -5 -2.5c-2.13 2.186 -1.827 4.905 -2 7.5c2.222 -.762 4.26 .714 5 3c2.593 0 3.889 .952 5 4c1.111 -3.048 2.407 -4 5 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8a3 3 0 0 0 6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBathOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h4a1 1 0 0 1 1 1v3c0 .311 -.036 .614 -.103 .904m-1.61 2.378a3.982 3.982 0 0 1 -2.287 .718h-10a4 4 0 0 1 -4 -4v-3a1 1 0 0 1 1 -1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v-6m1.178 -2.824c.252 -.113 .53 -.176 .822 -.176h3v2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21l1 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l-1 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBath (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h16a1 1 0 0 1 1 1v3a4 4 0 0 1 -4 4h-10a4 4 0 0 1 -4 -4v-3a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v-7a2 2 0 0 1 2 -2h3v2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21l1 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l-1 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbBattery1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h11a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-11a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbBattery2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h11a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-11a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbBattery3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h11a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-11a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbBattery4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h11a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-11a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbBatteryAutomotive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 13l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 13l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11.5l0 3\"},\"child\":[]}]})(props);\n};\nexport function TbBatteryCharging2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9a2 2 0 0 1 2 -2h11a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h6v2a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2v-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 22v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15v-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15v-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbBatteryCharging (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h1a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7h-2a2 2 0 0 0 -2 2v6a2 2 0 0 0 2 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-2 4h3l-2 4\"},\"child\":[]}]})(props);\n};\nexport function TbBatteryEco (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9a2 2 0 0 1 2 -2h11a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16.143c0 -2.84 2.09 -5.143 4.667 -5.143h2.333v.857c0 2.84 -2.09 5.143 -4.667 5.143h-2.333v-.857z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20v-3\"},\"child\":[]}]})(props);\n};\nexport function TbBatteryOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h6a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5m-2 2h-11a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h1\"},\"child\":[]}]})(props);\n};\nexport function TbBattery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h11a2 2 0 0 1 2 2v.5a.5 .5 0 0 0 .5 .5a.5 .5 0 0 1 .5 .5v3a.5 .5 0 0 1 -.5 .5a.5 .5 0 0 0 -.5 .5v.5a2 2 0 0 1 -2 2h-11a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBeachOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.071 15.102a7.502 7.502 0 0 0 -8.124 1.648\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.27 6.269l9.926 5.731a6 6 0 0 0 -10.32 -6.123\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.732 10c1.658 -2.87 2.225 -5.644 1.268 -6.196c-.957 -.552 -3.075 1.326 -4.732 4.196\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-.739 1.279\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.794 12.82l-.794 1.376\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19.25a2.4 2.4 0 0 1 1 -.25a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 1.135 -.858\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBeach (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.553 16.75a7.5 7.5 0 0 0 -10.606 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3.804a6 6 0 0 0 -8.196 2.196l10.392 6a6 6 0 0 0 -2.196 -8.196z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.732 10c1.658 -2.87 2.225 -5.644 1.268 -6.196c-.957 -.552 -3.075 1.326 -4.732 4.196\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-3 5.196\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19.25a2.4 2.4 0 0 1 1 -.25a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 1 .25\"},\"child\":[]}]})(props);\n};\nexport function TbBedFlat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h11v-2a3 3 0 0 0 -3 -3h-8v5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h18\"},\"child\":[]}]})(props);\n};\nexport function TbBedOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7a2 2 0 1 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17v-3h-4m-4 0h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v2h2m4 0h4v-2a3 3 0 0 0 -3 -3h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17v-3h-20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h10v-2a3 3 0 0 0 -3 -3h-7v5z\"},\"child\":[]}]})(props);\n};\nexport function TbBeerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v1.111c0 1.242 .29 2.467 .845 3.578l.31 .622a8 8 0 0 1 .845 3.578v4.111h6v-4.111a8 8 0 0 1 .045 -.85m.953 -3.035l.157 -.315a8 8 0 0 0 .845 -3.578v-4.111h-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h1m4 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBeer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h6a1 1 0 0 0 1 -1v-3.625c0 -1.397 .29 -2.775 .845 -4.025l.31 -.7c.556 -1.25 .845 -2.253 .845 -3.65v-4a1 1 0 0 0 -1 -1h-10a1 1 0 0 0 -1 1v4c0 1.397 .29 2.4 .845 3.65l.31 .7a9.931 9.931 0 0 1 .845 4.025v3.625a1 1 0 0 0 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h12\"},\"child\":[]}]})(props);\n};\nexport function TbBellBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 17h-9.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 4.368 2.67\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbBellCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBellCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17h-7.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v3c.016 .129 .037 .256 .065 .382\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 2.502 2.959\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBellCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17h-7.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 2.498 2.958\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbBellCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h-8a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3 3\"},\"child\":[]}]})(props);\n};\nexport function TbBellDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-9a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 3.911 5.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 4.02 2.822\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbBellDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3.518 2.955\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBellExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h-11a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 6 0v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBellHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h-6a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1c0 1.408 .97 2.59 2.28 2.913\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbBellMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v3c.047 .386 .149 .758 .3 1.107\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3.504 2.958\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbBellOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.346 5.353c.21 -.129 .428 -.246 .654 -.353a2 2 0 1 1 4 0a7 7 0 0 1 4 6v3m-1 3h-13a4 4 0 0 0 2 -3v-3a6.996 6.996 0 0 1 1.273 -3.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 6 0v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBellPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-9a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 4.022 2.821\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbBellPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h-8a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3.64 2.931\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBellPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3.51 2.957\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbBellQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 17h-9.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 5.914 .716\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbBellRinging2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.364 4.636a2 2 0 0 1 0 2.828a7 7 0 0 1 -1.414 7.072l-2.122 2.12a4 4 0 0 0 -.707 3.536l-11.313 -11.312a4 4 0 0 0 3.535 -.707l2.121 -2.123a7 7 0 0 1 7.072 -1.414a2 2 0 0 1 2.828 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.343 12.414l-.707 .707a3 3 0 0 0 4.243 4.243l.707 -.707\"},\"child\":[]}]})(props);\n};\nexport function TbBellRinging (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5a2 2 0 0 1 4 0a7 7 0 0 1 4 6v3a4 4 0 0 0 2 3h-16a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 6 0v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6.727a11.05 11.05 0 0 0 -2.794 -3.727\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6.727a11.05 11.05 0 0 1 2.792 -3.727\"},\"child\":[]}]})(props);\n};\nexport function TbBellSchool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 15h.5a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-1a2 2 0 0 1 2 -2h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17a5.698 5.698 0 0 0 4.467 -7.932l-.467 -1.068\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBellSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h-7a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 2.685 2.984\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbBellShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBellStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 17h-5.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 3.88 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 2.15 2.878\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbBellUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 3.49 2.96\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbBellX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-9a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6a2 2 0 1 1 4 0a7 7 0 0 1 4 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 4.194 2.753\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbBellZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5a2 2 0 1 1 4 0a7 7 0 0 1 4 6v3a4 4 0 0 0 2 3h-16a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 6 0v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h4l-4 4h4\"},\"child\":[]}]})(props);\n};\nexport function TbBell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5a2 2 0 1 1 4 0a7 7 0 0 1 4 6v3a4 4 0 0 0 2 3h-16a4 4 0 0 0 2 -3v-3a7 7 0 0 1 4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v1a3 3 0 0 0 6 0v-1\"},\"child\":[]}]})(props);\n};\nexport function TbBeta (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22v-14a4 4 0 0 1 4 -4h.5a3.5 3.5 0 0 1 0 7h-.5h.5a4.5 4.5 0 1 1 -4.5 4.5v-.5\"},\"child\":[]}]})(props);\n};\nexport function TbBible (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v16h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16h-12a2 2 0 0 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h4\"},\"child\":[]}]})(props);\n};\nexport function TbBikeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.437 16.44a3 3 0 0 0 4.123 4.123m1.44 -2.563a3 3 0 0 0 -3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v-4l-3 -3l1.665 -1.332m2.215 -1.772l1.12 -.896l2 3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l0 -4l-3 -3l5 -4l2 3l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBinaryOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7v-2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 5h2a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-2a.5 .5 0 0 1 -.5 -.5v-4a.5 .5 0 0 1 .5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 14h2a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-2a.5 .5 0 0 1 -.5 -.5v-4a.5 .5 0 0 1 .5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBinaryTree2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.316 12.496l4.368 -4.992\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.684 12.496l-4.366 -4.99\"},\"child\":[]}]})(props);\n};\nexport function TbBinaryTree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.058 18.306l2.88 -4.606\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.061 10.303l2.877 -4.604\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.065 13.705l2.876 4.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.063 5.7l2.881 4.61\"},\"child\":[]}]})(props);\n};\nexport function TbBinary (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10v-5h-1m8 14v-5h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5m0 .5a.5 .5 0 0 1 .5 -.5h2a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-2a.5 .5 0 0 1 -.5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14m0 .5a.5 .5 0 0 1 .5 -.5h2a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-2a.5 .5 0 0 1 -.5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h.01m-.01 9h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBiohazardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.586 10.586a2 2 0 1 0 2.836 2.82\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.939 14c0 .173 .048 .351 .056 .533v.217a4.75 4.75 0 0 1 -4.533 4.745h-.217\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.495 14.745a4.75 4.75 0 0 1 7.737 -3.693\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.745 19.495a4.75 4.75 0 0 1 -4.69 -5.503h-.06\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.533 10.538a4.75 4.75 0 0 1 6.957 3.987v.217\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.295 10.929a4.75 4.75 0 0 1 -2.988 -3.64m.66 -3.324a4.75 4.75 0 0 1 .5 -.66l.164 -.172\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.349 3.133a4.75 4.75 0 0 1 -.836 7.385\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBiohazard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.939 14c0 .173 .048 .351 .056 .533l0 .217a4.75 4.75 0 0 1 -4.533 4.745l-.217 0m-4.75 -4.75a4.75 4.75 0 0 1 7.737 -3.693m6.513 8.443a4.75 4.75 0 0 1 -4.69 -5.503l-.06 0m1.764 -2.944a4.75 4.75 0 0 1 7.731 3.477l0 .217m-11.195 -3.813a4.75 4.75 0 0 1 -1.828 -7.624l.164 -.172m6.718 0a4.75 4.75 0 0 1 -1.665 7.798\"},\"child\":[]}]})(props);\n};\nexport function TbBlade (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.707 3.707l2.586 2.586a1 1 0 0 1 0 1.414l-.586 .586a1 1 0 0 0 0 1.414l.586 .586a1 1 0 0 1 0 1.414l-8.586 8.586a1 1 0 0 1 -1.414 0l-.586 -.586a1 1 0 0 0 -1.414 0l-.586 .586a1 1 0 0 1 -1.414 0l-2.586 -2.586a1 1 0 0 1 0 -1.414l.586 -.586a1 1 0 0 0 0 -1.414l-.586 -.586a1 1 0 0 1 0 -1.414l8.586 -8.586a1 1 0 0 1 1.414 0l.586 .586a1 1 0 0 0 1.414 0l.586 -.586a1 1 0 0 1 1.414 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l3.2 -3.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.8 11.2l3.2 -3.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBleachChlorine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14a2 2 0 0 0 1.84 -2.75l-7.1 -12.25a2 2 0 0 0 -3.5 0l-7.1 12.25a2 2 0 0 0 1.75 2.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h-1a2 2 0 1 0 0 4h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12v4h2\"},\"child\":[]}]})(props);\n};\nexport function TbBleachNoChlorine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14a2 2 0 0 0 1.84 -2.75l-7.1 -12.25a2 2 0 0 0 -3.5 0l-7.1 12.25a2 2 0 0 0 1.75 2.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.576 19l7.907 -13.733\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.719 19.014l5.346 -9.284\"},\"child\":[]}]})(props);\n};\nexport function TbBleachOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14m1.986 -1.977a2 2 0 0 0 -.146 -.773l-7.1 -12.25a2 2 0 0 0 -3.5 0l-.815 1.405m-1.488 2.568l-4.797 8.277a2 2 0 0 0 1.75 2.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBleach (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14a2 2 0 0 0 1.84 -2.75l-7.1 -12.25a2 2 0 0 0 -3.5 0l-7.1 12.25a2 2 0 0 0 1.75 2.75\"},\"child\":[]}]})(props);\n};\nexport function TbBlendMode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 9.5m-6.5 0a6.5 6.5 0 1 0 13 0a6.5 6.5 0 1 0 -13 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5m-6.5 0a6.5 6.5 0 1 0 13 0a6.5 6.5 0 1 0 -13 0\"},\"child\":[]}]})(props);\n};\nexport function TbBlender (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h-3a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h10.802a1 1 0 0 1 .984 1.179l-1.786 9.821\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l2 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h4a3 3 0 0 1 3 3v2a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-2a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v-1h2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBlob (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.897 20.188c1.67 .752 3.896 .812 6.103 .812s4.434 -.059 6.104 -.812c.868 -.392 1.614 -.982 2.133 -1.856c.514 -.865 .763 -1.94 .763 -3.234c0 -2.577 -.983 -5.315 -2.557 -7.416c-1.57 -2.094 -3.833 -3.682 -6.443 -3.682s-4.873 1.588 -6.443 3.682c-1.574 2.101 -2.557 4.84 -2.557 7.416c0 1.295 .249 2.369 .763 3.234c.519 .874 1.265 1.464 2.134 1.856\"},\"child\":[]}]})(props);\n};\nexport function TbBlockquote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19h-15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h1a1 1 0 1 1 -1 1v-2.5a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h1a1 1 0 1 1 -1 1v-2.5a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBluetoothConnected (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l10 8l-5 4l0 -16l5 4l-10 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12l1 0\"},\"child\":[]}]})(props);\n};\nexport function TbBluetoothOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.438 16.45l-4.438 3.55v-8m0 -4v-4l5 4l-2.776 2.22m-2.222 1.779l-5 4\"},\"child\":[]}]})(props);\n};\nexport function TbBluetoothX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l10 8l-5 4v-16l1 .802m0 6.396l-6 4.802\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbBluetooth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l10 8l-5 4l0 -16l5 4l-10 8\"},\"child\":[]}]})(props);\n};\nexport function TbBlurOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m0 4v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.641 5.631a9 9 0 1 0 12.719 12.738m1.68 -2.318a9 9 0 0 0 -12.074 -12.098\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h3m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBlur (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9.01 9.01 0 0 0 2.32 -.302a9 9 0 0 0 1.74 -16.733a9 9 0 1 0 -4.06 17.035z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h8\"},\"child\":[]}]})(props);\n};\nexport function TbBmp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v-8h2a2 2 0 1 1 0 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14a2 2 0 0 1 -2 2h-2v-8h2a2 2 0 1 1 0 4h-2h2a2 2 0 0 1 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8l3 6l3 -6v8\"},\"child\":[]}]})(props);\n};\nexport function TbBodyScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17v-1a2 2 0 1 1 4 0v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10c.666 .666 1.334 1 2 1h4c.666 0 1.334 -.334 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v3\"},\"child\":[]}]})(props);\n};\nexport function TbBoldOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h4a3.5 3.5 0 0 1 2.222 6.204m-3.222 .796h-5v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.107 17.112a3.5 3.5 0 0 1 -3.107 1.888h-7v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBold (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h6a3.5 3.5 0 0 1 0 7h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h1a3.5 3.5 0 0 1 0 7h-7v-7\"},\"child\":[]}]})(props);\n};\nexport function TbBoltOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.212 15.21l-4.212 5.79v-7h-6l3.79 -5.21m1.685 -2.32l2.525 -3.47v6m1 1h5l-2.104 2.893\"},\"child\":[]}]})(props);\n};\nexport function TbBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3l0 7l6 0l-8 11l0 -7l-6 0l8 -11\"},\"child\":[]}]})(props);\n};\nexport function TbBomb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.349 5.349l3.301 3.301a1.2 1.2 0 0 1 0 1.698l-.972 .972a7.5 7.5 0 1 1 -5 -5l.972 -.972a1.2 1.2 0 0 1 1.698 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l1.293 -1.293a2.414 2.414 0 0 0 .707 -1.707a1 1 0 0 1 1 -1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13a3 3 0 0 1 3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 8.502l.38 -.38a3 3 0 1 1 5.12 -2.122a3 3 0 1 1 -2.12 5.122l-.372 .372m-2.008 2.008l-2.378 2.378a3 3 0 1 1 -5.117 2.297l0 -.177l-.176 0a3 3 0 1 1 2.298 -5.115l2.378 -2.378\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a3 3 0 0 1 3 3a3 3 0 1 1 -2.12 5.122l-4.758 4.758a3 3 0 1 1 -5.117 2.297l0 -.177l-.176 0a3 3 0 1 1 2.298 -5.115l4.758 -4.758a3 3 0 0 1 2.12 -5.122z\"},\"child\":[]}]})(props);\n};\nexport function TbBongOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v-2h4v6m1.5 1.5l2.5 -2.5l2 2l-2.5 2.5m-.5 3.505a5 5 0 1 1 -7 -4.589v-2.416\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.1 17h9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBong (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3v8.416c.134 .059 .265 .123 .393 .193l3.607 -3.609l2 2l-3.608 3.608a5 5 0 1 1 -6.392 -2.192v-8.416h4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.1 17h9.8\"},\"child\":[]}]})(props);\n};\nexport function TbBook2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v16h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16h-12a2 2 0 0 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h6\"},\"child\":[]}]})(props);\n};\nexport function TbBookDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h-7a2 2 0 0 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22v-9\"},\"child\":[]}]})(props);\n};\nexport function TbBookOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a9 9 0 0 1 9 0a9 9 0 0 1 5.899 -1.096\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a9 9 0 0 1 2.114 -.884m3.8 -.21c1.07 .17 2.116 .534 3.086 1.094a9 9 0 0 1 9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v2m0 4v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBookUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h-8a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h-5a2 2 0 0 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13v9\"},\"child\":[]}]})(props);\n};\nexport function TbBook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a9 9 0 0 1 9 0a9 9 0 0 1 9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a9 9 0 0 1 9 0a9 9 0 0 1 9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l0 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l0 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6l0 13\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarkAi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.02 18.32l-4.02 2.68v-14a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarkEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l-6 4v-14a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 1 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarkMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l-6 4v-14a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarkOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.708 3.721a3.982 3.982 0 0 1 2.292 -.721h4a4 4 0 0 1 4 4v7m0 4v3l-6 -4l-6 4v-14c0 -.308 .035 -.609 .1 -.897\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarkPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l-6 4v-14a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarkQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l-3 -2l-6 4v-14a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbBookmark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7v14l-6 -4l-6 4v-14a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4z\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarksOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2a2 2 0 0 1 2 2v2m0 4v6l-5 -3l-5 3v-12a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.265 4a2 2 0 0 1 1.735 -1h6a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBookmarks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10v11l-5 -3l-5 3v-11a3 3 0 0 1 3 -3h4a3 3 0 0 1 3 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h5a3 3 0 0 1 3 3v11\"},\"child\":[]}]})(props);\n};\nexport function TbBooksOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v10a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4a1 1 0 0 1 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13v6a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.254 10.244l-1.218 -4.424a1.02 1.02 0 0 1 .634 -1.219l.133 -.041l2.184 -.53c.562 -.135 1.133 .19 1.282 .732l3.236 11.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.585 19.589l-1.572 .38c-.562 .136 -1.133 -.19 -1.282 -.731l-.952 -3.458\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l4 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.207 15.199l.716 -.18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBooks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.803 4.56l2.184 -.53c.562 -.135 1.133 .19 1.282 .732l3.695 13.418a1.02 1.02 0 0 1 -.634 1.219l-.133 .041l-2.184 .53c-.562 .135 -1.133 -.19 -1.282 -.732l-3.695 -13.418a1.02 1.02 0 0 1 .634 -1.219l.133 -.041z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l4 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l3.923 -.98\"},\"child\":[]}]})(props);\n};\nexport function TbBoom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9.662c2 2.338 2 4.338 0 6.338c3 .5 4.5 1 5 4c2 -3 6 -4 9 0c0 -3 1 -4 4 -4.004q -3 -2.995 0 -5.996c-3 0 -5 -2 -5 -5c-2 4 -5 3 -7.5 -1c-.5 3 -2.5 5 -5.5 5.662\"},\"child\":[]}]})(props);\n};\nexport function TbBorderAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbBorderBottomPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbBorderBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l-16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderCornerIos (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20c0 -6.559 0 -9.838 1.628 -12.162a9 9 0 0 1 2.21 -2.21c2.324 -1.628 5.602 -1.628 12.162 -1.628\"},\"child\":[]}]})(props);\n};\nexport function TbBorderCornerPill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-5c0 -6.075 4.925 -11 11 -11h5\"},\"child\":[]}]})(props);\n};\nexport function TbBorderCornerRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-10a6 6 0 0 1 6 -6h10\"},\"child\":[]}]})(props);\n};\nexport function TbBorderCornerSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-15a1 1 0 0 1 1 -1h15\"},\"child\":[]}]})(props);\n};\nexport function TbBorderCorners (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v2a2 2 0 0 1 -2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h-2a2 2 0 0 1 -2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBorderHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderInner (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderLeftPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbBorderLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 -16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderNone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderOuter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderRadius (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-4a4 4 0 0 1 4 -4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderRightPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbBorderRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderSides (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h8\"},\"child\":[]}]})(props);\n};\nexport function TbBorderStyle2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h16\"},\"child\":[]}]})(props);\n};\nexport function TbBorderStyle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-14a2 2 0 0 1 2 -2h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderTopPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBorderVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBottleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5h4v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.5c0 1.626 .507 3.212 1.45 4.537l.05 .07a8.093 8.093 0 0 1 1.5 4.694v.199m0 4v2a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2v-6.2a8.09 8.09 0 0 1 1.35 -4.474m1.336 -2.63a7.822 7.822 0 0 0 .314 -2.196\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14.803a2.4 2.4 0 0 0 1 -.803a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 .866 -.142\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBottle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5h4v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.5c0 1.626 .507 3.212 1.45 4.537l.05 .07a8.093 8.093 0 0 1 1.5 4.694v6.199a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2v-6.2c0 -1.682 .524 -3.322 1.5 -4.693l.05 -.07a7.823 7.823 0 0 0 1.45 -4.537\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14.803a2.4 2.4 0 0 0 1 -.803a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 1 -.805\"},\"child\":[]}]})(props);\n};\nexport function TbBounceLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15.5c-3 -1 -5.5 -.5 -8 4.5c-.5 -3 -1.5 -5.5 -3 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9a2 2 0 1 1 0 -4a2 2 0 0 1 0 4z\"},\"child\":[]}]})(props);\n};\nexport function TbBounceRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15.5c3 -1 5.5 -.5 8 4.5c.5 -3 1.5 -5.5 3 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a2 2 0 1 1 0 -4a2 2 0 0 1 0 4z\"},\"child\":[]}]})(props);\n};\nexport function TbBow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-15 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 20c1.576 -1.576 2.5 -4.095 2.5 -6.5c0 -4.81 -3.69 -8.5 -8.5 -8.5c-2.415 0 -4.922 .913 -6.5 2.5l12.5 12.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBowlChopsticks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16a1 1 0 0 1 1 1v.5c0 1.5 -2.517 5.573 -4 6.5v1a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-1c-1.687 -1.054 -4 -5 -4 -6.5v-.5a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7l-14 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 2l-14 3\"},\"child\":[]}]})(props);\n};\nexport function TbBowlSpoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16a1 1 0 0 1 1 1v.5c0 1.5 -2.517 5.573 -4 6.5v1a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-1c-1.687 -1.054 -4 -5 -4 -6.5v-.5a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7c1.657 0 3 -.895 3 -2s-1.343 -2 -3 -2s-3 .895 -3 2s1.343 2 3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h9\"},\"child\":[]}]})(props);\n};\nexport function TbBowl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h16a1 1 0 0 1 1 1v.5c0 1.5 -2.517 5.573 -4 6.5v1a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-1c-1.687 -1.054 -4 -5 -4 -6.5v-.5a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignBottomLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13h5a1 1 0 0 1 1 1v5a1 1 0 0 1 -1 1h-5a1 1 0 0 1 -1 -1v-5a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignBottomRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13h-5a1 1 0 0 0 -1 1v5a1 1 0 0 0 1 1h5a1 1 0 0 0 1 -1v-5a1 1 0 0 0 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h16v5a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1v-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.002 20.003v-16h-5a1 1 0 0 0 -1 1v14a1 1 0 0 0 1 1h5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.002 20.003h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 20.003h-.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 15.002h-.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 9.002h-.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.003 4.002h-.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.002 4.002h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.998 20.003v-16h5a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.998 20.003h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.997 20.003h.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.997 15.002h.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.997 9.002h.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.997 4.002h.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.998 4.002h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignTopLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5v5a1 1 0 0 1 -1 1h-5a1 1 0 0 1 -1 -1v-5a1 1 0 0 1 1 -1h5a1 1 0 0 1 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignTopRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11.01h-5a1 1 0 0 1 -1 -1v-5a1 1 0 0 1 1 -1h5a1 1 0 0 1 1 1v5a1 1 0 0 1 -1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4.01v-.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxAlignTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10.005h16v-5a1 1 0 0 0 -1 -1h-14a1 1 0 0 0 -1 1v5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15.005v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20.005v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20.005v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20.005v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20.005v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15.005v-.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMargin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8v8h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBoxModel2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.586 3.414a2 2 0 0 1 -1.414 .586h-12a2 2 0 0 1 -2 -2v-12c0 -.547 .22 -1.043 .576 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h4v4m0 4h-8v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBoxModel2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8v8h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBoxModelOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h4v4m0 4h-8v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.586 3.414a2 2 0 0 1 -1.414 .586h-12a2 2 0 0 1 -2 -2v-12c0 -.547 .22 -1.043 .576 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l3.3 3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l3.3 -3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l-3.3 -3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-3.3 3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBoxModel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8v8h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l3.3 3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l3.3 -3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l-3.3 -3.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-3.3 3.3\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14v-8l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 1 1 4 0c0 .591 -.417 1.318 -.816 1.858l-3.184 4.143l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a2 2 0 1 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a2 2 0 1 0 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v-8l-4 6h5\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h2a2 2 0 1 0 0 -4h-2v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8a2 2 0 1 0 -4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6h4l-2 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a2 2 0 1 0 4 0v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxMultiple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbBoxOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.765 17.757l-5.765 3.243l-8 -4.5v-9l2.236 -1.258m2.57 -1.445l3.194 -1.797l8 4.5v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.561 10.559l5.439 -3.059\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBoxPadding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l8 4.5l0 9l-8 4.5l-8 -4.5l0 -9l8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBracesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.176 5.177c-.113 .251 -.176 .53 -.176 .823v3c0 1.657 -.895 3 -2 3c1.105 0 2 1.343 2 3v3a2 2 0 0 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4a2 2 0 0 1 2 2v3c0 1.657 .895 3 2 3c-1.105 0 -2 1.343 -2 3m-.176 3.821a2 2 0 0 1 -1.824 1.179\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBraces (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4a2 2 0 0 0 -2 2v3a2 3 0 0 1 -2 3a2 3 0 0 1 2 3v3a2 2 0 0 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4a2 2 0 0 1 2 2v3a2 3 0 0 0 2 3a2 3 0 0 0 -2 3v3a2 2 0 0 1 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbBracketsAngleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.453 6.474l-3.453 5.526l5 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l5 8l-1.917 3.067\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.535 17.544l-1.535 2.456\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBracketsAngle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l-5 8l5 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l5 8l-5 8\"},\"child\":[]}]})(props);\n};\nexport function TbBracketsContainEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h4v16h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBracketsContainStart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4h-4v16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbBracketsContain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4h-4v16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h4v16h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBracketsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5v15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h3v11m0 4v1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBrackets (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h-3v16h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h3v16h-3\"},\"child\":[]}]})(props);\n};\nexport function TbBraille (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5a1 1 0 1 0 2 0a1 1 0 0 0 -2 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5a1 1 0 1 0 2 0a1 1 0 0 0 -2 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19a1 1 0 1 0 2 0a1 1 0 0 0 -2 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 13a3.5 3.5 0 0 0 -3.5 3.5v1a3.5 3.5 0 0 0 7 0v-1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 13a3.5 3.5 0 0 1 3.5 3.5v1a3.5 3.5 0 0 1 -7 0v-1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 16a3.5 3.5 0 0 0 0 -7h-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9.3v-2.8a3.5 3.5 0 0 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 16a3.5 3.5 0 0 1 0 -7h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9.3v-2.8a3.5 3.5 0 0 1 7 0v10\"},\"child\":[]}]})(props);\n};\nexport function TbBrand4Chan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11s6.054 -1.05 6 -4.5c-.038 -2.324 -2.485 -3.19 -3.016 -1.5c0 0 -.502 -2 -2.01 -2c-1.508 0 -2.984 3 -.974 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.98 11s6.075 -1.05 6.02 -4.5c-.038 -2.324 -2.493 -3.19 -3.025 -1.5c0 0 -.505 -2 -2.017 -2c-1.513 0 -3 3 -.977 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13.98l.062 .309l.081 .35l.075 .29l.092 .328l.11 .358l.061 .188l.139 .392c.64 1.73 1.841 3.837 3.88 3.805c2.324 -.038 3.19 -2.493 1.5 -3.025l.148 -.045l.165 -.058a4.13 4.13 0 0 0 .098 -.039l.222 -.098c.586 -.28 1.367 -.832 1.367 -1.777c0 -1.513 -3 -3 -8 -.977z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.02 13l-.309 .062l-.35 .081l-.29 .075l-.328 .092l-.358 .11l-.188 .061l-.392 .139c-1.73 .64 -3.837 1.84 -3.805 3.88c.038 2.324 2.493 3.19 3.025 1.5l.045 .148l.058 .165l.039 .098l.098 .222c.28 .586 .832 1.367 1.777 1.367c1.513 0 3 -3 .977 -8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10.02l-.062 -.309l-.081 -.35l-.075 -.29l-.092 -.328l-.11 -.358l-.128 -.382l-.148 -.399c-.658 -1.687 -1.844 -3.634 -3.804 -3.604c-2.324 .038 -3.19 2.493 -1.5 3.025l-.148 .045l-.164 .058a4.13 4.13 0 0 0 -.1 .039l-.22 .098c-.588 .28 -1.368 .832 -1.368 1.777c0 1.513 3 3 8 .977z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAbstract (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 13.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8v8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAdobe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.893 4.514l7.977 14a.993 .993 0 0 1 -.394 1.365a1.04 1.04 0 0 1 -.5 .127h-3.476l-4.5 -8l-2.5 4h1.5l2 4h-8.977c-.565 0 -1.023 -.45 -1.023 -1c0 -.171 .045 -.34 .13 -.49l7.977 -13.993a1.034 1.034 0 0 1 1.786 0z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAdonisJs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.863 16.922c1.137 -.422 1.637 -.922 3.137 -.922s2 .5 3.138 .922c.713 .264 1.516 -.102 1.778 -.772c.126 -.32 .11 -.673 -.044 -.983l-3.708 -7.474c-.297 -.598 -1.058 -.859 -1.7 -.583a1.24 1.24 0 0 0 -.627 .583l-3.709 7.474c-.321 .648 -.017 1.415 .679 1.714c.332 .143 .715 .167 1.056 .04z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAirbnb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10c-2 0 -3 1 -3 3c0 1.5 1.494 3.535 3 5.5c1 1 1.5 1.5 2.5 2s2.5 1 4.5 -.5s1.5 -3.5 .5 -6s-2.333 -5.5 -5 -9.5c-.834 -1 -1.5 -1.5 -2.503 -1.5c-1 0 -1.623 .45 -2.497 1.5c-2.667 4 -4 7 -5 9.5s-1.5 4.5 .5 6s3.5 1 4.5 .5s1.5 -1 2.5 -2c1.506 -1.965 3 -4 3 -5.5c0 -2 -1 -3 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAirtable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10v8l7 -3v-2.6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l9 3l9 -3l-9 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12.3v8.7l7 -3v-8z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAlgolia (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 11c-.414 -1.477 -1.886 -2.5 -3.5 -2.5a3.47 3.47 0 0 0 -3.5 3.5a3.47 3.47 0 0 0 3.5 3.5c.974 0 1.861 -.357 2.5 -1l4.5 4.5v-15h-7c-4.386 0 -8 3.582 -8 8s3.614 8 8 8a7.577 7.577 0 0 0 2.998 -.614\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAlipay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3h-14a2 2 0 0 0 -2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2 -2v-14a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17.314c-2.971 -1.923 -15 -8.779 -15 -1.864c0 1.716 1.52 2.55 2.985 2.55c3.512 0 6.814 -5.425 6.814 -8h-6.604\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAlpineJs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11.5l4.5 4.5h9l-9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 16l4.5 -4.5l-4.5 -4.5l-4.5 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAmazon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12.5a15.198 15.198 0 0 1 -7.37 1.44a14.62 14.62 0 0 1 -6.63 -2.94\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 15c.907 -1.411 1.451 -3.323 1.5 -5c-1.197 -.773 -2.577 -.935 -4 -1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAmd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v-7c0 -.566 -.434 -1 -1 -1h-7l-5 -5h17c.566 0 1 .434 1 1v17l-5 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.293 20.707l4.707 -4.707h-7a1 1 0 0 1 -1 -1v-7l-4.707 4.707a1 1 0 0 0 -.293 .707v6.586a1 1 0 0 0 1 1h6.586a1 1 0 0 0 .707 -.293z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAmigo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.591 3.635l-7.13 14.082c-1.712 3.38 1.759 5.45 3.69 3.573l1.86 -1.81c3.142 -3.054 4.959 -2.99 8.039 .11l1.329 1.337c2.372 2.387 5.865 .078 4.176 -3.225l-7.195 -14.067c-1.114 -2.18 -3.666 -2.18 -4.77 0z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAmongUs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.646 12.774c-1.939 .396 -4.467 .317 -6.234 -.601c-2.454 -1.263 -1.537 -4.66 1.423 -4.982c2.254 -.224 3.814 -.354 5.65 .214c.835 .256 1.93 .569 1.355 3.281c-.191 1.067 -1.07 1.904 -2.194 2.088z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.84 7.132c.083 -.564 .214 -1.12 .392 -1.661c.456 -.936 1.095 -2.068 3.985 -2.456a22.464 22.464 0 0 1 2.867 .08c1.776 .14 2.643 1.234 3.287 3.368c.339 1.157 .46 2.342 .629 3.537v11l-12.704 -.019c-.552 -2.386 -.262 -5.894 .204 -8.481\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10c.991 .163 2.105 .383 3.069 .67c.255 .13 .52 .275 .534 .505c.264 3.434 .57 7.448 .278 9.825h-3.881\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAndroid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h10v8a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-8a5 5 0 0 1 10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3l1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18l0 3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAngular (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.428 17.245l6.076 3.471a1 1 0 0 0 .992 0l6.076 -3.471a1 1 0 0 0 .495 -.734l1.323 -9.704a1 1 0 0 0 -.658 -1.078l-7.4 -2.612a1 1 0 0 0 -.665 0l-7.399 2.613a1 1 0 0 0 -.658 1.078l1.323 9.704a1 1 0 0 0 .495 .734z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -8l3 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAnsible (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.647 12.294l6.353 3.706l-4 -9l-4 9\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAo3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5c7.109 4.1 10.956 10.131 12 14c1.074 -4.67 4.49 -8.94 8 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9c-.278 5.494 -2.337 7.33 -4 10c4.013 -2 6.02 -5 15.05 -5c4.012 0 3.51 2.5 1 3c2 .5 2.508 5 -2.007 2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAppgallery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 4a4 4 0 0 1 4 -4h8a4 4 0 0 1 4 4v8a4 4 0 0 1 -4 4h-8a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8a3 3 0 0 0 6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAppleArcade (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12.5v4.75a.734 .734 0 0 1 -.055 .325a.704 .704 0 0 1 -.348 .366l-5.462 2.58a5 5 0 0 1 -4.27 0l-5.462 -2.58a.705 .705 0 0 1 -.401 -.691l0 -4.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.431 12.216l5.634 -2.332a5.065 5.065 0 0 1 3.87 0l5.634 2.332a.692 .692 0 0 1 .028 1.269l-5.462 2.543a5.064 5.064 0 0 1 -4.27 0l-5.462 -2.543a.691 .691 0 0 1 .028 -1.27z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandApplePodcast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 18.364a9 9 0 1 0 -12.728 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.766 22h.468a2 2 0 0 0 1.985 -1.752l.5 -4a2 2 0 0 0 -1.985 -2.248h-1.468a2 2 0 0 0 -1.985 2.248l.5 4a2 2 0 0 0 1.985 1.752z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandApple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.286 7.008c-3.216 0 -4.286 3.23 -4.286 5.92c0 3.229 2.143 8.072 4.286 8.072c1.165 -.05 1.799 -.538 3.214 -.538c1.406 0 1.607 .538 3.214 .538s4.286 -3.229 4.286 -5.381c-.03 -.011 -2.649 -.434 -2.679 -3.23c-.02 -2.335 2.589 -3.179 2.679 -3.228c-1.096 -1.606 -3.162 -2.113 -3.75 -2.153c-1.535 -.12 -3.032 1.077 -3.75 1.077c-.729 0 -2.036 -1.077 -3.214 -1.077z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a2 2 0 0 0 2 -2a2 2 0 0 0 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAppstore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l1.106 -1.99m1.4 -2.522l2.494 -4.488\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h5m2.9 0h2.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l-2.51 -4.518m-1.487 -2.677l-1 -1.805\"},\"child\":[]}]})(props);\n};\nexport function TbBrandArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.687 14.694l-.987 2.103c-.502 1.07 -.125 2.387 .908 2.945c1.096 .59 2.444 .13 2.972 -.995l.9 -1.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.317 14.576c1.818 -1.6 3.16 -3.78 3.64 -6.217c.235 -1.194 -.525 -2.351 -1.695 -2.586a2.14 2.14 0 0 0 -1.625 .326c-.478 .323 -.81 .826 -.922 1.398c-.208 1.054 -.695 2.037 -1.366 2.872\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.68 12.759a5.4 5.4 0 0 1 -1.283 .157c-.336 0 -.683 -.04 -1.03 -.115c-1.44 -.31 -2.89 -1.215 -3.709 -2.315a3.7 3.7 0 0 1 -.487 -.853a2.157 2.157 0 0 0 -2.818 -1.213c-1.107 .455 -1.641 1.736 -1.196 2.86c.508 1.278 1.404 2.45 2.53 3.415a11.2 11.2 0 0 0 3.791 2.133c.953 .31 1.942 .483 2.916 .483a9.8 9.8 0 0 0 3.162 -.537\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.37 12.801l.943 -2.013c.09 -.19 .357 -.19 .446 0l.923 1.97h.006h-.006l1.88 4.015l.923 1.971a2.16 2.16 0 0 0 1.957 1.254q .29 0 .576 -.081c1.303 -.365 1.92 -1.887 1.339 -3.129l-1.04 -2.218l-1.968 -4.204l-.003 .003l.003 -.003l-2.862 -6.112a2.16 2.16 0 0 0 -1.954 -1.254c-.833 0 -1.593 .488 -1.953 1.254l-2.92 6.232\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAsana (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAstro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.972 3.483c.163 .196 .247 .46 .413 .987l3.64 11.53a15.5 15.5 0 0 0 -4.352 -1.42l-2.37 -7.723a.31 .31 0 0 0 -.296 -.213a.31 .31 0 0 0 -.295 .214l-2.342 7.718a15.5 15.5 0 0 0 -4.37 1.422l3.657 -11.53c.168 -.527 .251 -.79 .415 -.986c.144 -.172 .331 -.306 .544 -.388c.242 -.094 .527 -.094 1.099 -.094h2.612c.572 0 .858 0 1.1 .094c.213 .082 .4 .217 .545 .39\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18c0 1.5 2 3 3 4c1 -1 3 -3 3 -4q -3 1.5 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAuth0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14.5l-5.5 3.5l2 -6l-4.5 -4h6l2 -5l2 5h6l-4.5 4l2 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.507 8.872l-2.01 -5.872h-12.994l-2.009 5.872c-1.242 3.593 -.135 7.094 3.249 9.407l5.257 3.721l5.257 -3.721c3.385 -2.313 4.49 -5.814 3.25 -9.407z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAws (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18.5a15.198 15.198 0 0 1 -7.37 1.44a14.62 14.62 0 0 1 -6.63 -2.94\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 21c.907 -1.411 1.451 -3.323 1.5 -5c-1.197 -.773 -2.577 -.935 -4 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v-4.5a1.5 1.5 0 0 1 3 0v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5l1.2 6l1.8 -4l1.8 4l1.2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]}]})(props);\n};\nexport function TbBrandAzure (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7.5l-4 9.5h4l6 -15z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 20l-7 -15l-3 7l4 5l-8 3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBackbone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20l14 -8l-14 -8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20l-14 -8l14 -8z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBadoo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9.43c0 5.838 -4.477 10.57 -10 10.57s-10 -4.662 -10 -10.5c0 -2.667 1.83 -5.01 4.322 -5.429c2.492 -.418 4.9 1.392 5.678 3.929c.768 -2.54 3.177 -4.354 5.668 -3.931c2.495 .417 4.332 2.69 4.332 5.36z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 10c0 2.761 2.015 5 4.5 5s4.5 -2.239 4.5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBaidu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9.5m-1 0a1 1.5 0 1 0 2 0a1 1.5 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.463 11.596c1.282 1.774 3.476 3.416 3.476 3.416s1.921 1.574 .593 3.636c-1.328 2.063 -4.892 1.152 -4.892 1.152s-1.416 -.44 -3.06 -.088c-1.644 .356 -3.06 .22 -3.06 .22s-2.055 -.22 -2.47 -2.304c-.416 -2.084 1.918 -3.638 2.102 -3.858c.182 -.222 1.409 -.966 2.284 -2.394c.875 -1.428 3.337 -2.287 5.027 .221z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4.5m-1 0a1 1.5 0 1 0 2 0a1 1.5 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4.5m-1 0a1 1.5 0 1 0 2 0a1 1.5 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9.5m-1 0a1 1.5 0 1 0 2 0a1 1.5 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBandcamp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 6h13.5l-7 12h-13z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBandlab (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.885 7l-2.536 4.907c-2.021 3.845 -2.499 8.775 3.821 9.093h6.808c4.86 -.207 7.989 -2.975 4.607 -9.093l-2.988 -4.907\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.078 4h-5.136l3.678 8.768c.547 1.14 .847 1.822 .162 2.676c-.053 .093 -1.332 1.907 -3.053 1.495c-.825 -.187 -1.384 -.926 -1.32 -1.74c.04 -.91 .62 -1.717 1.488 -2.074a4.463 4.463 0 0 1 2.723 -.358\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBeats (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 12.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBehance (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18v-12h4.5a3 3 0 0 1 0 6a3 3 0 0 1 0 6h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l4.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h7a3.5 3.5 0 0 0 -7 0v2a3.5 3.5 0 0 0 6.64 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6l3 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBilibili (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10a4 4 0 0 1 4 -4h10a4 4 0 0 1 4 4v6a4 4 0 0 1 -4 4h-10a4 4 0 0 1 -4 -4v-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBinance (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8l2 2l4 -4l4 4l2 -2l-6 -6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16l2 -2l4 4l3.5 -3.5l2 2l-5.5 5.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10l2 2l-2 2l-2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l2 2l-2 2l-2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l2 2l-2 2l-2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3l4 1.5v12l6 -2.5l-2 -1l-1 -4l7 2.5v4.5l-10 5l-4 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBitbucket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.648 4a.64 .64 0 0 0 -.64 .744l3.14 14.528c.07 .417 .43 .724 .852 .728h10a.644 .644 0 0 0 .642 -.539l3.35 -14.71a.641 .641 0 0 0 -.64 -.744l-16.704 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h-4l-1 -6h6z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBlackberry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6a1 1 0 0 0 -1 -1h-2l-.5 2h2.5a1 1 0 0 0 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a1 1 0 0 0 -1 -1h-2l-.5 2h2.5a1 1 0 0 0 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12a1 1 0 0 0 -1 -1h-2l-.5 2h2.5a1 1 0 0 0 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6a1 1 0 0 0 -1 -1h-2l-.5 2h2.5a1 1 0 0 0 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18a1 1 0 0 0 -1 -1h-2l-.5 2h2.5a1 1 0 0 0 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15a1 1 0 0 0 -1 -1h-2l-.5 2h2.5a1 1 0 0 0 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9a1 1 0 0 0 -1 -1h-2l-.5 2h2.5a1 1 0 0 0 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBlender (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14m-6 0a6 5 0 1 0 12 0a6 5 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l9 -6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5l5.65 5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBlogger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8a5 5 0 0 0 5 -5v-3a3 3 0 0 0 -3 -3h-1v-2a5 5 0 0 0 -5 -5h-4a5 5 0 0 0 -5 5v8a5 5 0 0 0 5 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7m0 1.5a1.5 1.5 0 0 1 1.5 -1.5h3a1.5 1.5 0 0 1 1.5 1.5v0a1.5 1.5 0 0 1 -1.5 1.5h-3a1.5 1.5 0 0 1 -1.5 -1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14m0 1.5a1.5 1.5 0 0 1 1.5 -1.5h7a1.5 1.5 0 0 1 1.5 1.5v0a1.5 1.5 0 0 1 -1.5 1.5h-7a1.5 1.5 0 0 1 -1.5 -1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBluesky (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.335 5.144c-1.654 -1.199 -4.335 -2.127 -4.335 .826c0 .59 .35 4.953 .556 5.661c.713 2.463 3.13 2.75 5.444 2.369c-4.045 .665 -4.889 3.208 -2.667 5.41c1.03 1.018 1.913 1.59 2.667 1.59c2 0 3.134 -2.769 3.5 -3.5c.333 -.667 .5 -1.167 .5 -1.5c0 .333 .167 .833 .5 1.5c.366 .731 1.5 3.5 3.5 3.5c.754 0 1.637 -.571 2.667 -1.59c2.222 -2.203 1.378 -4.746 -2.667 -5.41c2.314 .38 4.73 .094 5.444 -2.369c.206 -.708 .556 -5.072 .556 -5.661c0 -2.953 -2.68 -2.025 -4.335 -.826c-2.293 1.662 -4.76 5.048 -5.665 6.856c-.905 -1.808 -3.372 -5.194 -5.665 -6.856z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBooking (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-9.5a4.5 4.5 0 0 1 4.5 -4.5h7a4.5 4.5 0 0 1 4.5 4.5v7a4.5 4.5 0 0 1 -4.5 4.5h-9.5a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h3.5a2 2 0 1 1 0 4h-3.5v-7a1 1 0 0 1 1 -1h1.5a2 2 0 1 1 0 4h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBootstrap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12a2 2 0 0 0 2 -2v-4a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4a2 2 0 0 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12a2 2 0 0 1 2 2v4a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-4a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8h3.5a2 2 0 1 1 0 4h-3.5h4a2 2 0 1 1 0 4h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBulma (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16l1 -9l5 -5l6.5 6l-3.5 4l5 5l-8 5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBumble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.268 3h-8.536a1.46 1.46 0 0 0 -1.268 .748l-4.268 7.509a1.507 1.507 0 0 0 0 1.486l4.268 7.509c.26 .462 .744 .747 1.268 .748h8.536a1.46 1.46 0 0 0 1.268 -.748l4.268 -7.509a1.507 1.507 0 0 0 0 -1.486l-4.268 -7.509a1.46 1.46 0 0 0 -1.268 -.748z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandBunpo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.9 7.205a17.764 17.764 0 0 0 4.008 2.753a7.917 7.917 0 0 0 4.57 .567c1.5 -.33 2.907 -1 4.121 -1.956a12.107 12.107 0 0 0 2.892 -2.903c.603 -.94 .745 -1.766 .484 -2.231c-.261 -.465 -.927 -.568 -1.72 -.257a7.564 7.564 0 0 0 -2.608 2.034a18.425 18.425 0 0 0 -2.588 3.884a34.927 34.927 0 0 0 -2.093 5.073a12.908 12.908 0 0 0 -.677 3.515c-.07 .752 .07 1.51 .405 2.184c.323 .562 1.06 1.132 2.343 1.132c3.474 0 5.093 -3.53 5.463 -5.62c.24 -1.365 -.085 -3.197 -1.182 -4.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCSharp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a3 3 0 0 0 -3 -3h-.5a3.5 3.5 0 0 0 -3.5 3.5v5a3.5 3.5 0 0 0 3.5 3.5h.5a3 3 0 0 0 3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-1 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-1 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14h-7.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.84 12c0 2.05 .985 3.225 -.04 5c-1.026 1.775 -2.537 1.51 -4.314 2.534c-1.776 1.026 -2.302 2.466 -4.353 2.466c-2.051 0 -2.576 -1.441 -4.353 -2.466c-1.776 -1.024 -3.288 -.759 -4.314 -2.534c-1.025 -1.775 -.04 -2.95 -.04 -5s-.985 -3.225 .04 -5c1.026 -1.775 2.537 -1.51 4.314 -2.534c1.776 -1.026 2.302 -2.466 4.353 -2.466s2.577 1.441 4.353 2.466c1.776 1.024 3.288 .759 4.313 2.534c1.026 1.775 .04 2.95 .04 5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCakephp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l8 2c1.361 -.545 2 -1.248 2 -2v-3.8c0 -1.765 -4.479 -3.2 -10.002 -3.2c-5.522 0 -9.998 1.435 -9.998 3.2v2.8c0 1.766 4.478 4 10 4v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v3l8 2c1.362 -.547 2 -1.246 2 -2v-3c0 .754 -.638 1.453 -2 2l-8 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17c0 1.766 4.476 3 9.998 3l.002 -3c-5.522 0 -10 -1.734 -10 -3.5v3.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10v4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCampaignmonitor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18l9 -6.462l-9 -5.538v12h18v-12l-9 5.538\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCarbon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10v-.2a1.8 1.8 0 0 0 -1.8 -1.8h-.4a1.8 1.8 0 0 0 -1.8 1.8v4.4a1.8 1.8 0 0 0 1.8 1.8h.4a1.8 1.8 0 0 0 1.8 -1.8v-.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCashapp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.1 8.648a.568 .568 0 0 1 -.761 .011a5.682 5.682 0 0 0 -3.659 -1.34c-1.102 0 -2.205 .363 -2.205 1.374c0 1.023 1.182 1.364 2.546 1.875c2.386 .796 4.363 1.796 4.363 4.137c0 2.545 -1.977 4.295 -5.204 4.488l-.295 1.364a.557 .557 0 0 1 -.546 .443h-2.034l-.102 -.011a.568 .568 0 0 1 -.432 -.67l.318 -1.444a7.432 7.432 0 0 1 -3.273 -1.784v-.011a.545 .545 0 0 1 0 -.773l1.137 -1.102c.214 -.2 .547 -.2 .761 0a5.495 5.495 0 0 0 3.852 1.5c1.478 0 2.466 -.625 2.466 -1.614c0 -.989 -1 -1.25 -2.886 -1.954c-2 -.716 -3.898 -1.728 -3.898 -4.091c0 -2.75 2.284 -4.091 4.989 -4.216l.284 -1.398a.545 .545 0 0 1 .545 -.432h2.023l.114 .012a.544 .544 0 0 1 .42 .647l-.307 1.557a8.528 8.528 0 0 1 2.818 1.58l.023 .022c.216 .228 .216 .569 0 .773l-1.057 1.057z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandChrome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h8.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.598 13.5l-4.2 7.275\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.402 13.5l-4.2 -7.275\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCinema4D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.65 6.956a5.39 5.39 0 0 0 7.494 7.495\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.7 12.137a5.738 5.738 0 1 1 -5.737 -5.737\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.7 12.338v-1.175c0 -.47 .171 -.92 .476 -1.253a1.56 1.56 0 0 1 1.149 -.52c.827 0 1.523 .676 1.62 1.573c.037 .344 .055 .69 .055 1.037\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.662 6.4h1.175c.47 0 .92 -.176 1.253 -.49c.333 -.314 .52 -.74 .52 -1.184c0 -.852 -.676 -1.57 -1.573 -1.67a9.496 9.496 0 0 0 -1.037 -.056\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCitymapper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11a1 1 0 1 1 -1 1.013a1 1 0 0 1 1 -1v-.013z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11a1 1 0 1 1 -1 1.013a1 1 0 0 1 1 -1v-.013z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCloudflare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.031 7.007c2.469 -.007 3.295 1.293 3.969 2.993c4 0 4.994 3.825 5 6h-20c-.001 -1.64 1.36 -2.954 3 -3c0 -1.5 1 -3 3 -3c.66 -1.942 2.562 -2.986 5.031 -2.993z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l-2.5 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCodecov (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.695 12.985a5.972 5.972 0 0 0 -3.295 -.985c-1.257 0 -2.436 .339 -3.4 1a9 9 0 1 1 18 0c-.966 -.664 -2.14 -1 -3.4 -1a6 6 0 0 0 -5.605 8.144\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCodepen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15l9 6l9 -6l-9 -6l-9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l9 6l9 -6l-9 -6l-9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCodesandbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7.5v9l-4 2.25l-4 2.25l-4 -2.25l-4 -2.25v-9l4 -2.25l4 -2.25l4 2.25z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l4 -2.25l4 -2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-4 -2.25l-4 -2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-4 2v4.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l4 2l0 4.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5.25l4 2.25l4 -2.25\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCohost (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14m-3 0a3 2 0 1 0 6 0a3 2 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.526 17.666c-1.133 -.772 -1.897 -1.924 -2.291 -3.456c-.398 -1.54 -.29 -2.937 .32 -4.19c.61 -1.255 1.59 -2.34 2.938 -3.254c1.348 -.914 2.93 -1.625 4.749 -2.132c1.81 -.504 3.516 -.708 5.12 -.61c1.608 .1 2.979 .537 4.112 1.31s1.897 1.924 2.291 3.456c.398 1.541 .29 2.938 -.32 4.192c-.61 1.253 -1.59 2.337 -2.938 3.252c-1.348 .915 -2.93 1.626 -4.749 2.133c-1.81 .503 -3.516 .707 -5.12 .61c-1.608 -.102 -2.979 -.538 -4.112 -1.31z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12.508c-.53 -.316 -1.23 -.508 -2 -.508c-1.657 0 -3 .895 -3 2s1.343 2 3 2c.767 0 1.467 -.192 2 -.508\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCoinbase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.95 22c-4.503 0 -8.445 -3.04 -9.61 -7.413c-1.165 -4.373 .737 -8.988 4.638 -11.25a9.906 9.906 0 0 1 12.008 1.598l-3.335 3.367a5.185 5.185 0 0 0 -7.354 .013a5.252 5.252 0 0 0 0 7.393a5.185 5.185 0 0 0 7.354 .013l3.349 3.367a9.887 9.887 0 0 1 -7.05 2.912z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandComedyCentral (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.343 17.657a8 8 0 1 0 0 -11.314\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.828 9.172a4 4 0 1 0 0 5.656\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCoreos (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-3.263 3.212 -3 7.654 -3 12c4.59 .244 8.814 -.282 12 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 9a4.494 4.494 0 0 1 5.5 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCouchdb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h12v-2a2 2 0 0 1 2 -2a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2a2 2 0 0 1 2 2v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v7\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCouchsurfing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.1 13c3.267 0 5.9 -.167 7.9 -.5c3 -.5 4 -2 4 -3.5a3 3 0 1 0 -6 0c0 1.554 1.807 3 3 4c1.193 1 2 2.5 2 3.5a1.5 1.5 0 1 1 -3 0c0 -2 4 -3.5 7 -3.5h2.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCpp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9a3 3 0 0 0 -3 -3h-.5a3.5 3.5 0 0 0 -3.5 3.5v5a3.5 3.5 0 0 0 3.5 3.5h.5a3 3 0 0 0 3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCraft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4h-8a8 8 0 1 0 0 16h8a8 8 0 0 0 -8 -8a8 8 0 0 0 8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v16\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCrunchbase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.414 11.586a2 2 0 1 0 0 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7v6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCss3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l-2 14.5l-6 2l-6 -2l-2 -14.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8h7l-4.5 4h4l-.5 3.5l-2.5 .75l-2.5 -.75l-.1 -.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCtemplar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.04 14.831l4.46 -4.331\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.555 20.82c4.55 -3.456 7.582 -8.639 8.426 -14.405a1.668 1.668 0 0 0 -.934 -1.767a19.647 19.647 0 0 0 -8.047 -1.648a19.647 19.647 0 0 0 -8.047 1.647a1.668 1.668 0 0 0 -.934 1.767c.844 5.766 3.875 10.95 8.426 14.406a.948 .948 0 0 0 1.11 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5c-2 0 -4.37 3.304 -8 6.644c-3.63 -3.34 -6 -6.644 -8 -6.644\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.738 15l-4.238 -4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCucumber (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10.99c-.01 5.52 -4.48 10 -10 10.01v-2.26l-.01 -.01c-4.28 -1.11 -6.86 -5.47 -5.76 -9.75a8 8 0 0 1 9.74 -5.76c3.53 .91 6.03 4.13 6.03 7.78v-.01z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 8l-.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 14l.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12.5l-1 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l-.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8l.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12.5l-1 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l-1 -.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCupra (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 10l-2.5 -4l15.298 6.909a.2 .2 0 0 1 .09 .283l-3.388 5.808\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19l-3.388 -5.808a.2 .2 0 0 1 .09 -.283l15.298 -6.909l-2.5 4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandCypress (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.48 17.007a9 9 0 1 0 -7.48 3.993c.896 0 1.691 -.573 1.974 -1.423l3.526 -10.577\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 9l2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.764 9.411a3 3 0 1 0 -.023 5.19\"},\"child\":[]}]})(props);\n};\nexport function TbBrandD3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4h1.8c3.976 0 7.2 3.582 7.2 8s-3.224 8 -7.2 8h-1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4h5.472c1.948 0 3.528 1.79 3.528 4s-1.58 4 -3.528 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.472 12h-2.472\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.472 12h-2.352\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.472 12c1.948 0 3.528 1.79 3.528 4s-1.58 4 -3.528 4h-5.472\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDatabricks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l9 5l9 -5v-3l-9 5l-9 -5v-3l9 5l9 -5v-3l-9 5l-9 -5l9 -5l5.418 3.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDaysCounter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.779 10.007a9 9 0 1 0 -10.77 10.772\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h8v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDcos (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18l18 -12h-18l9 14l9 -14v10l-18 -10z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDebian (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17c-2.397 -.943 -4 -3.153 -4 -5.635c0 -2.19 1.039 -3.14 1.604 -3.595c2.646 -2.133 6.396 -.27 6.396 3.23c0 2.5 -2.905 2.121 -3.5 1.5c-.595 -.621 -1 -1.5 -.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDeezer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16.5h2v.5h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16.5h2.5v.5h-2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17h-2.5v-.5h2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 17h-2.5v-.5h2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 13h-2.5v.5h2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 9.5h-2.5v.5h2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 6h-2.5v.5h2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13h-2.5v.5h2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13.5h2.5v-.5h-2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9.5h2.5v.5h-2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDeliveroo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l1 -9l5 .5l-1 13.5l-3 6l-12.5 -2.5l-1.5 -6l7 -1.5l-1.5 -7.5l4.5 -1z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"15.5\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"14.5\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDeno (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.47 20.882l-1.47 -5.882c-2.649 -.088 -5 -1.624 -5 -3.5c0 -1.933 2.239 -3.5 5 -3.5s4 1 5 3c.024 .048 .69 2.215 2 6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDenodo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.634 15.634l1.732 -1l1 1.732l-1.732 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.634 14.634l1.732 1l-1 1.732l-1.732 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.634 7.634l1.732 -1l1 1.732l-1.732 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h2v2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.634 8.366l1 -1.732l1.732 1l-1 1.732z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDeviantart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v4l-3.857 6h3.857v4h-6.429l-2.571 4h-3v-4l3.857 -6h-3.857v-4h6.429l2.571 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDigg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h-3v-4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h-3v-4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v7h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-3v-4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v7h-3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDingtalk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7.5l7.02 2.632a1 1 0 0 1 .567 1.33l-1.087 2.538h1.5l-5 4l1 -4c-3.1 .03 -3.114 -3.139 -4 -6.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDiscord (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 17c0 1 1.5 3 2 3c1.5 0 2.833 -1.667 3.5 -3c.667 -1.667 .5 -5.833 -1.5 -11.5c-1.457 -1.015 -3 -1.34 -4.5 -1.5l-.972 1.923a11.913 11.913 0 0 0 -4.053 0l-.975 -1.923c-1.5 .16 -3.043 .485 -4.5 1.5c-2 5.667 -2.167 9.833 -1.5 11.5c.667 1.333 2 3 3.5 3c.5 0 2 -2 2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.5c3.5 1 6.5 1 10 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDisney (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.22 5.838c-1.307 -.15 -1.22 -.578 -1.22 -.794c0 -.216 .424 -1.044 4.34 -1.044c4.694 0 14.66 3.645 14.66 10.042s-8.71 4.931 -10.435 4.52c-1.724 -.412 -5.565 -2.256 -5.565 -4.174c0 -1.395 3.08 -2.388 6.715 -2.388c3.634 0 5.285 1.041 5.285 2c0 .5 -.074 1.229 -1 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.02 8a505.153 505.153 0 0 0 0 13\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDisqus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.847 21c-2.259 0 -4.323 -.667 -5.919 -2h-3.928l1.708 -3.266c-.545 -1.174 -.759 -2.446 -.758 -3.734c0 -4.97 3.84 -9 8.898 -9c5.052 0 9.152 4.03 9.152 9c0 4.972 -4.098 9 -9.153 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.485 15h-1.485v-6h1.485c2.112 0 3.515 .823 3.515 2.981v.035c0 2.18 -1.403 2.984 -3.515 2.984z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDjango (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v8.5l-2.015 .201a2.715 2.715 0 1 1 0 -5.402l2.015 .201\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v5.586c0 .905 -.36 1.774 -1 2.414\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDocker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12.54c-1.804 -.345 -2.701 -1.08 -3.523 -2.94c-.487 .696 -1.102 1.568 -.92 2.4c.028 .238 -.32 1 -.557 1h-14c0 5.208 3.164 7 6.196 7c4.124 .022 7.828 -1.376 9.854 -5c1.146 -.101 2.296 -1.505 2.95 -2.46z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h3v3h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h3v3h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h3v3h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7h3v3h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h3v3h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h3v3h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.571 18c1.5 0 2.047 -.074 2.958 -.78\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDoctrine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3l6.9 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDolbyDigital (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6v12h-.89c-3.34 0 -6.047 -2.686 -6.047 -6s2.707 -6 6.046 -6h.891z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.063 6v12h.891c3.34 0 6.046 -2.686 6.046 -6s-2.707 -6 -6.046 -6h-.89z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDouban (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-2a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l-2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l1 3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDribbble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3.6c5 6 7 10.5 7.5 16.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.4 19c3.5 -3.5 6 -6.5 14.5 -6.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.1 10.75c5 0 9.814 -.38 15.314 -5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDrops (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.637 7.416a7.907 7.907 0 0 1 1.76 8.666a8 8 0 0 1 -7.397 4.918a8 8 0 0 1 -7.396 -4.918a7.907 7.907 0 0 1 1.759 -8.666l5.637 -5.416l5.637 5.416z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.466 10.923a3.595 3.595 0 0 1 .77 3.877a3.5 3.5 0 0 1 -3.236 2.2a3.5 3.5 0 0 1 -3.236 -2.2a3.595 3.595 0 0 1 .77 -3.877l2.466 -2.423l2.466 2.423z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandDrupal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2c0 4.308 -7 6 -7 12a7 7 0 0 0 14 0c0 -6 -7 -7.697 -7 -12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.33a65.753 65.753 0 0 1 -2.012 2.023c-1 .957 -1.988 1.967 -1.988 3.647c0 2.17 1.79 4 4 4s4 -1.827 4 -4c0 -1.676 -.989 -2.685 -1.983 -3.642c-.42 -.404 -2.259 -2.357 -5.517 -5.858l3.5 3.83z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandEdge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.978 11.372a9 9 0 1 0 -1.593 5.773\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.978 11.372c.21 2.993 -5.034 2.413 -6.913 1.486c1.392 -1.6 .402 -4.038 -2.274 -3.851c-1.745 .122 -2.927 1.157 -2.784 3.202c.28 3.99 4.444 6.205 10.36 4.79\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.022 12.628c-.283 -4.043 8.717 -7.228 11.248 -2.688\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.628 20.978c-2.993 .21 -5.162 -4.725 -3.567 -9.748\"},\"child\":[]}]})(props);\n};\nexport function TbBrandElastic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 2a5 5 0 0 1 5 5c0 .712 -.232 1.387 -.5 2c1.894 .042 3.5 1.595 3.5 3.5c0 1.869 -1.656 3.4 -3.5 3.5c.333 .625 .5 1.125 .5 1.5a2.5 2.5 0 0 1 -2.5 2.5c-.787 0 -1.542 -.432 -2 -1c-.786 1.73 -2.476 3 -4.5 3a5 5 0 0 1 -4.583 -7a3.5 3.5 0 0 1 -.11 -6.992l.195 0a2.5 2.5 0 0 1 2 -4c.787 0 1.542 .432 2 1c.786 -1.73 2.476 -3 4.5 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 9l-3 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 5l-1 4l1 2l5 2l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.499 16l-3 -.5l-1 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 19l1 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.417 15l4.083 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandElectronicArts (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 15l-3 -6l-3 6h-5l1.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 12h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandEmber (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12.958c8.466 1.647 11.112 -1.196 12.17 -2.294c2.116 -2.196 0 -6.589 -2.646 -5.49c-2.644 1.096 -6.35 7.686 -3.174 12.078c2.116 2.928 6 2.178 11.65 -2.252\"},\"child\":[]}]})(props);\n};\nexport function TbBrandEnvato (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.711 17.875c-.534 -1.339 -1.35 -4.178 .129 -6.47c1.415 -2.193 3.769 -3.608 5.099 -4.278l-5.229 10.748z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.715 12.508c-.54 3.409 -2.094 6.156 -4.155 7.348c-4.069 2.353 -8.144 .45 -9.297 -.188c.877 -1.436 4.433 -7.22 6.882 -10.591c2.714 -3.737 5.864 -5.978 6.565 -6.077c0 .201 .03 .55 .071 1.03c.144 1.709 .443 5.264 -.066 8.478z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandEtsy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 5a5 5 0 0 1 5 -5h8a5 5 0 0 1 5 5v8a5 5 0 0 1 -5 5h-8a5 5 0 0 1 -5 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16h-5a1 1 0 0 1 -1 -1v-6a1 1 0 0 1 1 -1h5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandEvernote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h5v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.9 19c.6 -2.5 1.1 -5.471 1.1 -9c0 -4.5 -2 -5 -3 -5c-1.906 0 -3 -.5 -3.5 -1c-.354 -.354 -.5 -1 -1.5 -1h-2l-5 5c0 6 2.5 8 5 8c1 0 1.5 -.5 2 -1.5s1.414 -.326 2.5 0c1.044 .313 2.01 .255 2.5 .5c1 .5 2 1.5 2 3c0 .5 0 3 -3 3s-3 -3 -1 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFacebook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v4h3v7h4v-7h3l1 -4h-4v-2a1 1 0 0 1 1 -1h3v-4h-3a5 5 0 0 0 -5 5v2h-3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFeedly (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.833 12.278l4.445 -4.445\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.055 14.5l2.223 -2.222\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.278 16.722l.555 -.555\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.828 14.828a4 4 0 0 0 0 -5.656l-5 -5a4 4 0 0 0 -5.656 0l-5 5a4 4 0 0 0 0 5.656l6.171 6.172h3.314l6.171 -6.172z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFigma (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3m0 3a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v0a3 3 0 0 1 -3 3h-6a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9a3 3 0 0 0 0 6h3m-3 0a3 3 0 1 0 3 3v-15\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFilezilla (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15.824a4.062 4.062 0 0 1 -2.25 .033c-.738 -.201 -2.018 -.08 -2.75 .143l4.583 -5h-6.583\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15l2 -8h5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFinder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 4c-.654 1.486 -1.26 3.443 -1.5 9h2.5c-.19 2.867 .094 5.024 .5 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15.5c3.667 2 6.333 2 10 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFirebase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.53 17.05l6.15 -11.72h-.02c.38 -.74 1.28 -1.02 2.01 -.63c.26 .14 .48 .36 .62 .62l1.06 2.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.47 6.45c.58 -.59 1.53 -.59 2.11 -.01c.22 .22 .36 .5 .41 .81l1.5 9.11c.1 .62 -.2 1.24 -.76 1.54l-6.07 2.9c-.46 .25 -1.01 .26 -1.46 0l-6.02 -2.92c-.55 -.31 -.85 -.92 -.75 -1.54l1.96 -12.04c.12 -.82 .89 -1.38 1.7 -1.25c.46 .07 .87 .36 1.09 .77l1.24 1.76\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.57 17.18l10.93 -10.68\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFirefox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.028 7.82a9 9 0 1 0 12.823 -3.4c-1.636 -1.02 -3.064 -1.02 -4.851 -1.02h-1.647\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.914 9.485c-1.756 -1.569 -.805 -5.38 .109 -6.17c.086 .896 .585 1.208 1.111 1.685c.88 -.275 1.313 -.282 1.867 0c.82 -.91 1.694 -2.354 2.628 -2.093c-1.082 1.741 -.07 3.733 1.371 4.173c-.17 .975 -1.484 1.913 -2.76 2.686c-1.296 .938 -.722 1.85 0 2.234c.949 .506 3.611 -1 4.545 .354c-1.698 .102 -1.536 3.107 -3.983 2.727c2.523 .957 4.345 .462 5.458 -.34c1.965 -1.52 2.879 -3.542 2.879 -5.557c-.014 -1.398 .194 -2.695 -1.26 -4.75\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFiverr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h-2a6 6 0 0 0 -6 6h-3v4h3v8h4v-7h4v7h4v-11h-8v-1.033a1.967 1.967 0 0 1 2 -1.967h2v-4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFlickr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFlightradar24 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 20l3.5 -8l-6.5 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFlipboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.973 3h16.054c.537 0 .973 .436 .973 .973v4.052a.973 .973 0 0 1 -.973 .973h-5.025v4.831c0 .648 -.525 1.173 -1.173 1.173h-4.829v5.025a.973 .973 0 0 1 -.974 .973h-4.053a.973 .973 0 0 1 -.973 -.973v-16.054c0 -.537 .436 -.973 .973 -.973z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFlutter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14l-3 -3l8 -8h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21l-5 -5l5 -5h5l-5 5l5 5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFortnite (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h7.5l-.5 4h-3v3h3v3.5h-3v6.5l-4 1z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFoursquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10c.644 0 1.11 .696 .978 1.33l-1.984 9.859a1.014 1.014 0 0 1 -1 .811h-2.254c-.308 0 -.6 .141 -.793 .382l-4.144 5.25c-.599 .752 -1.809 .331 -1.809 -.632v-16c0 -.564 .44 -1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l5 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFramerMotion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -8v16l16 -16v16l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-8 8l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFramer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h12l-12 -12h12v6h-12v6l6 6v-6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandFunimation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h8a4 4 0 1 1 -8 0z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGatsby (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.296 14.297l6.407 6.407a9.018 9.018 0 0 1 -6.325 -6.116l-.082 -.291z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13h5c-.41 3.603 -3.007 6.59 -6.386 7.614l-11.228 -11.229a9 9 0 0 1 15.66 -2.985\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l-1.9 -1.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.446 2.6l7.955 7.954a2.045 2.045 0 0 1 0 2.892l-7.955 7.955a2.045 2.045 0 0 1 -2.892 0l-7.955 -7.955a2.045 2.045 0 0 1 0 -2.892l7.955 -7.955a2.045 2.045 0 0 1 2.892 0z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGithubCopilot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-5.5c0 -.667 .167 -1.333 .5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.5c0 -1 -.01 -4.07 -4 -3.5c-3.5 .5 -4 2.5 -4 3.5c0 1.5 0 4 3 4c4 0 5 -2.5 5 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12c-1.333 .667 -2 1.333 -2 2c0 1 0 3 1.5 4c3 2 6.5 3 8.5 3s5.499 -1 8.5 -3c1.5 -1 1.5 -3 1.5 -4c0 -.667 -.667 -1.333 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v-5.5c0 -.667 -.167 -1.333 -.5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.5l0 -.297l.01 -.269l.027 -.298l.013 -.105l.033 -.215c.014 -.073 .029 -.146 .046 -.22l.06 -.223c.336 -1.118 1.262 -2.237 3.808 -1.873c2.838 .405 3.703 1.797 3.93 2.842l.036 .204c0 .033 .01 .066 .013 .098l.016 .185l0 .171l0 .49l-.015 .394l-.02 .271c-.122 1.366 -.655 2.845 -2.962 2.845c-3.256 0 -4.524 -1.656 -4.883 -3.081l-.053 -.242a3.865 3.865 0 0 1 -.036 -.235l-.021 -.227a3.518 3.518 0 0 1 -.007 -.215z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15v2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGithub (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19c-4.3 1.4 -4.3 -2.5 -6 -3m12 5v-3.5c0 -1 .1 -1.4 -.5 -2c2.8 -.3 5.5 -1.4 5.5 -6a4.6 4.6 0 0 0 -1.3 -3.2a4.2 4.2 0 0 0 -.1 -3.2s-1.1 -.3 -3.5 1.3a12.3 12.3 0 0 0 -6.2 0c-2.4 -1.6 -3.5 -1.3 -3.5 -1.3a4.2 4.2 0 0 0 -.1 3.2a4.6 4.6 0 0 0 -1.3 3.2c0 4.6 2.7 5.7 5.5 6c-.6 .6 -.6 1.2 -.5 2v3.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGitlab (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14l-9 7l-9 -7l3 -11l3 7h6l3 -7z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGmail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h3a1 1 0 0 0 1 -1v-14a1 1 0 0 0 -1 -1h-3v16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20h3v-16h-3a1 1 0 0 0 -1 1v14a1 1 0 0 0 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l-4 4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6.5l8 7.5l8 -7.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGolang (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.695 14.305c1.061 1.06 2.953 .888 4.226 -.384c1.272 -1.273 1.444 -3.165 .384 -4.226c-1.061 -1.06 -2.953 -.888 -4.226 .384c-1.272 1.273 -1.444 3.165 -.384 4.226z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.68 9.233c-1.084 -.497 -2.545 -.191 -3.591 .846c-1.284 1.273 -1.457 3.165 -.388 4.226c1.07 1.06 2.978 .888 4.261 -.384a3.669 3.669 0 0 0 1.038 -1.921h-2.427\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 15h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h-3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleAnalytics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9m0 1.105a1.105 1.105 0 0 1 1.105 -1.105h1.79a1.105 1.105 0 0 1 1.105 1.105v9.79a1.105 1.105 0 0 1 -1.105 1.105h-1.79a1.105 1.105 0 0 1 -1.105 -1.105z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1.105a1.105 1.105 0 0 1 1.105 -1.105h1.79a1.105 1.105 0 0 1 1.105 1.105v15.79a1.105 1.105 0 0 1 -1.105 1.105h-1.79a1.105 1.105 0 0 1 -1.105 -1.105z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleBigQuery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.73 19.875a2.225 2.225 0 0 1 -1.948 1.125h-7.283a2.222 2.222 0 0 1 -1.947 -1.158l-4.272 -6.75a2.269 2.269 0 0 1 0 -2.184l4.272 -6.75a2.225 2.225 0 0 1 1.946 -1.158h7.285c.809 0 1.554 .443 1.947 1.158l3.98 6.75a2.33 2.33 0 0 1 0 2.25l-3.98 6.75v-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 11.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleDrive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l-6 10l-3 -5l6 -10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h12l-3 5h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l-6 -10h6l6 10z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleFit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8.866l-2.733 -2.734a3.866 3.866 0 0 0 -5.467 5.467l2.733 2.734l5.467 5.467l8.202 -8.201a3.866 3.866 0 0 0 -5.469 -5.466l-8.201 8.2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.072 21h-14.144a1.928 1.928 0 0 1 -1.928 -1.928v-6.857c0 -.512 .203 -1 .566 -1.365l7.07 -7.063a1.928 1.928 0 0 1 2.727 0l7.071 7.063c.363 .362 .566 .853 .566 1.365v6.857a1.928 1.928 0 0 1 -1.928 1.928z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13v4h10v-4l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.8 5.2l-11.8 11.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleMaps (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.428 12.494l7.314 -9.252\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.002 7.935l-2.937 -2.545\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.693 6.593l-8.336 9.979\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.591 6.376c.472 .907 .715 1.914 .709 2.935a7.263 7.263 0 0 1 -.72 3.18a19.085 19.085 0 0 1 -2.089 3c-.784 .933 -1.49 1.93 -2.11 2.98c-.314 .62 -.568 1.27 -.757 1.938c-.121 .36 -.277 .591 -.622 .591c-.315 0 -.463 -.136 -.626 -.593a10.595 10.595 0 0 0 -.779 -1.978a18.18 18.18 0 0 0 -1.423 -2.091c-.877 -1.184 -2.179 -2.535 -2.853 -4.071a7.077 7.077 0 0 1 -.621 -2.967a6.226 6.226 0 0 1 1.476 -4.055a6.25 6.25 0 0 1 4.811 -2.245a6.462 6.462 0 0 1 1.918 .284a6.255 6.255 0 0 1 3.686 3.092z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogleOne (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5v13.982a2 2 0 0 0 4 0v-13.982a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.63 8.407a2.125 2.125 0 0 0 -.074 2.944c.77 .834 2.051 .869 2.862 .077l4.95 -4.834c.812 -.792 .846 -2.11 .076 -2.945a1.984 1.984 0 0 0 -2.861 -.077l-4.953 4.835z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGooglePhotos (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7c2.485 0 4.5 1.974 4.5 4.409v.591h-8.397a.61 .61 0 0 1 -.426 -.173a.585 .585 0 0 1 -.177 -.418c0 -2.435 2.015 -4.409 4.5 -4.409z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 17c-2.485 0 -4.5 -1.974 -4.5 -4.409v-.591h8.397c.333 0 .603 .265 .603 .591c0 2.435 -2.015 4.409 -4.5 4.409z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.5c0 -2.485 1.972 -4.5 4.405 -4.5h.595v8.392a.61 .61 0 0 1 -.173 .431a.584 .584 0 0 1 -.422 .177c-2.433 0 -4.405 -2.015 -4.405 -4.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.5c0 2.485 -1.972 4.5 -4.405 4.5h-.595v-8.397a.61 .61 0 0 1 .175 -.428a.584 .584 0 0 1 .42 -.175c2.433 0 4.405 2.015 4.405 4.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGooglePlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3.71v16.58a.7 .7 0 0 0 1.05 .606l14.622 -8.42a.55 .55 0 0 0 0 -.953l-14.622 -8.419a.7 .7 0 0 0 -1.05 .607z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-10.5 11.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 3.5l10.5 11.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGooglePodcasts (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19v-8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGoogle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.945 11a9 9 0 1 1 -3.284 -5.997l-2.655 2.392a5.5 5.5 0 1 0 2.119 6.605h-4.125v-3h7.945z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGrammarly (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.697 9.434a4.5 4.5 0 1 0 .217 4.788\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 14h2.5v2.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGraphql (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l8 -5l8 5v8l-8 5l-8 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l7.5 12h-15z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGravatar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.64 5.632a9 9 0 1 0 6.36 -2.632v7.714\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGrindr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13.282c0 .492 .784 1.718 2.102 1.718c1.318 0 2.898 -.966 2.898 -2.062c0 -.817 -.932 -.938 -1.409 -.938c-.228 0 -3.591 .111 -3.591 1.282z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21c-2.984 0 -6.471 -2.721 -6.63 -2.982c-2.13 -3.49 -2.37 -13.703 -2.37 -13.703l1.446 -1.315c2.499 .39 5.023 .617 7.554 .68a58.626 58.626 0 0 0 7.554 -.68l1.446 1.315s-.24 10.213 -2.37 13.704c-.16 .26 -3.646 2.981 -6.63 2.981z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13.282c0 .492 -.784 1.718 -2.102 1.718c-1.318 0 -2.898 -.966 -2.898 -2.062c0 -.817 .932 -.938 1.409 -.938c.228 0 3.591 .111 3.591 1.282z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGuardian (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12c0 -9.296 9.5 -9 9.5 -9c-2.808 0 -4.5 4.373 -4.5 9s1.763 8.976 4.572 8.976c0 .023 -9.572 1.092 -9.572 -8.976z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 3c1.416 0 3.853 1.16 4.5 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13v8s2.77 -.37 4 -2v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandGumroad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 13h2.5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.024 9.382a4 4 0 1 0 -3.024 6.618c1.862 0 2.554 -1.278 3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandHbo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16h2a2 2 0 1 0 0 -4h-2h2a2 2 0 1 0 0 -4h-2v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8a4 4 0 1 1 0 8a4 4 0 0 1 0 -8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandHeadlessui (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.744 4.325l7.82 -1.267a4.456 4.456 0 0 1 5.111 3.686l1.267 7.82a4.456 4.456 0 0 1 -3.686 5.111l-7.82 1.267a4.456 4.456 0 0 1 -5.111 -3.686l-1.267 -7.82a4.456 4.456 0 0 1 3.686 -5.111z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.252 7.704l7.897 -1.28a1 1 0 0 1 1.147 .828l.36 2.223l-9.562 3.51l-.67 -4.134a1 1 0 0 1 .828 -1.147z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandHexo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandHipchat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.802 17.292s.077 -.055 .2 -.149c1.843 -1.425 3 -3.49 3 -5.789c0 -4.286 -4.03 -7.764 -9 -7.764c-4.97 0 -9 3.478 -9 7.764c0 4.288 4.03 7.646 9 7.646c.424 0 1.12 -.028 2.088 -.084c1.262 .82 3.104 1.493 4.716 1.493c.499 0 .734 -.41 .414 -.828c-.486 -.596 -1.156 -1.551 -1.416 -2.29z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 13.5c2.5 2.5 6.5 2.5 9 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandHtml5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l-2 14.5l-6 2l-6 -2l-2 -14.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 8h-7l.5 4h6l-.5 3.5l-2.5 .75l-2.5 -.75l-.1 -.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandInertia (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 8l4 4l-4 4h4.5l4 -4l-4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 8l4 4l-4 4h4.5l4 -4l-4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandInstagram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 4a4 4 0 0 1 4 -4h8a4 4 0 0 1 4 4v8a4 4 0 0 1 -4 4h-8a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 7.5l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandIntercom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15c4 2.667 6 2.667 10 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandItch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7v1c0 1.087 1.078 2 2 2c1.107 0 2 -.91 2 -2c0 1.09 .893 2 2 2s2 -.91 2 -2c0 1.09 .893 2 2 2s2 -.91 2 -2c0 1.09 .893 2 2 2s2 -.91 2 -2c0 1.09 .893 2 2 2c.922 0 2 -.913 2 -2v-1c-.009 -.275 -.538 -.964 -1.588 -2.068a3 3 0 0 0 -2.174 -.932h-12.476a3 3 0 0 0 -2.174 .932c-1.05 1.104 -1.58 1.793 -1.588 2.068z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10c-.117 6.28 .154 9.765 .814 10.456c1.534 .367 4.355 .535 7.186 .536c2.83 -.001 5.652 -.169 7.186 -.536c.99 -1.037 .898 -9.559 .814 -10.456\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l2 -2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandJavascript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l-2 14.5l-6 2l-6 -2l-2 -14.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 8h3v8l-2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 8h-2.5a.5 .5 0 0 0 -.5 .5v3a.5 .5 0 0 0 .5 .5h1.423a.5 .5 0 0 1 .495 .57l-.418 2.93l-2 .5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandJuejin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12l10 7.422l10 -7.422\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l5 4l5 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l1 .8l1 -.8l-1 -.8z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandKakoTalk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l-2 2.5l2 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c4.97 0 9 3.358 9 7.5c0 4.142 -4.03 7.5 -9 7.5c-.67 0 -1.323 -.061 -1.95 -.177l-3.05 2.177l.592 -2.962c-2.741 -1.284 -4.592 -3.73 -4.592 -6.538c0 -4.142 4.03 -7.5 9 -7.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandKbin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"g\",\"attr\":{\"strokeWidth\":\"1.838\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.586 9.506h-2.43c-.434 -.932 -.7 -1.506 -1.596 -1.506l-2.404 .019c-.662 0 -1.353 .592 -1.103 1.487l2.216 9.436c.486 1.743 .811 2.058 1.145 2.058h.64\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.275 3h5.645c.84 0 1.24 .714 1.02 1.287l-4.687 15.109c-.42 1.133 -1.159 1.603 -2.354 1.603h-7.485c.39 0 .76 -.618 1.296 -2.061l4.457 -14.49c.326 -.83 .76 -1.448 2.108 -1.448z\"},\"child\":[]}]}]})(props);\n};\nexport function TbBrandKick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h5v4h3v-2h2v-2h6v4h-2v2h-2v4h2v2h2v4h-6v-2h-2v-2h-3v4h-5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandKickstarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9l2.975 -4.65c.615 -.9 1.405 -1.35 2.377 -1.35c.79 0 1.474 .286 2.054 .858c.576 .574 .866 1.256 .866 2.054c0 .588 -.153 1.109 -.46 1.559l-2.812 4.029l3.465 4.912c.356 .46 .535 1 .535 1.613a2.92 2.92 0 0 1 -.843 2.098c-.561 .584 -1.242 .877 -2.04 .877c-.876 0 -1.545 -.29 -2 -.87l-4.112 -5.697v3.067c0 .876 -.313 1.69 -.611 2.175c-.543 .883 -1.35 1.325 -2.389 1.325c-.944 0 -1.753 -.327 -2.271 -.974c-.486 -.6 -.729 -1.392 -.729 -2.38v-11.371c0 -.934 .247 -1.706 .74 -2.313c.512 -.641 1.347 -.962 2.26 -.962c.868 0 1.821 .321 2.4 .962c.323 .356 .515 .714 .6 1.08c.052 .224 0 .643 0 1.26v2.698z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandKotlin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-16v-16h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 -16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8 8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLaravel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l8 5l7 -4v-8l-4 -2.5l4 -2.5l4 2.5v4l-11 6.5l-4 -2.5v-7.5l-4 -2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15.5l7 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11.5l4 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13v-7.5l-4 -2.5l-4 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l4 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10l4 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLastfm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8c-.83 -1 -1.388 -1 -2 -1c-.612 0 -2 .271 -2 2s1.384 2.233 3 3c1.616 .767 2.125 1.812 2 3s-1 2 -3 2s-3 -1 -3.5 -2s-1.585 -4.78 -2.497 -6a5 5 0 1 0 -1 7\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLeetcode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.424 7.268l4.999 -4.999\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.633 16.644l-2.402 2.415a3.189 3.189 0 0 1 -4.524 0l-3.77 -3.787a3.223 3.223 0 0 1 0 -4.544l3.77 -3.787a3.189 3.189 0 0 1 4.524 0l2.302 2.313\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLetterboxd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10.663c0 -4.224 -4.041 -7.663 -9 -7.663s-9 3.439 -9 7.663c0 3.783 3.201 6.958 7.527 7.56c1.053 .239 .932 .644 .696 2.133c-.039 .238 -.184 .932 .777 .512c.96 -.42 5.18 -3.201 7.073 -5.48c1.304 -1.504 1.927 -3.029 1.927 -4.715v-.01z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLinkedin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11l0 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v-3a2 2 0 0 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLinktree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 4.5l11 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 15.5l11 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v7\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLinqpad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h3.5l2.5 -6l2.5 -1l2.5 7h4l1 -4.5l-2 -1l-7 -12l-6 -.5l1.5 4l2.5 .5l1 2.5l-7 8z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLivewire (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.982 18.777c-.372 .548 -.652 1.223 -1.406 1.223c-1.269 0 -1.337 -1.913 -2.607 -1.913c-1.27 0 -1.2 1.913 -2.47 1.913c-1.268 0 -1.337 -1.913 -2.607 -1.913c-1.269 0 -1.2 1.913 -2.47 1.913c-1.268 0 -1.337 -1.913 -2.607 -1.913c-1.27 0 -1.2 1.913 -2.47 1.913c-.398 0 -.679 -.189 -.915 -.448a10.414 10.414 0 0 1 -1.43 -5.29c0 -5.669 4.477 -10.262 10 -10.262c5.524 0 10 4.594 10 10.261c0 1.62 -.366 3.152 -1.018 4.516z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.982 18.777c-.372 .548 -.652 1.223 -1.406 1.223c-1.269 0 -1.337 -1.913 -2.607 -1.913c-1.27 0 -1.2 1.913 -2.47 1.913c-1.268 0 -1.337 -1.913 -2.607 -1.913c-1.269 0 -1.2 1.913 -2.47 1.913c-1.268 0 -1.337 -1.913 -2.607 -1.913c-1.27 0 -1.2 1.913 -2.47 1.913c-.398 0 -.679 -.189 -.915 -.448a10.414 10.414 0 0 1 -1.43 -5.29c0 -5.669 4.477 -10.262 10 -10.262c5.524 0 10 4.594 10 10.261c0 1.62 -.366 3.152 -1.018 4.516z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 16c3.167 0 4.5 -1.748 4.5 -4.231c0 -2.484 -2.014 -4.769 -4.5 -4.769c-2.485 0 -4.5 2.286 -4.5 4.769s1.333 4.231 4.5 4.231z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11a1 1 0 1 0 0 -2a1 1 0 0 0 0 2z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandLoom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.464 6.518a6 6 0 1 0 -3.023 7.965\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.482 17.464a6 6 0 1 0 -7.965 -3.023\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.54 17.482a6 6 0 1 0 3.024 -7.965\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.518 6.54a6 6 0 1 0 7.965 3.024\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMailgun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12a2 2 0 1 0 4 0a9 9 0 1 0 -2.987 6.697\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMantine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16c1.22 -.912 2 -2.36 2 -4a5.01 5.01 0 0 0 -2 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMastercard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9.765a3 3 0 1 0 0 4.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMastodon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.648 15.254c-1.816 1.763 -6.648 1.626 -6.648 1.626a18.262 18.262 0 0 1 -3.288 -.256c1.127 1.985 4.12 2.81 8.982 2.475c-1.945 2.013 -13.598 5.257 -13.668 -7.636l-.026 -1.154c0 -3.036 .023 -4.115 1.352 -5.633c1.671 -1.91 6.648 -1.666 6.648 -1.666s4.977 -.243 6.648 1.667c1.329 1.518 1.352 2.597 1.352 5.633s-.456 4.074 -1.352 4.944z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.204v-2.926c0 -1.258 -.895 -2.278 -2 -2.278s-2 1.02 -2 2.278v4.722m4 -4.722c0 -1.258 .895 -2.278 2 -2.278s2 1.02 2 2.278v4.722\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMatrix (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h-1v18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21h1v-18h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-3.5a2.5 2.5 0 1 0 -5 0v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15v-3.5a2.5 2.5 0 1 0 -5 0v.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMcdonalds (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20c0 -3.952 -.966 -16 -4.038 -16s-3.962 9.087 -3.962 14.756c0 -5.669 -.896 -14.756 -3.962 -14.756c-3.065 0 -4.038 12.048 -4.038 16\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMedium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h1l3 3l3 -3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMeetup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.455 10.82c.935 -2.163 3.045 -3.82 5.545 -3.82c2.104 0 2.844 1.915 2 4l-2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.981 7l-3.981 9.914\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11c.937 -2.16 3.071 -3.802 5.42 -3.972c2.104 0 3.128 1.706 2.284 3.792l-2.454 6.094c-.853 1.676 .75 2.586 2.75 2.086\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMercedes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l7 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-7 5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMessenger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l1.3 -3.9a9 8 0 1 1 3.4 2.9l-4.7 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13l3 -2l2 2l3 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMeta (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10.174c1.766 -2.784 3.315 -4.174 4.648 -4.174c2 0 3.263 2.213 4 5.217c.704 2.869 .5 6.783 -2 6.783c-1.114 0 -2.648 -1.565 -4.148 -3.652a27.627 27.627 0 0 1 -2.5 -4.174z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10.174c-1.766 -2.784 -3.315 -4.174 -4.648 -4.174c-2 0 -3.263 2.213 -4 5.217c-.704 2.869 -.5 6.783 2 6.783c1.114 0 2.648 -1.565 4.148 -3.652c1 -1.391 1.833 -2.783 2.5 -4.174z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMinecraft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16.008v-8.018a1.98 1.98 0 0 0 -1 -1.717l-7 -4.008a2.016 2.016 0 0 0 -2 0l-7 4.008c-.619 .355 -1 1.01 -1 1.718v8.018c0 .709 .381 1.363 1 1.717l7 4.008c.62 .354 1.38 .354 2 0l7 -4.008c.619 -.355 1 -1.01 1 -1.718z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8.73 -5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.27 6.96l8.73 5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l3.003 -1.668m3 -1.667l2.997 -1.665m-9 5l-9 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17l3 -1.67v-3l-3 1.67z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMiniprogram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11.503a2.5 2.5 0 1 0 4 2v-3a2.5 2.5 0 1 1 4 2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMixpanel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 12m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 12m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMonday (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 15.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 7a1.5 1.5 0 0 1 1.339 2.177l-4.034 7.074c-.264 .447 -.75 .749 -1.305 .749a1.5 1.5 0 0 1 -1.271 -2.297l3.906 -6.827a1.5 1.5 0 0 1 1.365 -.876z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 7a1.5 1.5 0 0 1 1.339 2.177l-4.034 7.074c-.264 .447 -.75 .749 -1.305 .749a1.5 1.5 0 0 1 -1.271 -2.297l3.906 -6.827a1.5 1.5 0 0 1 1.365 -.876z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMongodb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v19\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11.227c0 3.273 -1.812 4.77 -6 9.273c-4.188 -4.503 -6 -6 -6 -9.273c0 -4.454 3.071 -6.927 6 -9.227c2.929 2.3 6 4.773 6 9.227z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMyOppo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.316 5h-12.632l-3.418 4.019a1.089 1.089 0 0 0 .019 1.447l9.714 10.534l9.715 -10.49a1.09 1.09 0 0 0 .024 -1.444l-3.422 -4.066z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandMysql (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21c-1.427 -1.026 -3.59 -3.854 -4 -6c-.486 .77 -1.501 2 -2 2c-1.499 -.888 -.574 -3.973 0 -6c-1.596 -1.433 -2.468 -2.458 -2.5 -4c-3.35 -3.44 -.444 -5.27 2.5 -3h1c8.482 .5 6.421 8.07 9 11.5c2.295 .522 3.665 2.254 5 3.5c-2.086 -.2 -2.784 -.344 -3.5 0c.478 1.64 2.123 2.2 3.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNationalGeographic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10v18h-10z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNem (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.182 2c1.94 .022 3.879 .382 5.818 1.08l.364 .135a23.075 23.075 0 0 1 3.636 1.785c0 5.618 -1.957 10.258 -5.87 13.92c-1.24 1.239 -2.5 2.204 -3.78 2.898l-.35 .182c-1.4 -.703 -2.777 -1.729 -4.13 -3.079c-3.912 -3.663 -5.87 -8.303 -5.87 -13.921c2.545 -1.527 5.09 -2.471 7.636 -2.832l.364 -.048a16.786 16.786 0 0 1 1.818 -.12h.364z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.1 7.07c2.073 6.72 5.373 7.697 9.9 2.93c0 -4 1.357 -6.353 4.07 -7.06l.59 -.11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.35 18.51s2.65 -5.51 -4.35 -8.51\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNetbeans (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 9.43a1 1 0 0 1 .5 .874v3.268a1 1 0 0 1 -.515 .874l-3 1.917a1 1 0 0 1 -.97 0l-3 -1.917a1 1 0 0 1 -.515 -.873v-3.269a1 1 0 0 1 .514 -.874l3 -1.786c.311 -.173 .69 -.173 1 0l3 1.787h-.014z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-9l-7.5 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l7.5 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 16l-3.5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l-3.5 2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNeteaseMusic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4c-2.93 1.346 -5 5.046 -5 8.492c0 4.508 4 7.508 8 7.508s8 -3 8 -7c0 -3.513 -3.5 -5.513 -6 -5.513s-5 1.513 -5 4.513c0 2 1.5 3 3 3s3 -1 3 -3c0 -3.513 -2 -4.508 -2 -6.515c0 -3.504 3.5 -2.603 4 -1.502\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNetflix (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l10 18h-4l-10 -18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3v18h4v-10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v-18h-4v10.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNexo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l5 3v12l-5 3l-10 -6v-6l10 6v-6l-5 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l-5 -3l-5 3v12l5 3l4.7 -3.13\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNextcloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 12.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNextjs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v-6l7.745 10.65a9 9 0 1 1 2.255 -1.993\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v-3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNodejs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v8.044a2 2 0 0 1 -2.996 1.734l-1.568 -.9a3 3 0 0 1 -1.436 -2.561v-6.635a3 3 0 0 1 1.436 -2.56l6 -3.667a3 3 0 0 1 3.128 0l6 3.667a3 3 0 0 1 1.436 2.561v6.634a3 3 0 0 1 -1.436 2.56l-6 3.667a3 3 0 0 1 -3.128 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9h-3.5a1.5 1.5 0 0 0 0 3h2a1.5 1.5 0 0 1 0 3h-3.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNordVpn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.992 15l-2.007 -3l-4.015 8c-2.212 -3.061 -2.625 -7.098 -.915 -10.463a10.14 10.14 0 0 1 8.945 -5.537a10.14 10.14 0 0 1 8.945 5.537c1.71 3.365 1.297 7.402 -.915 10.463l-4.517 -8l-1.505 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 15l-3 -6l-2.5 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNotion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17.5v-6.5h.5l4 6h.5v-6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.077 20.071l-11.53 .887a1 1 0 0 1 -.876 -.397l-2.471 -3.294a1 1 0 0 1 -.2 -.6v-10.741a1 1 0 0 1 .923 -.997l11.389 -.876a2 2 0 0 1 1.262 .33l1.535 1.023a2 2 0 0 1 .891 1.664v12.004a1 1 0 0 1 -.923 .997z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 5.5l2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-13 1v12.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNpm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M1 8h22v7h-12v2h-4v-2h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNuxt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.146 8.583l-1.3 -2.09a1.046 1.046 0 0 0 -1.786 .017l-5.91 9.908a1.046 1.046 0 0 0 .897 1.582h3.913\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.043 18c.743 0 1.201 -.843 .82 -1.505l-4.044 -7.013a.936 .936 0 0 0 -1.638 0l-4.043 7.013c-.382 .662 .076 1.505 .819 1.505h8.086z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandNytimes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.036 5.058a8 8 0 1 0 8.706 9.965\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-11l-7.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 3a2.5 2.5 0 1 1 0 5l-11 -5a2.5 2.5 0 0 0 -.67 4.91\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOauth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-10 0a10 10 0 1 0 20 0a10 10 0 1 0 -20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.556 6c.65 0 1.235 .373 1.508 .947l2.839 7.848a1.646 1.646 0 0 1 -1.01 2.108a1.673 1.673 0 0 1 -2.068 -.851l-.46 -1.052h-2.73l-.398 .905a1.67 1.67 0 0 1 -1.977 1.045l-.153 -.047a1.647 1.647 0 0 1 -1.056 -1.956l2.824 -7.852a1.664 1.664 0 0 1 1.409 -1.087l1.272 -.008z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOffice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h9v-12l-5 2v5l-4 2v-8l9 -4l7 2v13l-7 3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOkRu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12c0 8 0 8 -8 8s-8 0 -8 -8s0 -8 8 -8s8 0 8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 13c1.333 .667 3.667 .667 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 17l2.5 -3l2.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5v.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOnedrive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.456 10.45a6.45 6.45 0 0 0 -12 -2.151a4.857 4.857 0 0 0 -4.44 5.241a4.856 4.856 0 0 0 5.236 4.444h10.751a3.771 3.771 0 0 0 3.99 -3.54a3.772 3.772 0 0 0 -3.538 -3.992z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOnlyfans (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 6a6.5 6.5 0 1 0 0 13a6.5 6.5 0 0 0 0 -13z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 15a2.5 2.5 0 1 1 0 -5a2.5 2.5 0 0 1 0 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16c2.5 0 6.42 -1.467 7 -4h-6c3 -1 6.44 -3.533 7 -6h-4c-3.03 0 -3.764 -.196 -5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOpenSource (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 0 1 3.618 17.243l-2.193 -5.602a3 3 0 1 0 -2.849 0l-2.193 5.603a9 9 0 0 1 3.617 -17.244z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOpenai (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.217 19.384a3.501 3.501 0 0 0 6.783 -1.217v-5.167l-6 -3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.214 15.014a3.501 3.501 0 0 0 4.446 5.266l4.34 -2.534v-6.946\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7.63c-1.391 -.236 -2.787 .395 -3.534 1.689a3.474 3.474 0 0 0 1.271 4.745l4.263 2.514l6 -3.348\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.783 4.616a3.501 3.501 0 0 0 -6.783 1.217v5.067l6 3.45\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.786 8.986a3.501 3.501 0 0 0 -4.446 -5.266l-4.34 2.534v6.946\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16.302c1.391 .236 2.787 -.395 3.534 -1.689a3.474 3.474 0 0 0 -1.271 -4.745l-4.308 -2.514l-5.955 3.42\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOpenvpn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.618 20.243l-2.193 -5.602a3 3 0 1 0 -2.849 0l-2.193 5.603\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandOpera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 5 0 1 0 6 0a3 5 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPagekit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.077 20h-5.077v-16h11v14h-5.077\"},\"child\":[]}]})(props);\n};\nexport function TbBrandParsinta (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 0 0 -9 -9\",\"opacity\":\".5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v6l5 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPatreon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8.408c-.003 -2.299 -1.746 -4.182 -3.79 -4.862c-2.54 -.844 -5.888 -.722 -8.312 .453c-2.939 1.425 -3.862 4.545 -3.896 7.656c-.028 2.559 .22 9.297 3.92 9.345c2.75 .036 3.159 -3.603 4.43 -5.356c.906 -1.247 2.071 -1.599 3.506 -1.963c2.465 -.627 4.146 -2.626 4.142 -5.273z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPaypal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l2.5 0c2.5 0 5 -2.5 5 -5c0 -3 -1.9 -5 -5 -5h-5.5c-.5 0 -1 .5 -1 1l-2 14c0 .5 .5 1 1 1h2.8l1.2 -5c.1 -.6 .4 -1 1 -1zm7.5 -5.8c1.7 1 2.5 2.8 2.5 4.8c0 2.5 -2.5 4.5 -5 4.5h-2.6l-.6 3.6a1 1 0 0 1 -1 .8l-2.7 0a.5 .5 0 0 1 -.5 -.6l.2 -1.4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPaypay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.375 21l3.938 -13.838\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6c16.731 0 21.231 9.881 4.5 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19v-14a2 2 0 0 0 -2 -2h-14a2 2 0 0 0 -2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPeanut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16.25l-.816 -.36l-.462 -.196c-1.444 -.592 -2 -.593 -3.447 0l-.462 .195l-.817 .359a4.5 4.5 0 1 1 0 -8.49v0l1.054 .462l.434 .178c1.292 .507 1.863 .48 3.237 -.082l.462 -.195l.817 -.359a4.5 4.5 0 1 1 0 8.49\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPepsi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c5.713 -2.973 11 -3.5 13.449 -11.162\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17.5c5.118 -2.859 15 0 14 -11\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPhp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-10 0a10 9 0 1 0 20 0a10 9 0 1 0 -20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 15l.395 -1.974l.605 -3.026h1.32a1 1 0 0 1 .986 1.164l-.167 1a1 1 0 0 1 -.986 .836h-1.653\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 15l.395 -1.974l.605 -3.026h1.32a1 1 0 0 1 .986 1.164l-.167 1a1 1 0 0 1 -.986 .836h-1.653\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.5l-1 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.6 10h2.4l-.5 3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPicsart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9v11a2 2 0 1 0 4 0v-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPinterest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l4 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.7 14c.437 1.263 1.43 2 2.55 2c2.071 0 3.75 -1.554 3.75 -4a5 5 0 1 0 -9.7 1.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPlanetscale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.993 11.63a9 9 0 0 1 -9.362 9.362l9.362 -9.362z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9.001 9.001 0 0 1 8.166 5.211l-11.955 11.955a9 9 0 0 1 3.789 -17.166z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-6 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPnpm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPocket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h14a2 2 0 0 1 2 2v6a9 9 0 0 1 -18 0v-6a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11l4 4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPolymer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.706 6l-3.706 6l3.706 6h1.059l8.47 -12h1.06l3.705 6l-3.706 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPowershell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.887 20h11.868c.893 0 1.664 -.665 1.847 -1.592l2.358 -12c.212 -1.081 -.442 -2.14 -1.462 -2.366a1.784 1.784 0 0 0 -.385 -.042h-11.868c-.893 0 -1.664 .665 -1.847 1.592l-2.358 12c-.212 1.081 .442 2.14 1.462 2.366c.127 .028 .256 .042 .385 .042z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l4 4l-6 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPrintables (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21l12 -7v-7.5l-6 -3.5l-6 3.5l6 3.5v7.5l-6 -3.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPrisma (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.186 16.202l3.615 5.313c.265 .39 .754 .57 1.215 .447l10.166 -2.718a1.086 1.086 0 0 0 .713 -1.511l-7.505 -15.483a.448 .448 0 0 0 -.787 -.033l-7.453 12.838a1.07 1.07 0 0 0 .037 1.147z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 22l3.5 -20\"},\"child\":[]}]})(props);\n};\nexport function TbBrandProducthunt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8h2.5a2.5 2.5 0 1 1 0 5h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPushbullet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8v8h2a4 4 0 1 0 0 -8h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPushover (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.16 10.985c-.83 -1.935 1.53 -7.985 8.195 -7.985c3.333 0 4.645 1.382 4.645 3.9c0 2.597 -2.612 6.1 -9 6.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 6l-5.5 15\"},\"child\":[]}]})(props);\n};\nexport function TbBrandPython (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h-7a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h7a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9v-4a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2v5a2 2 0 0 1 -2 2h-4a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h4a2 2 0 0 0 2 -2v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandQq (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9.748a14.716 14.716 0 0 0 11.995 -.052c.275 -9.236 -11.104 -11.256 -11.995 .052z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10c.984 2.762 1.949 4.765 2 7.153c.014 .688 -.664 1.346 -1.184 .303c-.346 -.696 -.952 -1.181 -1.816 -1.456\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16c.031 1.831 .147 3.102 -1 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20c-1.099 -.87 -.914 -2.24 -1 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10c-.783 2.338 -1.742 4.12 -1.968 6.43c-.217 2.227 .716 1.644 1.16 .917c.296 -.487 .898 -.934 1.808 -1.347\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.898 13l-.476 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l-1.5 1c-.5 .5 -.5 1 .5 1h10c1 0 1 -.5 .5 -1l-1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.75 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.25 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandRadixUi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 5.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h5v5h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11v10a5 5 0 0 1 -.217 -9.995l.217 -.005z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandReactNative (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.357 9c-2.637 .68 -4.357 1.845 -4.357 3.175c0 2.107 4.405 3.825 9.85 3.825c.74 0 1.26 -.039 1.95 -.097\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.837 15.9c-.413 -.596 -.806 -1.133 -1.18 -1.8c-2.751 -4.9 -3.488 -9.77 -1.63 -10.873c1.15 -.697 3.047 .253 4.974 2.254\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.429 15.387c-.702 2.688 -.56 4.716 .56 5.395c1.783 1.08 5.387 -1.958 8.043 -6.804c.36 -.67 .683 -1.329 .968 -1.978\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.52c1.928 2 3.817 2.95 4.978 2.253c1.85 -1.102 1.121 -5.972 -1.633 -10.873c-.384 -.677 -.777 -1.204 -1.18 -1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.66 15c2.612 -.687 4.34 -1.85 4.34 -3.176c0 -2.11 -4.408 -3.824 -9.845 -3.824c-.747 0 -1.266 .029 -1.955 .087\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12c.285 -.66 .607 -1.308 .968 -1.978c2.647 -4.844 6.253 -7.89 8.046 -6.801c1.11 .679 1.262 2.706 .56 5.393\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.26 12.015h-.01c-.01 .13 -.12 .24 -.26 .24a.263 .263 0 0 1 -.25 -.26c0 -.14 .11 -.25 .24 -.25h-.01c.13 -.01 .25 .11 .25 .24\"},\"child\":[]}]})(props);\n};\nexport function TbBrandReact (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.306 8.711c-2.602 .723 -4.306 1.926 -4.306 3.289c0 2.21 4.477 4 10 4c.773 0 1.526 -.035 2.248 -.102\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.692 15.289c2.603 -.722 4.308 -1.926 4.308 -3.289c0 -2.21 -4.477 -4 -10 -4c-.773 0 -1.526 .035 -2.25 .102\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.305 15.287c-.676 2.615 -.485 4.693 .695 5.373c1.913 1.105 5.703 -1.877 8.464 -6.66c.387 -.67 .733 -1.339 1.036 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.694 8.716c.677 -2.616 .487 -4.696 -.694 -5.376c-1.913 -1.105 -5.703 1.877 -8.464 6.66c-.387 .67 -.733 1.34 -1.037 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.424c-1.925 -1.892 -3.82 -2.766 -5 -2.084c-1.913 1.104 -1.226 5.877 1.536 10.66c.386 .67 .793 1.304 1.212 1.896\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.574c1.926 1.893 3.821 2.768 5 2.086c1.913 -1.104 1.226 -5.877 -1.536 -10.66c-.375 -.65 -.78 -1.283 -1.212 -1.897\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 12.866a1 1 0 1 0 1 -1.732a1 1 0 0 0 -1 1.732z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandReason (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-3v-6h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v-6h2.5a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandReddit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8c2.648 0 5.028 .826 6.675 2.14a2.5 2.5 0 0 1 2.326 4.36c0 3.59 -4.03 6.5 -9 6.5c-4.875 0 -8.845 -2.8 -9 -6.294l-1 -.206a2.5 2.5 0 0 1 2.326 -4.36c1.646 -1.313 4.026 -2.14 6.674 -2.14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l1 -5l6 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"13\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"13\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17c.667 .333 1.333 .5 2 .5s1.333 -.167 2 -.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandRedhat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10.5l1.436 -4c.318 -.876 .728 -1.302 1.359 -1.302c.219 0 1.054 .365 1.88 .583c.825 .219 .733 -.329 .908 -.487c.176 -.158 .355 -.294 .61 -.294c.242 0 .553 .048 1.692 .448c.759 .267 1.493 .574 2.204 .922c1.175 .582 1.426 .913 1.595 1.507l.816 4.623c2.086 .898 3.5 2.357 3.5 3.682c0 1.685 -1.2 3.818 -5.957 3.818c-6.206 0 -14.043 -4.042 -14.043 -7.32c0 -1.044 1.333 -1.77 4 -2.18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10.5c0 .969 4.39 3.5 9.5 3.5c1.314 0 3 .063 3 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandRedux (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.54 7c-.805 -2.365 -2.536 -4 -4.54 -4c-2.774 0 -5.023 2.632 -5.023 6.496c0 1.956 1.582 4.727 2.512 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.711 11.979c-1.656 1.877 -2.214 4.185 -1.211 5.911c1.387 2.39 5.138 2.831 8.501 .9c1.703 -.979 2.875 -3.362 3.516 -4.798\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.014 19.99c2.511 0 4.523 -.438 5.487 -2.1c1.387 -2.39 -.215 -5.893 -3.579 -7.824c-1.702 -.979 -4.357 -1.235 -5.927 -1.07\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.493 9.862c.48 .276 1.095 .112 1.372 -.366a1 1 0 0 0 -.367 -1.365a1.007 1.007 0 0 0 -1.373 .366a1 1 0 0 0 .368 1.365z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 14m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandRevolut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h3v10h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 4h-9.5v3h9.4a1.5 1.5 0 0 1 0 3h-3.4v4l4 6h4l-5 -7h.5a4.5 4.5 0 1 0 0 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandRumble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.993 9.108c.383 .4 .687 .863 .893 1.368a4.195 4.195 0 0 1 .006 3.166a4.37 4.37 0 0 1 -.887 1.372a20.233 20.233 0 0 1 -2.208 2a20.615 20.615 0 0 1 -2.495 1.669a21.322 21.322 0 0 1 -5.622 2.202a4.213 4.213 0 0 1 -3.002 -.404a3.98 3.98 0 0 1 -1.163 -.967a3.796 3.796 0 0 1 -.695 -1.312c-1.199 -3.902 -1.022 -8.312 .134 -12.23c.609 -2.057 2.643 -3.349 4.737 -2.874c3.88 .88 7.52 3.147 10.302 6.01z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.044 13.034c.67 -.505 .67 -1.489 0 -2.01a14.824 14.824 0 0 0 -1.498 -1.044a15.783 15.783 0 0 0 -1.62 -.865c-.77 -.35 -1.63 .139 -1.753 .973a15.385 15.385 0 0 0 -.1 3.786a1.232 1.232 0 0 0 1.715 1.027a14.783 14.783 0 0 0 1.694 -.827a14.46 14.46 0 0 0 1.562 -1.035v-.005z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandRust (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.139 3.463c.473 -1.95 3.249 -1.95 3.722 0a1.916 1.916 0 0 0 2.859 1.185c1.714 -1.045 3.678 .918 2.633 2.633a1.916 1.916 0 0 0 1.184 2.858c1.95 .473 1.95 3.249 0 3.722a1.916 1.916 0 0 0 -1.185 2.859c1.045 1.714 -.918 3.678 -2.633 2.633a1.916 1.916 0 0 0 -2.858 1.184c-.473 1.95 -3.249 1.95 -3.722 0a1.916 1.916 0 0 0 -2.859 -1.185c-1.714 1.045 -3.678 -.918 -2.633 -2.633a1.916 1.916 0 0 0 -1.184 -2.858c-1.95 -.473 -1.95 -3.249 0 -3.722a1.916 1.916 0 0 0 1.185 -2.859c-1.045 -1.714 .918 -3.678 2.633 -2.633a1.914 1.914 0 0 0 2.858 -1.184z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h6a2 2 0 1 0 0 -4h-6v8v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16h-2a2 2 0 0 1 -2 -2a2 2 0 0 0 -2 -2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSafari (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l2 -6l6 -2l-2 6l-6 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSamsungpass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v7a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v-1.862c0 -2.838 2.239 -5.138 5 -5.138s5 2.3 5 5.138v1.862\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.485 17.577c.337 .29 .7 .423 1.515 .423h.413c.323 0 .633 -.133 .862 -.368a1.27 1.27 0 0 0 .356 -.886c0 -.332 -.128 -.65 -.356 -.886a1.203 1.203 0 0 0 -.862 -.368h-.826a1.2 1.2 0 0 1 -.861 -.367a1.27 1.27 0 0 1 -.356 -.886c0 -.332 .128 -.651 .356 -.886a1.2 1.2 0 0 1 .861 -.368h.413c.816 0 1.178 .133 1.515 .423\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10.523c2.46 -.826 4 -.826 4 -2.155c0 -1.366 -1.347 -1.366 -2.735 -1.366c-1.91 0 -3.352 .49 -4.537 1.748c-.848 .902 -1.027 2.449 -.153 3.307c.973 .956 3.206 1.789 2.884 3.493c-.233 1.235 -1.469 1.823 -2.617 1.202c-.782 -.424 -.454 -1.746 .626 -2.512s2.822 -.992 4.1 -.24c.98 .575 1.046 1.724 .434 2.193\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSentry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18a1.93 1.93 0 0 0 .306 1.076a2 2 0 0 0 1.584 .924c.646 .033 -.537 0 .11 0h3a4.992 4.992 0 0 0 -3.66 -4.81c.558 -.973 1.24 -2.149 2.04 -3.531a9 9 0 0 1 5.62 8.341h4c.663 0 2.337 0 3 0a2 2 0 0 0 1.84 -2.75l-7.1 -12.25a2 2 0 0 0 -3.5 0l-1.84 3.176c4.482 2.05 7.6 6.571 7.6 11.824\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSharik (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.281 16.606a8.968 8.968 0 0 1 1.363 -10.977a9.033 9.033 0 0 1 11.011 -1.346c-1.584 4.692 -2.415 6.96 -4.655 8.717c-1.584 1.242 -3.836 2.24 -7.719 3.606zm16.335 -7.306c2.113 7.59 -4.892 13.361 -11.302 11.264c1.931 -3.1 3.235 -4.606 4.686 -6.065c1.705 -1.715 3.591 -3.23 6.616 -5.199z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandShazam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l2 -2a2.828 2.828 0 0 1 4 0a2.828 2.828 0 0 1 0 4l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l-2 2a2.828 2.828 0 1 1 -4 -4l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandShopee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l.867 12.143a2 2 0 0 0 2 1.857h10.276a2 2 0 0 0 2 -1.857l.867 -12.143h-16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 7c0 -1.653 1.5 -4 3.5 -4s3.5 2.347 3.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 17c.413 .462 1 1 2.5 1s2.5 -.897 2.5 -2s-1 -1.5 -2.5 -2s-2 -1.47 -2 -2c0 -1.104 1 -2 2 -2s1.5 0 2.5 1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSketch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.262 10.878l8 8.789c.4 .44 1.091 .44 1.491 0l8 -8.79c.313 -.344 .349 -.859 .087 -1.243l-3.537 -5.194a1 1 0 0 0 -.823 -.436h-8.926a1 1 0 0 0 -.823 .436l-3.54 5.192c-.263 .385 -.227 .901 .087 1.246z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSkype (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 0 1 8.603 11.65a4.5 4.5 0 0 1 -5.953 5.953a9 9 0 0 1 -11.253 -11.253a4.5 4.5 0 0 1 5.953 -5.954a8.987 8.987 0 0 1 2.65 -.396z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14.5c.5 2 2.358 2.5 4 2.5c2.905 0 4 -1.187 4 -2.5c0 -1.503 -1.927 -2.5 -4 -2.5s-4 -1 -4 -2.5c0 -1.313 1.095 -2.5 4 -2.5c1.642 0 3.5 .5 4 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSlack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-6a2 2 0 0 1 4 0v6m0 -2a2 2 0 1 1 2 2h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h6a2 2 0 0 1 0 4h-6m2 0a2 2 0 1 1 -2 2v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6a2 2 0 0 1 -4 0v-6m0 2a2 2 0 1 1 -2 -2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-6a2 2 0 0 1 0 -4h6m-2 0a2 2 0 1 1 2 -2v6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSnapchat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.882 7.842a4.882 4.882 0 0 0 -9.764 0c0 4.273 -.213 6.409 -4.118 8.118c2 .882 2 .882 3 3c3 0 4 2 6 2s3 -2 6 -2c1 -2.118 1 -2.118 3 -3c-3.906 -1.709 -4.118 -3.845 -4.118 -8.118zm-13.882 8.119c4 -2.118 4 -4.118 1 -7.118m17 7.118c-4 -2.118 -4 -4.118 -1 -7.118\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSnapseed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.152 3.115a.46 .46 0 0 0 -.609 0c-2.943 2.58 -4.529 5.441 -4.543 8.378c0 2.928 1.586 5.803 4.543 8.392a.46 .46 0 0 0 .61 0c2.957 -2.589 4.547 -5.464 4.547 -8.392c0 -2.928 -1.6 -5.799 -4.548 -8.378z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l12.09 -.011c.503 0 .91 -.434 .91 -.969v-6.063c0 -.535 -.407 -.968 -.91 -.968h-7.382\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSnowflake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-5.5l4.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-5.5l-4.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 14.5l4.5 -2.5l-4.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 9.5l-4.5 2.5l4.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v5.5l-4.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v5.5l4.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l1 1l-1 1l-1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSocketIo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11h1l3 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h1l-4 4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSolidjs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17.5c4.667 3 8 4.5 10 4.5c2.5 0 4 -1.5 4 -3.5s-1.5 -3.5 -4 -3.5c-2 0 -5.333 .833 -10 2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13.5c4.667 -1.667 8 -2.5 10 -2.5c2.5 0 4 1.5 4 3.5c0 .738 -.204 1.408 -.588 1.96l-2.883 3.825\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 6.5c-4 -3 -8 -4.5 -10 -4.5c-2.04 0 -2.618 .463 -3.419 1.545\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17.5l3 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 6.5l-3 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.581 3.545l-2.953 3.711\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.416 12.662c-1.51 -.476 -2.416 -1.479 -2.416 -3.162c0 -2.5 1.5 -3.5 4 -3.5c1.688 0 5.087 1.068 8.198 3.204a114.76 114.76 0 0 1 1.802 1.296l-2.302 .785\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSoundcloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11h1c1.38 0 3 1.274 3 3c0 1.657 -1.5 3 -3 3l-6 0v-10c3 0 4.5 1.5 5 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l0 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17l0 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l0 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSpacehey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6v-6a3 3 0 0 0 -6 0v6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8v2.5a3.5 3.5 0 0 1 -3.5 3.5h-.5a3 3 0 0 1 0 -6h4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSpeedtest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 19.364a9 9 0 1 1 12.728 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSpotify (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11.973c2.5 -1.473 5.5 -.973 7.5 .527\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15c1.5 -1 4 -1 5 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9c2 -1 6 -2 10 .5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStackoverflow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v1a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.322 12.582l7.956 .836\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.787 9.168l7.826 1.664\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.096 5.764l7.608 2.472\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStackshare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h3l3.5 6h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6h-3.5l-3.5 6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSteam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 5a4.5 4.5 0 1 1 -.653 8.953l-4.347 3.009l0 .038a3 3 0 0 1 -2.824 3l-.176 0a3 3 0 0 1 -2.94 -2.402l-2.56 -1.098v-3.5l3.51 1.755a2.989 2.989 0 0 1 2.834 -.635l2.727 -3.818a4.5 4.5 0 0 1 4.429 -5.302z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"9.5\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStocktwits (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-8 4.5l8 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l8 4.5l-8 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStorj (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-8 -4v-10l8 -4l8 4v10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.1 15a2.1 2.1 0 0 1 -.648 -4.098c.282 -1.648 1.319 -2.902 3.048 -2.902c1.694 0 2.906 1.203 3.23 2.8h.17a2.1 2.1 0 0 1 .202 4.19l-.202 .01h-5.8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l4.323 2.702\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.413 14.758l3.587 2.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17l3.529 -2.206\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.609 10.37l5.391 -3.37\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStorybook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4l.5 16.5l13.5 .5v-18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15c.6 1.5 1.639 2 3.283 2h-.283c1.8 0 3 -.974 3 -2.435c0 -1.194 -.831 -1.799 -2.147 -2.333l-1.975 -.802c-1.15 -.467 -1.878 -1.422 -1.878 -2.467c0 -.97 .899 -1.786 2.087 -1.893l.613 -.055c1.528 -.138 3 .762 3.3 1.985\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3.5v1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStorytel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.103 22c2.292 -2.933 16.825 -2.43 16.825 -11.538c0 -6.298 -4.974 -8.462 -8.451 -8.462c-3.477 0 -9.477 3.036 -9.477 11.241c0 6.374 1.103 8.759 1.103 8.759z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStrava (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13l-5 -10l-5 10m6 0l4 8l4 -8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandStripe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.453 8.056c0 -.623 .518 -.979 1.442 -.979c1.69 0 3.41 .343 4.605 .923l.5 -4c-.948 -.449 -2.82 -1 -5.5 -1c-1.895 0 -3.373 .087 -4.5 1c-1.172 .956 -2 2.33 -2 4c0 3.03 1.958 4.906 5 6c1.961 .69 3 .743 3 1.5c0 .735 -.851 1.5 -2 1.5c-1.423 0 -3.963 -.609 -5.5 -1.5l-.5 4c1.321 .734 3.474 1.5 6 1.5c2 0 3.957 -.468 5.084 -1.36c1.263 -.979 1.916 -2.268 1.916 -4.14c0 -3.096 -1.915 -4.547 -5 -5.637c-1.646 -.605 -2.544 -1.07 -2.544 -1.807z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSublimeText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8l-14 4.5v-5.5l14 -4.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17l-14 4.5v-5.5l14 -4.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11.5l-14 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12.5l14 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSugarizer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.277 16l3.252 -3.252a1.61 1.61 0 0 0 -2.277 -2.276l-3.252 3.251l-3.252 -3.251a1.61 1.61 0 0 0 -2.276 2.276l3.251 3.252l-3.251 3.252a1.61 1.61 0 1 0 2.276 2.277l3.252 -3.252l3.252 3.252a1.61 1.61 0 1 0 2.277 -2.277l-3.252 -3.252z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSupabase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h8v7l8 -11h-8v-7z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSuperhuman (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l4 3l-8 7l-8 -7l4 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l-8 6l8 6l8 -6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSupernova (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h.5c3.038 0 5.5 -1.343 5.5 -3s-2.462 -3 -5.5 -3c-1.836 0 -3.462 .49 -4.46 1.245\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h-.5c-3.038 0 -5.5 1.343 -5.5 3s2.462 3 5.5 3c1.844 0 3.476 -.495 4.474 -1.255\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v-.5c0 -3.038 -1.343 -5.5 -3 -5.5s-3 2.462 -3 5.5c0 1.833 .49 3.457 1.241 4.456\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v.5c0 3.038 1.343 5.5 3 5.5s3 -2.462 3 -5.5c0 -1.842 -.494 -3.472 -1.252 -4.47\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSurfshark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.954 9.447c-.237 -6.217 0 -6.217 -6 -6.425c-5.774 -.208 -6.824 1 -7.91 5.382c-2.884 11.816 -3.845 14.716 4.792 11.198c9.392 -3.831 9.297 -5.382 9.114 -10.155z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h.452c1.943 .007 3.526 -1.461 3.543 -3.286v-2.428c.018 -1.828 1.607 -3.298 3.553 -3.286h.452\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSvelte (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-5 3l.821 -.495c1.86 -1.15 4.412 -.49 5.574 1.352a3.91 3.91 0 0 1 -1.264 5.42l-5.053 3.126c-1.86 1.151 -4.312 .591 -5.474 -1.251a3.91 3.91 0 0 1 1.263 -5.42l.26 -.16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l5 -3l-.822 .496c-1.86 1.151 -4.411 .491 -5.574 -1.351a3.91 3.91 0 0 1 1.264 -5.42l5.054 -3.127c1.86 -1.15 4.311 -.59 5.474 1.252a3.91 3.91 0 0 1 -1.264 5.42l-.26 .16\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSwift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.547 15.828c1.33 -4.126 -1.384 -9.521 -6.047 -12.828c-.135 -.096 2.39 6.704 1.308 9.124c-2.153 -1.454 -4.756 -3.494 -7.808 -6.124l-.5 2l-3.5 -1c4.36 4.748 7.213 7.695 8.56 8.841c-4.658 2.089 -10.65 -.978 -10.56 -.841c1.016 1.545 6 6 11 6c2 0 3.788 -.502 4.742 -1.389c.005 -.005 .432 -.446 1.378 -.17c.504 .148 1.463 .667 2.88 1.559v-1.507c0 -1.377 -.515 -2.67 -1.453 -3.665z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandSymfony (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13c.458 .667 1.125 1 2 1c1.313 0 2 -.875 2 -1.5c0 -1.5 -2 -1 -2 -2c0 -.625 .516 -1.5 1.5 -1.5c2.5 0 1.563 2 5.5 2c.667 0 1 -.333 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17c-.095 .667 .238 1 1 1c1.714 0 2.714 -2 3 -6c.286 -4 1.571 -6 3 -6c.571 0 .905 .333 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12c0 5.523 -4.477 10 -10 10s-10 -4.477 -10 -10s4.477 -10 10 -10a10 10 0 0 1 10 10z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTabler (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 4a4 4 0 0 1 4 -4h8a4 4 0 0 1 4 4v8a4 4 0 0 1 -4 4h-8a4 4 0 0 1 -4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTailwind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.667 6c-2.49 0 -4.044 1.222 -4.667 3.667c.933 -1.223 2.023 -1.68 3.267 -1.375c.71 .174 1.217 .68 1.778 1.24c.916 .912 2 1.968 4.288 1.968c2.49 0 4.044 -1.222 4.667 -3.667c-.933 1.223 -2.023 1.68 -3.267 1.375c-.71 -.174 -1.217 -.68 -1.778 -1.24c-.916 -.912 -1.975 -1.968 -4.288 -1.968zm-4 6.5c-2.49 0 -4.044 1.222 -4.667 3.667c.933 -1.223 2.023 -1.68 3.267 -1.375c.71 .174 1.217 .68 1.778 1.24c.916 .912 1.975 1.968 4.288 1.968c2.49 0 4.044 -1.222 4.667 -3.667c-.933 1.223 -2.023 1.68 -3.267 1.375c-.71 -.174 -1.217 -.68 -1.778 -1.24c-.916 -.912 -1.975 -1.968 -4.288 -1.968z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTaobao (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5c.968 .555 1.335 1.104 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 10c5.007 3.674 2.85 6.544 0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4c-.137 4.137 -2.258 5.286 -3.709 6.684\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6c2.194 -.8 3.736 -.852 6.056 -.993c4.206 -.158 5.523 2.264 5.803 5.153c.428 4.396 -.077 7.186 -2.117 9.298c-1.188 1.23 -3.238 2.62 -7.207 .259\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10v6.493\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15.512l.853 1.72\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 17c-1.145 .361 -7 3 -8.5 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.765 8.539l-1.765 2.461\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTeams (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h10v10h-10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.104 17c.47 2.274 2.483 4 4.896 4a5 5 0 0 0 5 -5v-7h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18a4 4 0 0 0 4 -4v-5h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.003 8.83a3 3 0 1 0 -1.833 -1.833\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.83 8.36a2.5 2.5 0 1 0 .594 -4.117\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v8h2a3 3 0 0 0 3 -3v-2a3 3 0 0 0 -3 -3h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTelegram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l-4 4l6 6l4 -16l-18 7l4 2l2 6l3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTerraform (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5l-11.476 -6.216a1 1 0 0 1 -.524 -.88v-4.054a1.35 1.35 0 0 1 2.03 -1.166l9.97 5.816v10.65a1.35 1.35 0 0 1 -2.03 1.166l-3.474 -2.027a1 1 0 0 1 -.496 -.863v-11.926\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5l5.504 -3.21a1 1 0 0 0 .496 -.864v-3.576a1.35 1.35 0 0 0 -2.03 -1.166l-3.97 2.316\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTether (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.08 20.188c-1.15 1.083 -3.02 1.083 -4.17 0l-6.93 -6.548c-.96 -.906 -1.27 -2.624 -.69 -3.831l2.4 -5.018c.47 -.991 1.72 -1.791 2.78 -1.791h9.06c1.06 0 2.31 .802 2.78 1.79l2.4 5.019c.58 1.207 .26 2.925 -.69 3.83c-3.453 3.293 -3.466 3.279 -6.94 6.549z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandThingiverse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8m-4 0v8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandThreads (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7.5c-1.333 -3 -3.667 -4.5 -7 -4.5c-5 0 -8 2.5 -8 9s3.5 9 8 9s7 -3 7 -5s-1 -5 -7 -5c-2.5 0 -3 1.25 -3 2.5c0 1.5 1 2.5 2.5 2.5c2.5 0 3.5 -1.5 3.5 -5s-2 -4 -3 -4s-1.833 .333 -2.5 1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandThreejs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22l-5 -19l19 5.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.573 17.58l-6.152 -1.576l8.796 -9.466l1.914 6.64\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.573 17.58l-1.573 -6.58l6.13 2.179\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.527 4.893l1.473 6.107l-6.31 -1.564z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTidal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.333 6l3.334 3.25l3.333 -3.25l3.333 3.25l3.334 -3.25l3.333 3.25l-3.333 3.25l-3.334 -3.25l-3.333 3.25l3.333 3.25l-3.333 3.25l-3.333 -3.25l3.333 -3.25l-3.333 -3.25l-3.334 3.25l-3.333 -3.25z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTiktok (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7.917v4.034a9.948 9.948 0 0 1 -5 -1.951v4.5a6.5 6.5 0 1 1 -8 -6.326v4.326a2.5 2.5 0 1 0 4 2v-11.5h4.083a6.005 6.005 0 0 0 4.917 4.917z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTinder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.918 8.174c2.56 4.982 .501 11.656 -5.38 12.626c-7.702 1.687 -12.84 -7.716 -7.054 -13.229c.309 -.305 1.161 -1.095 1.516 -1.349c0 .528 .27 3.475 1 3.167c3 0 4 -4.222 3.587 -7.389c2.7 1.411 4.987 3.376 6.331 6.174z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTopbuzz (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.417 8.655a.524 .524 0 0 1 -.405 -.622l.986 -4.617a.524 .524 0 0 1 .626 -.404l14.958 3.162c.285 .06 .467 .339 .406 .622l-.987 4.618a.524 .524 0 0 1 -.625 .404l-4.345 -.92c-.198 -.04 -.315 .024 -.353 .197l-2.028 9.49a.527 .527 0 0 1 -.625 .404l-4.642 -.982a.527 .527 0 0 1 -.406 -.622l2.028 -9.493c.037 -.17 -.031 -.274 -.204 -.31l-4.384 -.927z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTorchain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.588 15.537l-3.553 -3.537l-7.742 8.18c-.791 .85 .153 2.18 1.238 1.73l9.616 -4.096a1.398 1.398 0 0 0 .44 -2.277z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.412 8.464l3.553 3.536l7.742 -8.18c.791 -.85 -.153 -2.18 -1.238 -1.73l-9.616 4.098a1.398 1.398 0 0 0 -.44 2.277z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandToyota (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-10 0a10 7 0 1 0 20 0a10 7 0 1 0 -20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12c0 3.866 1.343 7 3 7s3 -3.134 3 -7s-1.343 -7 -3 -7s-3 3.134 -3 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.415 6.191c-.888 .503 -1.415 1.13 -1.415 1.809c0 1.657 3.134 3 7 3s7 -1.343 7 -3c0 -.678 -.525 -1.304 -1.41 -1.806\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTrello (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h3v10h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h3v6h-3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTripadvisor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 13.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 13.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 9a4.5 4.5 0 1 0 3.5 1.671l1 -1.671h-4.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 9a4.5 4.5 0 1 1 -3.5 1.671l-1 -1.671h4.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 15.5l1.5 2l1.5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6.75c2 -.667 4 -.667 6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTumblr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21h4v-4h-4v-6h4v-4h-4v-4h-4v1a3 3 0 0 1 -3 3h-1v4h4v6a4 4 0 0 0 4 4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTwilio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTwitch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5v11a1 1 0 0 0 1 1h2v4l4 -4h5.584c.266 0 .52 -.105 .707 -.293l2.415 -2.414c.187 -.188 .293 -.442 .293 -.708v-8.585a1 1 0 0 0 -1 -1h-14a1 1 0 0 0 -1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTwitter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 4.01c-1 .49 -1.98 .689 -3 .99c-1.121 -1.265 -2.783 -1.335 -4.38 -.737s-2.643 2.06 -2.62 3.737v1c-3.245 .083 -6.135 -1.395 -8 -4c0 0 -4.182 7.433 4 11c-1.872 1.247 -3.739 2.088 -6 2c3.308 1.803 6.913 2.423 10.034 1.517c3.58 -1.04 6.522 -3.723 7.651 -7.742a13.84 13.84 0 0 0 .497 -3.753c0 -.249 1.51 -2.772 1.818 -4.013z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandTypescript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17.5c.32 .32 .754 .5 1.207 .5h.543c.69 0 1.25 -.56 1.25 -1.25v-.25a1.5 1.5 0 0 0 -1.5 -1.5a1.5 1.5 0 0 1 -1.5 -1.5v-.25c0 -.69 .56 -1.25 1.25 -1.25h.543c.453 0 .887 .18 1.207 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19v-14a2 2 0 0 0 -2 -2h-14a2 2 0 0 0 -2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandUber (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandUbuntu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.723 7.41a7.992 7.992 0 0 0 -3.74 -2.162m-3.971 0a7.993 7.993 0 0 0 -3.789 2.216m-1.881 3.215a8 8 0 0 0 -.342 2.32c0 .738 .1 1.453 .287 2.132m1.96 3.428a7.993 7.993 0 0 0 3.759 2.19m4 0a7.993 7.993 0 0 0 3.747 -2.186m1.962 -3.43a8.008 8.008 0 0 0 .287 -2.131c0 -.764 -.107 -1.503 -.307 -2.203\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandUnity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l6 4v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17l-6 4l-6 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14v-7l6 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l8 5v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-8 5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandUnsplash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h5v4h6v-4h5v9h-16zm5 -7h6v4h-6z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandUpwork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v5a3 3 0 0 0 6 0v-5h1l4 6c.824 1.319 1.945 2 3.5 2a3.5 3.5 0 0 0 0 -7c-2.027 0 -3.137 1 -3.5 3c-.242 1.33 -.908 4 -2 8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandValorant (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 14h4.5l2 -2v-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19h5l-11 -13v6z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVercel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h18l-9 -15z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVimeo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8.5l1 1s1.5 -1.102 2 -.5c.509 .609 1.863 7.65 2.5 9c.556 1.184 1.978 2.89 4 1.5c2 -1.5 7.5 -5.5 8.5 -11.5c.444 -2.661 -1 -4 -2.5 -4c-2 0 -4.047 1.202 -4.5 4c2.05 -1.254 2.551 1 1.5 3c-1.052 2 -2 3 -2.5 3c-.49 0 -.924 -1.165 -1.5 -3.5c-.59 -2.42 -.5 -6.5 -3 -6.5s-5.5 4.5 -5.5 4.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVinted (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.028 6c0 7.695 -.292 11.728 0 12c2.046 -5 4.246 -12.642 5.252 -14.099c.343 -.497 .768 -.93 1.257 -1.277c.603 -.39 1.292 -.76 1.463 -.575c-.07 2.319 -4.023 15.822 -4.209 16.314a6.135 6.135 0 0 1 -3.465 3.386c-3.213 .78 -3.429 -.446 -3.836 -1.134c-.95 -2.103 -1.682 -14.26 -1.445 -15.615c.05 -.523 .143 -1.851 2.491 -2c2.359 -.354 2.547 1.404 2.492 3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVisa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15l-1 -6l-2.5 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l1 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h1v6h.5l2.5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9.5a.5 .5 0 0 0 -.5 -.5h-.75c-.721 0 -1.337 .521 -1.455 1.233l-.09 .534a1.059 1.059 0 0 0 1.045 1.233a1.059 1.059 0 0 1 1.045 1.233l-.09 .534a1.476 1.476 0 0 1 -1.455 1.233h-.75a.5 .5 0 0 1 -.5 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14h2.7\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVisualStudio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l2 -1l10 13l4 -2v-12l-4 -2l-10 13l-2 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVite (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4.5l6 -1.5l-2 6.5l2 -.5l-4 7v-5l-3 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6.5l7 -1.5l-10 17l-10 -17l7.741 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVivaldi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.648 6.808c-2.468 4.28 -4.937 8.56 -7.408 12.836c-.397 .777 -1.366 1.301 -2.24 1.356c-.962 .102 -1.7 -.402 -2.154 -1.254c-1.563 -2.684 -3.106 -5.374 -4.66 -8.064c-.943 -1.633 -1.891 -3.266 -2.83 -4.905a2.47 2.47 0 0 1 -.06 -2.45a2.493 2.493 0 0 1 2.085 -1.307c.951 -.065 1.85 .438 2.287 1.281c.697 1.19 2.043 3.83 2.55 4.682a3.919 3.919 0 0 0 3.282 2.017c2.126 .133 3.974 -.95 4.21 -3.058c0 -.164 .228 -3.178 .846 -3.962c.619 -.784 1.64 -1.155 2.606 -.893a2.484 2.484 0 0 1 1.814 2.062c.08 .581 -.041 1.171 -.343 1.674\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h-4a8 8 0 0 1 -8 -8v-5h4v5a4 4 0 0 0 4 4h0v-9h4v4.5l.03 0a4.531 4.531 0 0 0 3.97 -4.496h4l-.342 1.711a6.858 6.858 0 0 1 -3.658 4.789h0a5.34 5.34 0 0 1 3.566 4.111l.434 2.389h0h-4a4.531 4.531 0 0 0 -3.97 -4.496v4.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVlc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.79 4.337l3.101 9.305c.33 .985 -.113 2.07 -1.02 2.499a9.148 9.148 0 0 1 -7.742 0c-.907 -.428 -1.35 -1.514 -1.02 -2.499l3.1 -9.305c.267 -.8 .985 -1.337 1.791 -1.337c.807 0 1.525 .537 1.79 1.337z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h-1.429a2 2 0 0 0 -1.923 1.45l-.571 2a2 2 0 0 0 1.923 2.55h13.998a2 2 0 0 0 1.923 -2.55l-.572 -2a2 2 0 0 0 -1.923 -1.45h-1.426\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVolkswagen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l4.5 11l1.5 -5h2l1.5 5l4.5 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4l2 6h2l2 -6\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVsco (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12a5 5 0 1 0 -10 0a5 5 0 0 0 10 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 5.636l-2.828 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 18.364l-2.828 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 18.364l2.828 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636l2.828 2.828\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVscode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v18l4 -2.5v-13z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.165 13.903l-4.165 3.597l-2 -1l4.333 -4.5m1.735 -1.802l6.932 -7.198v5l-4.795 4.141\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16.5l-11 -10l-2 1l13 13.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandVue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 4l-4.5 8l-4.5 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4l9 16l9 -16\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWalmart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8.04v-5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 10l4.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 14l4.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15.96v5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 14l-4.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 10l-4.5 -2.505\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWaze (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.66 17.52a7 7 0 0 1 -3.66 -4.52c2 0 3 -1 3 -2.51c0 -3.92 2.25 -7.49 7.38 -7.49c4.62 0 7.62 3.51 7.62 8a8.08 8.08 0 0 1 -3.39 6.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18.69a17.29 17.29 0 0 0 3.33 .3h.54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWebflow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10s-1.376 3.606 -1.5 4c-.046 -.4 -1.5 -8 -1.5 -8c-2.627 0 -3.766 1.562 -4.5 3.5c0 0 -1.843 4.593 -2 5c-.013 -.368 -.5 -4.5 -.5 -4.5c-.15 -2.371 -2.211 -3.98 -4 -3.98l2 12.98c2.745 -.013 4.72 -1.562 5.5 -3.5c0 0 1.44 -4.3 1.5 -4.5c.013 .18 1 8 1 8c2.758 0 4.694 -1.626 5.5 -3.5l3.5 -9.5c-2.732 0 -4.253 2.055 -5 4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWechat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10c3.038 0 5.5 2.015 5.5 4.5c0 1.397 -.778 2.645 -2 3.47l0 2.03l-1.964 -1.178a6.649 6.649 0 0 1 -1.536 .178c-3.038 0 -5.5 -2.015 -5.5 -4.5s2.462 -4.5 5.5 -4.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.197 15.698c-.69 .196 -1.43 .302 -2.197 .302a8.008 8.008 0 0 1 -2.612 -.432l-2.388 1.432v-2.801c-1.237 -1.082 -2 -2.564 -2 -4.199c0 -3.314 3.134 -6 7 -6c3.782 0 6.863 2.57 7 5.785l0 .233\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWeibo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14.127c0 3.073 -3.502 5.873 -8 5.873c-4.126 0 -8 -2.224 -8 -5.565c0 -1.78 .984 -3.737 2.7 -5.567c2.362 -2.51 5.193 -3.687 6.551 -2.238c.415 .44 .752 1.39 .749 2.062c2 -1.615 4.308 .387 3.5 2.693c1.26 .557 2.5 .538 2.5 2.742z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h1a5 5 0 0 1 5 5v1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWhatsapp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l1.65 -3.8a9 9 0 1 1 3.4 2.9l-5.05 .9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10a.5 .5 0 0 0 1 0v-1a.5 .5 0 0 0 -1 0v1a5 5 0 0 0 5 5h1a.5 .5 0 0 0 0 -1h-1a.5 .5 0 0 0 0 1\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWikipedia (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4.984h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4.984h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 4.984h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 4.984h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4.984l5.455 14.516l6.545 -14.516\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4.984l6 14.516l6 -14.516\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWindows (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20l-12 -1.5c-1 -.1 -1.8 -.9 -1.8 -1.9v-9.2c0 -1 .8 -1.8 1.8 -1.9l12 -1.5c1.2 -.1 2.2 .8 2.2 1.9v12.1c0 1.2 -1.1 2.1 -2.2 1.9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWindy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4c0 5.5 -.33 16 4 16s7.546 -11.27 8 -13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4c.253 5.44 1.449 16 5.894 16c4.444 0 8.42 -10.036 9.106 -14\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 6l5.981 2.392l-.639 6.037c-.18 .893 .06 1.819 .65 2.514a3 3 0 0 0 2.381 1.057a4.328 4.328 0 0 0 4.132 -3.57c-.18 .893 .06 1.819 .65 2.514a3 3 0 0 0 2.38 1.056a4.328 4.328 0 0 0 4.132 -3.57l.333 -4.633\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.504 14.429l.334 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWix (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l1.5 6l1.379 -5.515a.64 .64 0 0 1 1.242 0l1.379 5.515l1.5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11.5v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l5 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9l-5 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h.01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandWordpress (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 9h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9l3 11l4 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 9l3.5 11l3 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11c.177 -.528 1 -1.364 1 -2.5c0 -1.78 -.776 -2.5 -1.875 -2.5c-.898 0 -1.125 .812 -1.125 1.429c0 1.83 2 2.058 2 3.571z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrandX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l11.733 16h4.267l-11.733 -16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l6.768 -6.768m2.46 -2.46l6.772 -6.772\"},\"child\":[]}]})(props);\n};\nexport function TbBrandXamarin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.958 21h-7.917a2 2 0 0 1 -1.732 -1l-4.041 -7a2 2 0 0 1 0 -2l4.041 -7a2 2 0 0 1 1.732 -1h7.917a2 2 0 0 1 1.732 1l4.042 7a2 2 0 0 1 0 2l-4.041 7a2 2 0 0 1 -1.733 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l-6 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16l6 -8\"},\"child\":[]}]})(props);\n};\nexport function TbBrandXbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 5c7.72 2.266 10.037 7.597 12.5 12.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 5c-7.72 2.266 -10.037 7.597 -12.5 12.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandXdeep (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.401 8.398l1.599 -2.398h5l-4 6l4 6h-5l-8 -12h-5l4 6l-4 6h5l1.596 -2.393\"},\"child\":[]}]})(props);\n};\nexport function TbBrandXing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-4 -7l6.5 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l2 3.5l-3 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYahoo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 6l5.5 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l6 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 8l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYandex (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v-16h-2a4 4 0 0 0 -4 4v1a4 4 0 0 0 4 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20l3 -7\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYarn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.845 19.308c-1.268 .814 -2.41 1.254 -3.845 1.692c-.176 .21 -.645 .544 -.912 .588a42.469 42.469 0 0 1 -4.498 .412c-.812 .006 -1.31 -.214 -1.447 -.554c-.115 -.279 .336 -2.054 .298 -1.964c-.157 .392 -.575 1.287 -.997 1.72c-.579 .6 -1.674 .4 -2.322 .051c-.71 -.386 -.07 -1.28 -.346 -1.267c-.276 .014 -.776 -1.486 -.776 -2.236c0 -.828 .622 -1.674 1.235 -2.211a6.811 6.811 0 0 1 .46 -3.143a7.414 7.414 0 0 1 2.208 -2.615s-1.353 -1.534 -.849 -2.912c.328 -.902 .46 -.895 .567 -.935c.38 -.12 .727 -.33 1.013 -.612c.78 -.88 1.96 -1.438 3.116 -1.322c0 0 .781 -2.43 1.533 -1.936c.415 .653 .671 1.218 .967 1.936c0 0 1.15 -.7 1.25 -.5c.514 1.398 .487 3.204 .211 4.67c-.324 1.408 -.84 2.691 -1.711 3.83c-.094 .16 .98 .705 1.722 2.812c.686 1.928 .278 2.438 .278 2.688s.716 .144 2.296 -.855a5.848 5.848 0 0 1 2.984 -1.145c.735 -.066 .988 -.035 1.22 1c.232 1.035 -.346 1.406 -.744 1.506c0 0 -2.09 .675 -2.911 1.302z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYatse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3l5 2.876v5.088l4.197 -2.73l4.803 2.731l-9.281 5.478l-2.383 1.41l-2.334 1.377l-3 1.77v-5.565l3 -1.771z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYcombinator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l4 6l4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYoutubeKids (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.608 17.75l-3.9 .268h-.027a13.83 13.83 0 0 0 -3.722 .828l-2.511 .908a4.111 4.111 0 0 1 -3.287 -.216a3.82 3.82 0 0 1 -1.98 -2.527l-1.376 -6.05a3.669 3.669 0 0 1 .536 -2.86a3.964 3.964 0 0 1 2.489 -1.661l11.25 -2.354c2.137 -.448 4.247 .85 4.713 2.9l1.403 6.162a3.677 3.677 0 0 1 -.697 3.086a4.007 4.007 0 0 1 -2.89 1.512v.002z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l1.208 5l4.292 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandYoutube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 8a4 4 0 0 1 4 -4h12a4 4 0 0 1 4 4v8a4 4 0 0 1 -4 4h-12a4 4 0 0 1 -4 -4v-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l5 3l-5 3z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandZalando (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.531 21c-.65 0 -1 -.15 -1.196 -.27c-.266 -.157 -.753 -.563 -1.197 -1.747a20.583 20.583 0 0 1 -1.137 -6.983c.015 -2.745 .436 -5.07 1.137 -6.975c.444 -1.2 .93 -1.605 1.197 -1.763c.192 -.103 .545 -.262 1.195 -.262c.244 0 .532 .022 .871 .075a19.093 19.093 0 0 1 6.425 2.475h.007a19.572 19.572 0 0 1 5.287 4.508c.783 .99 .879 1.627 .879 1.942c0 .315 -.096 .953 -.879 1.943a19.571 19.571 0 0 1 -5.287 4.5h-.007a19.041 19.041 0 0 1 -6.425 2.474a5.01 5.01 0 0 1 -.871 .083z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandZapier (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636l4.243 4.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 18.364l-4.243 -4.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 5.636l-4.243 4.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.879 14.121l-4.243 4.243\"},\"child\":[]}]})(props);\n};\nexport function TbBrandZeit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18l-9 -16z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandZhihu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6h6v12h-2l-2 2l-1 -2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 6h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4c-.5 2.5 -1.5 3.5 -2.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6v7c0 4.5 -2 5.5 -4 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18l-3 -5\"},\"child\":[]}]})(props);\n};\nexport function TbBrandZoom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.011 9.385v5.128l3.989 3.487v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.887 6h10.08c1.468 0 3.033 1.203 3.033 2.803v8.196a.991 .991 0 0 1 -.975 1h-10.373c-1.667 0 -2.652 -1.5 -2.652 -3l.01 -8a.882 .882 0 0 1 .208 -.71a.841 .841 0 0 1 .67 -.287z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandZulip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 3h11c1.325 0 2.5 1 2.5 2.5c0 2 -1.705 3.264 -2 3.5l-4.5 4l2 -5h-9a2.5 2.5 0 0 1 0 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 21h-11c-1.325 0 -2.5 -1 -2.5 -2.5c0 -2 1.705 -3.264 2 -3.5l4.5 -4l-2 5h9a2.5 2.5 0 1 1 0 5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrandZwift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 4c-1.465 0 -2.5 1.101 -2.5 2.5s1.035 2.5 2.5 2.5h2.5l-4.637 7.19a2.434 2.434 0 0 0 -.011 2.538c.473 .787 1.35 1.272 2.3 1.272h10.848c1.465 0 2.5 -1.101 2.5 -2.5s-1.035 -2.5 -2.5 -2.5h-2.5l7 -11h-15.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBreadOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l10 .005v-.005a3 3 0 0 1 2 5.235v6.765m-.59 3.418c-.36 .36 -.86 .582 -1.41 .582h-12a2 2 0 0 1 -2 -2v-8.764a3 3 0 0 1 .418 -4.785\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBread (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 2 5.235v8.765a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-8.764a3 3 0 0 1 1.824 -5.231h12.176v-.005z\"},\"child\":[]}]})(props);\n};\nexport function TbBriefcase2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7v-2a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2v2\"},\"child\":[]}]})(props);\n};\nexport function TbBriefcaseOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h8a2 2 0 0 1 2 2v8m-1.166 2.818a1.993 1.993 0 0 1 -.834 .182h-14a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.185 4.158a2 2 0 0 1 1.815 -1.158h4a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13a20 20 0 0 0 11.905 1.928m3.263 -.763a20 20 0 0 0 2.832 -1.165\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBriefcase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7v-2a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13a20 20 0 0 0 18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrightness2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h3.5l2.5 -2.5l2.5 2.5h3.5v3.5l2.5 2.5l-2.5 2.5v3.5h-3.5l-2.5 2.5l-2.5 -2.5h-3.5v-3.5l-2.5 -2.5l2.5 -2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessAuto (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h3.5l2.5 -2.5l2.5 2.5h3.5v3.5l2.5 2.5l-2.5 2.5v3.5h-3.5l-2.5 2.5l-2.5 -2.5h-3.5v-3.5l-2.5 -2.5l2.5 -2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14.5v-3.5a2 2 0 1 1 4 0v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a3 3 0 0 0 0 6v-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h3.5l2.5 -2.5l2.5 2.5h3.5v3.5l2.5 2.5l-2.5 2.5v3.5h-3.5l-2.5 2.5l-2.5 -2.5h-3.5v-3.5l-2.5 -2.5l2.5 -2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m0 4v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.641 5.631a9 9 0 1 0 12.719 12.738m1.68 -2.318a9 9 0 0 0 -12.074 -12.098\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 8.5l4.15 -4.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l1.025 -.983m2.065 -1.981l4.28 -4.106\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19.6l3.79 -3.79m2 -2l3.054 -3.054\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBrightnessUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l1.4 -1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l1.4 1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l-1.4 1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l-2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l-1.4 -1.4\"},\"child\":[]}]})(props);\n};\nexport function TbBrightness (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l4.65 -4.65\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14.3l7.37 -7.37\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19.6l8.85 -8.85\"},\"child\":[]}]})(props);\n};\nexport function TbBroadcastOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 19.364a9 9 0 0 0 -9.721 -14.717m-2.488 1.509a9 9 0 0 0 -.519 13.208\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 16.536a5 5 0 0 0 -3.536 -8.536m-3 1a5 5 0 0 0 -.535 7.536\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a1 1 0 1 0 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBroadcast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 19.364a9 9 0 1 0 -12.728 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 16.536a5 5 0 1 0 -7.072 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbBrowserCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5l1.5 1.5l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbBrowserOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h11a1 1 0 0 1 1 1v11m-.288 3.702a1 1 0 0 1 -.712 .298h-14a1 1 0 0 1 -1 -1v-14c0 -.276 .112 -.526 .293 -.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h4m4 0h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBrowserPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v4\"},\"child\":[]}]})(props);\n};\nexport function TbBrowserX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbBrowser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbBrushOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a4 4 0 1 1 4 4h-4v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3a16 16 0 0 0 -9.309 4.704m-1.795 2.212a15.993 15.993 0 0 0 -1.696 3.284\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3a16 16 0 0 1 -4.697 9.302m-2.195 1.786a15.993 15.993 0 0 1 -3.308 1.712\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBrush (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-4a4 4 0 1 1 4 4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3a16 16 0 0 0 -12.8 10.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3a16 16 0 0 1 -10.2 12.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.6 9a9 9 0 0 1 4.4 4.4\"},\"child\":[]}]})(props);\n};\nexport function TbBubbleMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.4 19a4.2 4.2 0 0 1 -1.57 -.298l-3.83 2.298v-3.134a2.668 2.668 0 0 1 -1.795 -3.773a4.8 4.8 0 0 1 2.908 -8.933a5.335 5.335 0 0 1 9.194 1.078a5.333 5.333 0 0 1 3.404 8.771\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbBubblePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.4 19a4.2 4.2 0 0 1 -1.57 -.298l-3.83 2.298v-3.134a2.668 2.668 0 0 1 -1.795 -3.773a4.8 4.8 0 0 1 2.908 -8.933a5.335 5.335 0 0 1 9.194 1.078a5.333 5.333 0 0 1 4.45 6.89\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbBubbleText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.4 3a5.34 5.34 0 0 1 4.906 3.239a5.333 5.333 0 0 1 -1.195 10.6a4.26 4.26 0 0 1 -5.28 1.863l-3.831 2.298v-3.134a2.668 2.668 0 0 1 -1.795 -3.773a4.8 4.8 0 0 1 2.908 -8.933a5.33 5.33 0 0 1 4.287 -2.16\"},\"child\":[]}]})(props);\n};\nexport function TbBubbleX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 18.75c-.345 .09 -.727 .25 -1.1 .25a4.3 4.3 0 0 1 -1.57 -.298l-3.83 2.298v-3.134a2.668 2.668 0 0 1 -1.795 -3.773a4.8 4.8 0 0 1 2.908 -8.933a5.335 5.335 0 0 1 9.194 1.078a5.333 5.333 0 0 1 4.484 6.778\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbBubble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.4 3a5.34 5.34 0 0 1 4.906 3.239a5.333 5.333 0 0 1 -1.195 10.6a4.26 4.26 0 0 1 -5.28 1.863l-3.831 2.298v-3.134a2.668 2.668 0 0 1 -1.795 -3.773a4.8 4.8 0 0 1 2.908 -8.933a5.33 5.33 0 0 1 4.287 -2.16\"},\"child\":[]}]})(props);\n};\nexport function TbBucketDroplet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16l1.465 1.638a2 2 0 1 1 -3.015 .099l1.55 -1.737z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.737 9.737c2.299 -2.3 3.23 -5.095 2.081 -6.245c-1.15 -1.15 -3.945 -.217 -6.244 2.082c-2.3 2.299 -3.231 5.095 -2.082 6.244c1.15 1.15 3.946 .218 6.245 -2.081z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.492 11.818c.362 .362 .768 .676 1.208 .934l6.895 4.047c1.078 .557 2.255 -.075 3.692 -1.512c1.437 -1.437 2.07 -2.614 1.512 -3.692c-.372 -.718 -1.72 -3.017 -4.047 -6.895a6.015 6.015 0 0 0 -.934 -1.208\"},\"child\":[]}]})(props);\n};\nexport function TbBucketOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.029 5.036c-.655 .58 -1.029 1.25 -1.029 1.964c0 2.033 3.033 3.712 6.96 3.967m3.788 -.21c3.064 -.559 5.252 -2.029 5.252 -3.757c0 -2.21 -3.582 -4 -8 -4c-1.605 0 -3.1 .236 -4.352 .643\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7c0 .664 .088 1.324 .263 1.965l2.737 10.035c.5 1.5 2.239 2 5 2s4.5 -.5 5 -2c.1 -.3 .252 -.812 .457 -1.535m.862 -3.146c.262 -.975 .735 -2.76 1.418 -5.354a7.45 7.45 0 0 0 .263 -1.965\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBucket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7m-8 0a8 4 0 1 0 16 0a8 4 0 1 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7c0 .664 .088 1.324 .263 1.965l2.737 10.035c.5 1.5 2.239 2 5 2s4.5 -.5 5 -2c.333 -1 1.246 -4.345 2.737 -10.035a7.45 7.45 0 0 0 .263 -1.965\"},\"child\":[]}]})(props);\n};\nexport function TbBugOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.884 5.873a3 3 0 0 1 5.116 2.127v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h3a6 6 0 0 1 1 3v1m-.298 3.705a5 5 0 0 1 -9.702 -1.705v-3a6 6 0 0 1 1 -3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l3.35 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l3.75 2.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-3.75 2.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v-1a3 3 0 0 1 6 0v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8a6 6 0 0 1 1 3v3a5 5 0 0 1 -10 0v-3a6 6 0 0 1 1 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l3.35 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19l-3.35 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l3.75 2.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-3.75 2.4\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingArch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21v-15a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-8a3 3 0 0 1 6 0v8\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingBank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6l7 -3l7 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l0 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10l0 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l0 3\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingBridge2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7h12a2 2 0 0 1 2 2v9a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a4 4 0 0 0 -8 0v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-9a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingBridge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15l20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8a7.5 7.5 0 0 0 3 -2a6.5 6.5 0 0 0 12 0a7.5 7.5 0 0 0 3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l0 5\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingBroadcastTower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.616 13.924a5 5 0 1 0 -9.23 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.307 15.469a9 9 0 1 0 -16.615 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21l3 -9l3 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h4\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingCarousel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 22l4 -10l4 10\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingCastle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19v-2a3 3 0 0 0 -6 0v2a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-14h4v3h3v-3h4v3h3v-3h4v14a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11l18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingChurch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-4a2 2 0 0 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-7m-2 2l8 -8l8 8m-2 -2v7\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingCircus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6.5c0 1 -5 4.5 -8 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6.5c0 1 5 4.5 8 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11c-.333 5.333 -1 8.667 -2 10h4c1 0 4 -4 4 -9v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11c.333 5.333 1 8.667 2 10h-4c-1 0 -4 -4 -4 -9v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v-4l2 1h-2\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingCommunity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l5 5v7h-5v-4m0 4h-5v-7l5 -5m1 1v-6a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v17h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingCottage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21v-11l2.5 -4.5l5.5 -2.5l5.5 2.5l2.5 4.5v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-5a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v5\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingEstate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17a2 2 0 0 0 2 -2v-2a2 2 0 1 0 -4 0v2a2 2 0 0 0 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-14a3 3 0 0 0 -3 -3h-4a3 3 0 0 0 -3 3v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingFactory2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-12l5 4v-4l5 4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v-8l-1.436 -9.574a.5 .5 0 0 0 -.495 -.426h-1.145a.5 .5 0 0 0 -.494 .418l-1.43 8.582\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h1\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingFactory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21c1.147 -4.02 1.983 -8.027 2 -12h6c.017 3.973 .853 7.98 2 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 13h4.5c.025 2.612 .894 5.296 2 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l19 0\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingFortress (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h1a1 1 0 0 0 1 -1v-1h0a3 3 0 0 1 6 0m3 2h1a1 1 0 0 0 1 -1v-15l-3 -2l-3 2v6h-4v-6l-3 -2l-3 2v15a1 1 0 0 0 1 1h2m8 -2v1a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h0v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h0v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h0v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7h0v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10h0v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h0v.01\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingHospital (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-4a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingLighthouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l2 3l2 15h-8l2 -15z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11l-2 -2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingMonument (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18l2 -13l2 -2l2 2l2 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-3h14v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingMosque (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h7v-2a2 2 0 1 1 4 0v2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h3v-3h10v3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13a5 5 0 0 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10.5c0 -.329 -.077 -.653 -.224 -.947l-.776 -1.553l-.776 1.553a2.118 2.118 0 0 0 -.224 .947a.5 .5 0 0 0 .5 .5h1a.5 .5 0 0 0 .5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10.5c0 -.329 -.077 -.653 -.224 -.947l-.776 -1.553l-.776 1.553a2.118 2.118 0 0 0 -.224 .947a.5 .5 0 0 0 .5 .5h1a.5 .5 0 0 0 .5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a2 2 0 1 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v2\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingPavilion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h7v-3a2 2 0 0 1 4 0v3h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21l0 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21l0 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h12a3 3 0 0 0 3 -3a9 8 0 0 1 -9 -6a9 8 0 0 1 -9 6a3 3 0 0 0 3 3\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingSkyscraper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-14l8 -4v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v-10l-6 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingStadium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-8 0a8 2 0 1 0 16 0a8 2 0 1 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v7c0 .94 2.51 1.785 6 2v-3h4v3c3.435 -.225 6 -1.07 6 -2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6h4v-3h-4v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6h4v-3h-4v7\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingStore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v1a3 3 0 0 0 6 0v-1m0 1a3 3 0 0 0 6 0v-1m0 1a3 3 0 0 0 6 0v-1h-18l2 -4h14l2 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21l0 -10.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21l0 -10.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-4a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v4\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingTunnel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14a2 2 0 0 0 2 -2v-7a9 9 0 0 0 -18 0v7a2 2 0 0 0 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21v-9a4 4 0 1 1 8 0v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l3 -3l-3 3z\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingWarehouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-13l9 -4l9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13h4v8h-10v-6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21v-9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v3\"},\"child\":[]}]})(props);\n};\nexport function TbBuildingWindTurbine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v-2.573c0 -.18 .013 -.358 .04 -.536l.716 -4.828c.064 -.597 .597 -1.063 1.244 -1.063s1.18 .466 1.244 1.063l.716 4.828c.027 .178 .04 .357 .04 .536v2.573\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.01 9.28l2.235 1.276c.156 .09 .305 .19 .446 .3l3.836 2.911c.487 .352 .624 1.04 .3 1.596c-.325 .556 -1 .782 -1.548 .541l-4.555 -1.68a3.624 3.624 0 0 1 -.486 -.231l-2.235 -1.277\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12.716l-2.236 1.277a3.624 3.624 0 0 1 -.485 .23l-4.555 1.681c-.551 .241 -1.223 .015 -1.548 -.54c-.324 -.557 -.187 -1.245 .3 -1.597l3.836 -2.91a3.41 3.41 0 0 1 .446 -.3l2.235 -1.277\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21l1 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l1 7\"},\"child\":[]}]})(props);\n};\nexport function TbBuilding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16\"},\"child\":[]}]})(props);\n};\nexport function TbBulbOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1m8 -9v1m8 8h1m-15.4 -6.4l.7 .7m12.1 -.7l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.089 7.083a5 5 0 0 1 5.826 5.84m-1.378 2.611a5.012 5.012 0 0 1 -.537 .466a3.5 3.5 0 0 0 -1 3a2 2 0 1 1 -4 0a3.5 3.5 0 0 0 -1 -3a5 5 0 0 1 -.528 -7.544\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.7 17h4.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBulb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1m8 -9v1m8 8h1m-15.4 -6.4l.7 .7m12.1 -.7l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16a5 5 0 1 1 6 0a3.5 3.5 0 0 0 -1 3a2 2 0 0 1 -4 0a3.5 3.5 0 0 0 -1 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.7 17l4.6 0\"},\"child\":[]}]})(props);\n};\nexport function TbBulldozer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13v4a2 2 0 0 0 2 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5h2a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v-3a1 1 0 0 1 1 -1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17h-3\"},\"child\":[]}]})(props);\n};\nexport function TbBurger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h16a4 4 0 0 1 -4 4h-8a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c3.783 0 6.953 2.133 7.786 5h-15.572c.833 -2.867 4.003 -5 7.786 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]}]})(props);\n};\nexport function TbBusOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.18 16.172a2 2 0 0 0 2.652 2.648\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h-2v-11a1 1 0 0 1 1 -1h2m4 0h8c2.761 0 5 3.134 5 7v5h-1m-5 0h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l1.5 7h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 10h8m4 0h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbBusStop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5h7c2.761 0 5 3.134 5 7v5h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l1.5 7h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 10h7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9v11\"},\"child\":[]}]})(props);\n};\nexport function TbBus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h-2v-11a1 1 0 0 1 1 -1h14a5 7 0 0 1 5 7v5h-2m-4 0h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l1.5 7l4.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 10l15 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5l0 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 5\"},\"child\":[]}]})(props);\n};\nexport function TbBusinessplan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6m-5 0a5 3 0 1 0 10 0a5 3 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6v4c0 1.657 2.239 3 5 3s5 -1.343 5 -3v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10v4c0 1.657 2.239 3 5 3s5 -1.343 5 -3v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14v4c0 1.657 2.239 3 5 3s5 -1.343 5 -3v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbButterfly (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.176a3 3 0 1 1 -4.953 -2.449l-.025 .023a4.502 4.502 0 0 1 1.483 -8.75c1.414 0 2.675 .652 3.5 1.671a4.5 4.5 0 1 1 4.983 7.079a3 3 0 1 1 -4.983 2.25z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l3 2l3 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCactusOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9v1a3 3 0 0 0 3 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v5a3 3 0 0 1 -.129 .872m-2.014 2a3 3 0 0 1 -.857 .124h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-11m0 -4v-1a2 2 0 1 1 4 0v5m0 4v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCactus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9v1a3 3 0 0 0 3 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v5a3 3 0 0 1 -3 3h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-16a2 2 0 1 1 4 0v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]}]})(props);\n};\nexport function TbCakeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v-5a3 3 0 0 0 -3 -3h-5m-4 0h-3a3 3 0 0 0 -3 3v8h17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14.803c.312 .135 .654 .204 1 .197a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1m4 0a2.4 2.4 0 0 0 2 1c.35 .007 .692 -.062 1 -.197\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.172 6.188c.07 -.158 .163 -.31 .278 -.451l1.55 -1.737l1.465 1.638a2 2 0 0 1 -.65 3.19\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18v-8a3 3 0 0 0 -3 -3h-12a3 3 0 0 0 -3 3v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14.803c.312 .135 .654 .204 1 .197a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1c.35 .007 .692 -.062 1 -.197\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l1.465 1.638a2 2 0 1 1 -3.015 .099l1.55 -1.737z\"},\"child\":[]}]})(props);\n};\nexport function TbCalculatorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.823 19.824a2 2 0 0 1 -1.823 1.176h-12a2 2 0 0 1 -2 -2v-14c0 -.295 .064 -.575 .178 -.827m2.822 -1.173h11a2 2 0 0 1 2 2v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h-1a1 1 0 0 1 -1 -1v-1m3 -1h4a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCalculator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h-7.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarClock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 21h-4.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16.5v1.5l.5 .5\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h12.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarDue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarEvent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h2v2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarMonth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14h.013\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.01 14h.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.01 14h.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.015 14h.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.015 17h.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.01 17h.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.01 17h.005\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h9a2 2 0 0 1 2 2v9m-.184 3.839a2 2 0 0 1 -1.816 1.161h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 1.158 -1.815\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h7m4 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarRepeat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14l2 2h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16a3 3 0 1 0 2 5.236\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarSad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12zm12 -4v4m-8 -4v4m-4 4h16m-9.995 3h.01m3.99 0h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18a3.5 3.5 0 0 1 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12zm12 -4v4m-8 -4v4m-4 4h16m-9.995 3h.01m3.99 0h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.005 17a3.5 3.5 0 0 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h-5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarStats (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.795 21h-6.795a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h16\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarTime (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.795 21h-6.795a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16.496v1.504l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarUser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22a2 2 0 0 0 -2 -2h-2a2 2 0 0 0 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarWeek (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v4\"},\"child\":[]}]})(props);\n};\nexport function TbCalendarX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbCalendar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v3\"},\"child\":[]}]})(props);\n};\nexport function TbCameraBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-8a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbCameraCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.984 13.307a3 3 0 1 0 -2.32 2.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCameraCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-6a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCameraCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-6a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.948 13.559a3 3 0 1 0 -2.58 2.419\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbCameraCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.973 13.406a3 3 0 1 0 -2.973 2.594\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbCameraDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-8a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.935 12.375a3.001 3.001 0 1 0 -1.902 3.442\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbCameraDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbCameraExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-10a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbCameraHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20h-5.5a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.41 11.212a3 3 0 1 0 -4.15 4.231\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbCameraMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCameraOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.297 4.289a.997 .997 0 0 1 .703 -.289h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v8m-1.187 2.828c-.249 .11 -.524 .172 -.813 .172h-14a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1c.298 0 .58 -.065 .834 -.181\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.422 10.448a3 3 0 1 0 4.15 4.098\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCameraPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-8a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.958 13.506a3 3 0 1 0 -1.735 2.235\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbCameraPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20h-7.5a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.933 12.366a3.001 3.001 0 1 0 -2.933 3.634\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbCameraPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCameraQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-10a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.975 12.612a3 3 0 1 0 -1.507 3.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbCameraRotate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.245 15.904a3 3 0 0 0 3.755 -2.904m-2.25 -2.905a3 3 0 0 0 -3.75 2.905\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h-2v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCameraSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 20h-6.5a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.757 11.815a3 3 0 1 0 -3.431 4.109\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbCameraSelfie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbCameraShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20h-7.5a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.98 13.347a3 3 0 1 0 -2.39 2.595\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbCameraStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20h-5.5a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.569 11.45a3 3 0 1 0 -4.518 3.83\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbCameraUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16a3 3 0 1 0 0 -6a3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbCameraX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 20h-8.5a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbCamera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7h1a2 2 0 0 0 2 -2a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1a2 2 0 0 0 2 2h1a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCamper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h-1a1 1 0 0 1 -1 -1v-11a2 2 0 0 1 2 -2h12a4 4 0 0 1 4 4h-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18h1a1 1 0 0 0 1 -1v-4l-3 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v10\"},\"child\":[]}]})(props);\n};\nexport function TbCampfire (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21l16 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l-16 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15a4 4 0 0 0 4 -4c0 -3 -2 -3 -2 -8c-4 2 -6 5 -6 8a4 4 0 0 0 4 4z\"},\"child\":[]}]})(props);\n};\nexport function TbCandle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h6v-9a1 1 0 0 0 -1 -1h-4a1 1 0 0 0 -1 1v9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l1.465 1.638a2 2 0 1 1 -3.015 .099l1.55 -1.737z\"},\"child\":[]}]})(props);\n};\nexport function TbCandyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.174 7.17l.119 -.12a2 2 0 0 1 2.828 0l2.829 2.83a2 2 0 0 1 0 2.828l-.124 .124m-2 2l-2.123 2.123a2 2 0 0 1 -2.828 0l-2.829 -2.831a2 2 0 0 1 0 -2.828l2.113 -2.112\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.243 9.172l3.086 -.772a1.5 1.5 0 0 0 .697 -2.516l-2.216 -2.217a1.5 1.5 0 0 0 -2.44 .47l-1.248 2.913\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 16.243l-.772 3.086a1.5 1.5 0 0 1 -2.516 .697l-2.217 -2.216a1.5 1.5 0 0 1 .47 -2.44l2.913 -1.248\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCandy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.05 11.293l4.243 -4.243a2 2 0 0 1 2.828 0l2.829 2.83a2 2 0 0 1 0 2.828l-4.243 4.243a2 2 0 0 1 -2.828 0l-2.829 -2.831a2 2 0 0 1 0 -2.828z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.243 9.172l3.086 -.772a1.5 1.5 0 0 0 .697 -2.516l-2.216 -2.217a1.5 1.5 0 0 0 -2.44 .47l-1.248 2.913\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 16.243l-.772 3.086a1.5 1.5 0 0 1 -2.516 .697l-2.217 -2.216a1.5 1.5 0 0 1 .47 -2.44l2.913 -1.248\"},\"child\":[]}]})(props);\n};\nexport function TbCane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21l6.324 -11.69c.54 -.974 1.756 -4.104 -1.499 -5.762c-3.255 -1.657 -5.175 .863 -5.825 2.032\"},\"child\":[]}]})(props);\n};\nexport function TbCannabis (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20s0 -2 1 -3.5c-1.5 0 -2 -.5 -4 -1.5c0 0 1.839 -1.38 5 -1c-1.789 -.97 -3.279 -2.03 -5 -6c0 0 3.98 -.3 6.5 3.5c-2.284 -4.9 1.5 -9.5 1.5 -9.5c2.734 5.47 2.389 7.5 1.5 9.5c2.531 -3.77 6.5 -3.5 6.5 -3.5c-1.721 3.97 -3.211 5.03 -5 6c3.161 -.38 5 1 5 1c-2 1 -2.5 1.5 -4 1.5c1 1.5 1 3.5 1 3.5c-2 0 -4.438 -2.22 -5 -3c-.563 .78 -3 3 -5 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-5\"},\"child\":[]}]})(props);\n};\nexport function TbCapsuleHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 6a6 6 0 0 1 6 -6h6a6 6 0 0 1 6 6v0a6 6 0 0 1 -6 6h-6a6 6 0 0 1 -6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbCapsule (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3m0 6a6 6 0 0 1 6 -6h0a6 6 0 0 1 6 6v6a6 6 0 0 1 -6 6h0a6 6 0 0 1 -6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbCaptureOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2c.554 0 1.055 -.225 1.417 -.589\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.87 9.887a3 3 0 0 0 4.255 4.23m.58 -3.416a3.012 3.012 0 0 0 -1.4 -1.403\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2c0 -.548 .22 -1.044 .577 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCapture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCar4Wd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h0a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h0a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15m0 2a2 2 0 0 1 2 -2h0a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h0a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3m0 2a2 2 0 0 1 2 -2h0a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h0a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m0 2a2 2 0 0 1 2 -2h0a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h0a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6.5v-.5v12\"},\"child\":[]}]})(props);\n};\nexport function TbCarCrane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h8m4 0h2v-6a5 5 0 0 0 -5 -5h-1l1.5 5h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-11h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17v-5h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-6l18 -3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v-4l-4 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCarCrash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6l4 5h1a2 2 0 0 1 2 2v4h-2m-4 0h-5m0 -6h8m-6 0v-5m2 0h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 15.5l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 8.5l1.5 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbCarFan1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-9l4.912 1.914a1.7 1.7 0 0 1 .428 2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.424 15.03l-2.424 -3.03h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-9l1.914 -4.912a1.7 1.7 0 0 1 2.925 -.428z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9l-4.912 -1.914a1.7 1.7 0 0 1 -.428 -2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17l2 -2v6\"},\"child\":[]}]})(props);\n};\nexport function TbCarFan2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-9l4.912 1.914a1.7 1.7 0 0 1 .428 2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.044 14.624l-2.044 -2.624h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-9l1.914 -4.912a1.7 1.7 0 0 1 2.925 -.428z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9l-4.912 -1.914a1.7 1.7 0 0 1 -.428 -2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]}]})(props);\n};\nexport function TbCarFan3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-9l4.912 1.914a1.7 1.7 0 0 1 .428 2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.044 14.624l-2.044 -2.624h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-9l1.914 -4.912a1.7 1.7 0 0 1 2.925 -.428z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9l-4.912 -1.914a1.7 1.7 0 0 1 -.428 -2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15.5a.5 .5 0 0 1 .5 -.5h1a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1a.5 .5 0 0 1 -.5 -.5\"},\"child\":[]}]})(props);\n};\nexport function TbCarFanAuto (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-9l4.912 1.914a1.7 1.7 0 0 1 .428 2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.044 14.624l-2.044 -2.624h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-9l1.914 -4.912a1.7 1.7 0 0 1 2.925 -.428z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9l-4.912 -1.914a1.7 1.7 0 0 1 -.428 -2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19h4\"},\"child\":[]}]})(props);\n};\nexport function TbCarFan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-9l4.912 1.914a1.7 1.7 0 0 1 .428 2.925z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h9l-1.914 4.912a1.7 1.7 0 0 1 -2.925 .428z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-9l1.914 -4.912a1.7 1.7 0 0 1 2.925 -.428z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9l-4.912 -1.914a1.7 1.7 0 0 1 -.428 -2.925z\"},\"child\":[]}]})(props);\n};\nexport function TbCarGarage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20h-2v-6l2 -5h9l4 5h1a2 2 0 0 1 2 2v4h-2m-4 0h-6m-6 -6h15m-6 0v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l9 -4l9 4\"},\"child\":[]}]})(props);\n};\nexport function TbCarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.584 15.588a2 2 0 0 0 2.828 2.83\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h-2v-6l2 -5h1m4 0h4l4 5h1a2 2 0 0 1 2 2v4m-6 0h-6m-6 -6h8m4 0h3m-6 -3v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCarSuv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9l2 -4h7.438a2 2 0 0 1 1.94 1.515l.622 2.485h3a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.001 14.001a4.992 4.992 0 0 0 -4.001 -2.001a4.992 4.992 0 0 0 -4 2h-3a4.998 4.998 0 0 0 -8.003 .003\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-3h13\"},\"child\":[]}]})(props);\n};\nexport function TbCarTurbine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.86 11c.088 .66 .14 1.512 .14 2a8 8 0 1 1 -8 -8h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9c2.489 .108 4.489 .108 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13l-3.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13l2.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 16l2.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13l3.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9v4\"},\"child\":[]}]})(props);\n};\nexport function TbCar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h-2v-6l2 -5h9l4 5h1a2 2 0 0 1 2 2v4h-2m-4 0h-6m-6 -6h15m-6 0v-5\"},\"child\":[]}]})(props);\n};\nexport function TbCarambola (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.286 21.09q -1.69 .001 -5.288 -2.615q -3.596 2.617 -5.288 2.616q -2.726 0 -.495 -6.8q -9.389 -6.775 2.135 -6.775h.076q 1.785 -5.516 3.574 -5.516q 1.785 0 3.574 5.516h.076q 11.525 0 2.133 6.774q 2.23 6.802 -.497 6.8\"},\"child\":[]}]})(props);\n};\nexport function TbCaravan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h7a2 2 0 0 0 2 -2v-7a2 2 0 0 0 -2 -2h-9.5a5.5 5.5 0 0 0 -5.5 5.5v3.5a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l7 -3l1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11m0 .5a.5 .5 0 0 1 .5 -.5h2a.5 .5 0 0 1 .5 .5v2a.5 .5 0 0 1 -.5 .5h-2a.5 .5 0 0 1 -.5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16h2\"},\"child\":[]}]})(props);\n};\nexport function TbCardboardsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.96 16.953c.026 -.147 .04 -.298 .04 -.453v-8.5a2 2 0 0 0 -2 -2h-9m-4 0h-1a2 2 0 0 0 -2 2v8.5a2.5 2.5 0 0 0 2.5 2.5h1.06a3 3 0 0 0 2.34 -1.13l1.54 -1.92a2 2 0 0 1 3.12 0l1.54 1.92a3 3 0 0 0 2.34 1.13h1.06c.155 0 .307 -.014 .454 -.041\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.714 12.7a1 1 0 0 0 -1.417 -1.411l1.417 1.41z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCardboards (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8v8.5a2.5 2.5 0 0 0 2.5 2.5h1.06a3 3 0 0 0 2.34 -1.13l1.54 -1.92a2 2 0 0 1 3.12 0l1.54 1.92a3 3 0 0 0 2.34 1.13h1.06a2.5 2.5 0 0 0 2.5 -2.5v-8.5a2 2 0 0 0 -2 -2h-14a2 2 0 0 0 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbCards (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.604 7.197l7.138 -3.109a.96 .96 0 0 1 1.27 .527l4.924 11.902a1 1 0 0 1 -.514 1.304l-7.137 3.109a.96 .96 0 0 1 -1.271 -.527l-4.924 -11.903a1 1 0 0 1 .514 -1.304z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h1a1 1 0 0 1 1 1v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6c.264 .112 .52 .217 .768 .315a1 1 0 0 1 .53 1.311l-2.298 5.374\"},\"child\":[]}]})(props);\n};\nexport function TbCaretDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10l6 6l6 -6h-12\"},\"child\":[]}]})(props);\n};\nexport function TbCaretLeftRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18l6 -6l-6 -6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l-6 -6l6 -6v12\"},\"child\":[]}]})(props);\n};\nexport function TbCaretLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6l-6 6l6 6v-12\"},\"child\":[]}]})(props);\n};\nexport function TbCaretRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l6 -6l-6 -6v12\"},\"child\":[]}]})(props);\n};\nexport function TbCaretUpDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10l-6 -6l-6 6h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14l-6 6l-6 -6h12\"},\"child\":[]}]})(props);\n};\nexport function TbCaretUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14l-6 -6l-6 6h12\"},\"child\":[]}]})(props);\n};\nexport function TbCarouselHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5m0 1a1 1 0 0 1 1 -1h8a1 1 0 0 1 1 1v12a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17h-1a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17h1a1 1 0 0 0 1 -1v-8a1 1 0 0 0 -1 -1h-1\"},\"child\":[]}]})(props);\n};\nexport function TbCarouselVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v8a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 22v-1a1 1 0 0 1 1 -1h8a1 1 0 0 1 1 1v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 2v1a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-1\"},\"child\":[]}]})(props);\n};\nexport function TbCarrotOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.868 8.846c-2.756 3.382 -5.868 12.154 -5.868 12.154s8.75 -3.104 12.134 -5.85m1.667 -2.342a4.486 4.486 0 0 0 -5.589 -5.615\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8s-1.14 -2 -3 -2c-1.406 0 -3 2 -3 2s1.14 2 3 2s3 -2 3 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2s-2 1.14 -2 3s2 3 2 3s2 -1.577 2 -3c0 -1.86 -2 -3 -2 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCarrot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21s9.834 -3.489 12.684 -6.34a4.487 4.487 0 0 0 0 -6.344a4.483 4.483 0 0 0 -6.342 0c-2.86 2.861 -6.347 12.689 -6.347 12.689z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 8s-1.14 -2 -3 -2c-1.406 0 -3 2 -3 2s1.14 2 3 2s3 -2 3 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 2s-2 1.14 -2 3s2 3 2 3s2 -1.577 2 -3c0 -1.86 -2 -3 -2 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCashBanknoteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.88 9.878a3 3 0 1 0 4.242 4.243m.58 -3.425a3.012 3.012 0 0 0 -1.412 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h9a2 2 0 0 1 2 2v8c0 .294 -.064 .574 -.178 .825m-2.822 1.175h-13a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCashBanknote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbCashOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h6a2 2 0 0 1 2 2v6m-2 2h-10a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.582 12.59a2 2 0 0 0 2.83 2.826\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v-2a2 2 0 0 0 -2 -2h-6m-4 0a2 2 0 0 0 -2 2v6a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v-2a2 2 0 0 0 -2 -2h-10a2 2 0 0 0 -2 2v6a2 2 0 0 0 2 2h2\"},\"child\":[]}]})(props);\n};\nexport function TbCastOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19a4 4 0 0 0 -4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19a8 8 0 0 0 -8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h3a3 3 0 0 0 .875 -.13m2 -2a3 3 0 0 0 .128 -.868v-8a3 3 0 0 0 -3 -3h-9m-3.865 .136a3 3 0 0 0 -1.935 1.864\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19a4 4 0 0 0 -4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19a8 8 0 0 0 -8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h3a3 3 0 0 0 3 -3v-8a3 3 0 0 0 -3 -3h-12a3 3 0 0 0 -2.8 2\"},\"child\":[]}]})(props);\n};\nexport function TbCat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3v10a8 8 0 1 1 -16 0v-10l3.432 3.432a7.963 7.963 0 0 1 4.568 -1.432c1.769 0 3.403 .574 4.728 1.546l3.272 -3.546z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16h5l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16h-5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v.01\"},\"child\":[]}]})(props);\n};\nexport function TbCategory2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCategoryMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h6v6h-6zm10 0h6v6h-6zm-10 10h6v6h-6zm10 3h6\"},\"child\":[]}]})(props);\n};\nexport function TbCategoryPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h6v6h-6zm10 0h6v6h-6zm-10 10h6v6h-6zm10 3h6m-3 -3v6\"},\"child\":[]}]})(props);\n};\nexport function TbCategory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.53 6.53a6.001 6.001 0 0 0 2.47 11.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6a6 6 0 0 0 -5.927 5.061l.927 .939\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6a6 6 0 1 0 0 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6a6 6 0 1 0 0 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbCellSignal1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-15.269a.731 .731 0 0 1 -.517 -1.249l14.537 -14.537a.731 .731 0 0 1 1.249 .517v15.269z\"},\"child\":[]}]})(props);\n};\nexport function TbCellSignal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-15.269a.731 .731 0 0 1 -.517 -1.249l14.537 -14.537a.731 .731 0 0 1 1.249 .517v15.269z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v-5\"},\"child\":[]}]})(props);\n};\nexport function TbCellSignal3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-15.269a.731 .731 0 0 1 -.517 -1.249l14.537 -14.537a.731 .731 0 0 1 1.249 .517v15.269z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-9\"},\"child\":[]}]})(props);\n};\nexport function TbCellSignal4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-15.269a.731 .731 0 0 1 -.517 -1.249l14.537 -14.537a.731 .731 0 0 1 1.249 .517v15.269z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v13\"},\"child\":[]}]})(props);\n};\nexport function TbCellSignal5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-15.269a.731 .731 0 0 1 -.517 -1.249l14.537 -14.537a.731 .731 0 0 1 1.249 .517v15.269z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v-5\"},\"child\":[]}]})(props);\n};\nexport function TbCellSignalOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-15.269a.731 .731 0 0 1 -.517 -1.249l7.265 -7.264m2 -2l5.272 -5.272a.731 .731 0 0 1 1.249 .517v11.269\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l-4 2v5l4 2l4 -2v-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l4 2l4 -2v-5l-4 -2l-4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v5l4 2l4 -2v-5\"},\"child\":[]}]})(props);\n};\nexport function TbCertificate2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a3 3 0 1 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v4l2 -1l2 1v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-2a2 2 0 0 1 -2 -2v-11m1.18 -2.825c.25 -.112 .529 -.175 .82 -.175h8a2 2 0 0 1 2 2v9m-.175 3.82a2 2 0 0 1 -1.825 1.18h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCertificate2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v4l2 -1l2 1v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-2a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-2\"},\"child\":[]}]})(props);\n};\nexport function TbCertificateOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.876 12.881a3 3 0 0 0 4.243 4.243m.588 -3.42a3.012 3.012 0 0 0 -1.437 -1.423\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17.5v4.5l2 -1.5l2 1.5v-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-5a2 2 0 0 1 -2 -2v-10c0 -1.1 .9 -2 2 -2m4 0h10a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h3m4 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCertificate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17.5v4.5l2 -1.5l2 1.5v-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-5a2 2 0 0 1 -2 -2v-10c0 -1.1 .9 -2 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -1 1.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbChairDirector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21l12 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l12 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5h12\"},\"child\":[]}]})(props);\n};\nexport function TbChalkboardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19h-3a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2m4 0h10a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v1a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbChalkboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19h-3a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v11a1 1 0 0 1 -1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbChargingPile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7l-1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h1a2 2 0 0 1 2 2v3a1.5 1.5 0 0 0 3 0v-7l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-14a2 2 0 0 1 2 -2h6a2 2 0 0 1 2 2v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11.5l-1.5 2.5h3l-1.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l10 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartArcs3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12a5 5 0 1 0 5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.29 18.957a9 9 0 1 0 5.71 -15.957\"},\"child\":[]}]})(props);\n};\nexport function TbChartArcs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.924 11.132a5 5 0 1 0 -4.056 5.792\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbChartAreaLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l4 -6l4 2l4 -5l4 4l0 5l-16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l3 -4l4 2l5 -6l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbChartArea (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -6l4 2l4 -5l4 4l0 5l-16 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartArrowsVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l0 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-18\"},\"child\":[]}]})(props);\n};\nexport function TbChartArrows (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l18 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartBarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h2a1 1 0 0 1 1 1v2m0 4v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v-6a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v12m-1 3h-4a1 1 0 0 1 -1 -1v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbChartBar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartBubble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 7.5m-4.5 0a4.5 4.5 0 1 0 9 0a4.5 4.5 0 1 0 -9 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartCandle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11l0 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l0 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l0 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11l0 9\"},\"child\":[]}]})(props);\n};\nexport function TbChartCircles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 9.5m-5.5 0a5.5 5.5 0 1 0 11 0a5.5 5.5 0 1 0 -11 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 14.5m-5.5 0a5.5 5.5 0 1 0 11 0a5.5 5.5 0 1 0 -11 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartDonut2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m4 4h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartDonut3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m4 4h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.929 14.582l-3.429 2.918\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartDonut4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.848 14.667l-3.348 2.833\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m4 4h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.219 15.328l2.781 4.172\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartDonut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3.2a9 9 0 1 0 10.8 10.8a1 1 0 0 0 -1 -1h-3.8a4.1 4.1 0 1 1 -5 -5v-4a.9 .9 0 0 0 -1 -.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3.5a9 9 0 0 1 5.5 5.5h-4.5a9 9 0 0 0 -1 -1v-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbChartDots2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-6 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.113 6.65l2.771 3.695\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12.5l-5 2\"},\"child\":[]}]})(props);\n};\nexport function TbChartDots3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 8.5l7.81 5.37\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l8 -1\"},\"child\":[]}]})(props);\n};\nexport function TbChartDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.16 10.62l2.34 2.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.088 13.328l2.837 -4.586\"},\"child\":[]}]})(props);\n};\nexport function TbChartGridDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v2\"},\"child\":[]}]})(props);\n};\nexport function TbChartHistogram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11c6 0 5 -5 9 -5s3 5 9 5\"},\"child\":[]}]})(props);\n};\nexport function TbChartInfographic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14l0 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l0 9\"},\"child\":[]}]})(props);\n};\nexport function TbChartLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -6l4 2l4 -5l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbChartPie2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v9h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartPie3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-6.5 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v9h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbChartPie4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-6.5 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v9h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l5 7.5\"},\"child\":[]}]})(props);\n};\nexport function TbChartPieOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.63 5.643a9 9 0 0 0 12.742 12.715m1.674 -2.29a9.03 9.03 0 0 0 .754 -2.068a1 1 0 0 0 -1 -1h-2.8m-4 0a2 2 0 0 1 -2 -2m0 -4v-3a.9 .9 0 0 0 -1 -.8a9 9 0 0 0 -2.057 .749\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3.5a9 9 0 0 1 5.5 5.5h-4.5a1 1 0 0 1 -1 -1v-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbChartPie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3.2a9 9 0 1 0 10.8 10.8a1 1 0 0 0 -1 -1h-6.8a2 2 0 0 1 -2 -2v-7a.9 .9 0 0 0 -1 -.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3.5a9 9 0 0 1 5.5 5.5h-4.5a1 1 0 0 1 -1 -1v-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbChartPpf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17c0 -6.075 -5.373 -11 -12 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]}]})(props);\n};\nexport function TbChartRadar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l9.5 7l-3.5 11h-12l-3.5 -11z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.5l5.5 4l-2.5 5.5h-6.5l-2 -5.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 10l9.5 3l9.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v10l6 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21l6 -8\"},\"child\":[]}]})(props);\n};\nexport function TbChartSankey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8c10 0 8 9 18 9\"},\"child\":[]}]})(props);\n};\nexport function TbChartScatter3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l9 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v10l9 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4.015v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8.015v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19.015v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12.015v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8.015v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4.015v.015\"},\"child\":[]}]})(props);\n};\nexport function TbChartScatter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15.015v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16.015v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7.03v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.03v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11.03v.015\"},\"child\":[]}]})(props);\n};\nexport function TbChartTreemap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h4\"},\"child\":[]}]})(props);\n};\nexport function TbCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l5 5l10 -10\"},\"child\":[]}]})(props);\n};\nexport function TbCheckbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l3 3l8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v6a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h9\"},\"child\":[]}]})(props);\n};\nexport function TbChecklist (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.615 20h-2.615a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19l2 2l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbChecks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l5 5l10 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12l5 5m5 -5l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbCheckupList (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l1 1l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbCheese (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.519 20.008l16.481 -.008v-3.5a2 2 0 1 1 0 -4v-3.5h-16.722\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9l-9.385 -4.992c-2.512 .12 -4.758 1.42 -6.327 3.425c-1.423 1.82 -2.288 4.221 -2.288 6.854c0 2.117 .56 4.085 1.519 5.721\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbChefHatOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.72 4.712a4 4 0 0 1 7.19 1.439a4 4 0 0 1 2.09 7.723v.126m0 4v3h-12v-7.126a4 4 0 0 1 .081 -7.796\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.161 17.009l10.839 -.009\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbChefHat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c1.918 0 3.52 1.35 3.91 3.151a4 4 0 0 1 2.09 7.723l0 7.126h-12v-7.126a4 4 0 1 1 2.092 -7.723a4 4 0 0 1 3.908 -3.151z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.161 17.009l11.839 -.009\"},\"child\":[]}]})(props);\n};\nexport function TbCherry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13c.366 -2 1.866 -3.873 4.5 -5.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15c-1.333 -2.333 -2.333 -5.333 -1 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6c3.667 -2.667 7.333 -2.667 11 0c-3.667 2.667 -7.333 2.667 -11 0\"},\"child\":[]}]})(props);\n};\nexport function TbChessBishop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-1.447 .724a1 1 0 0 0 -.553 .894v2.382h12v-2.382a1 1 0 0 0 -.553 -.894l-1.447 -.724h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 16c-1.667 0 -2.5 -1.669 -2.5 -3c0 -3.667 1.667 -6 5 -7c3.333 1 5 3.427 5 7c0 1.284 -.775 2.881 -2.325 3l-.175 0h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v1\"},\"child\":[]}]})(props);\n};\nexport function TbChessKing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-1.447 .724a1 1 0 0 0 -.553 .894v2.382h12v-2.382a1 1 0 0 0 -.553 -.894l-1.447 -.724h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 16a3.5 3.5 0 1 1 3.163 -5h.674a3.5 3.5 0 1 1 3.163 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v8\"},\"child\":[]}]})(props);\n};\nexport function TbChessKnight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-1.447 .724a1 1 0 0 0 -.553 .894v2.382h12v-2.382a1 1 0 0 0 -.553 -.894l-1.447 -.724h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l1 3l-3.491 2.148a1 1 0 0 0 .524 1.852h2.967l-2.073 6h7.961l.112 -5c0 -3 -1.09 -5.983 -4 -7c-1.94 -.678 -2.94 -1.011 -3 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbChessQueen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l2 -11l-4 4l-2 -5l-2 5l-4 -4l2 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-1.447 .724a1 1 0 0 0 -.553 .894v2.382h12v-2.382a1 1 0 0 0 -.553 -.894l-1.447 -.724h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbChessRook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-1.447 .724a1 1 0 0 0 -.553 .894v2.382h12v-2.382a1 1 0 0 0 -.553 -.894l-1.447 -.724h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l1 -9h6l1 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l.5 3h11l.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4v3\"},\"child\":[]}]})(props);\n};\nexport function TbChess (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a3 3 0 0 1 3 3c0 1.113 -.6 2.482 -1.5 3l1.5 7h-6l1.5 -7c-.9 -.518 -1.5 -1.887 -1.5 -3a3 3 0 0 1 3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.684 16.772a1 1 0 0 0 -.684 .949v1.279a1 1 0 0 0 1 1h10a1 1 0 0 0 1 -1v-1.28a1 1 0 0 0 -.684 -.948l-2.316 -.772h-6l-2.316 .772z\"},\"child\":[]}]})(props);\n};\nexport function TbChevronCompactDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11l8 3l8 -3\"},\"child\":[]}]})(props);\n};\nexport function TbChevronCompactLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20l-3 -8l3 -8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronCompactRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4l3 8l-3 8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronCompactUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13l8 -3l8 3\"},\"child\":[]}]})(props);\n};\nexport function TbChevronDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v8h8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v8h-8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l6 6l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbChevronLeftPipe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6l-6 6l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbChevronLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-6 6l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbChevronRightPipe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l6 6l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5v13\"},\"child\":[]}]})(props);\n};\nexport function TbChevronRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l6 6l-6 6\"},\"child\":[]}]})(props);\n};\nexport function TbChevronUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16v-8h8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8v8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l6 -6l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5v8h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9v8h8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5v8h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v8h-8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l5 5l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13l5 5l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l-5 5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l-5 5l5 5\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l5 5l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l5 5l-5 5\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-8h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19v-8h8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7h8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11h8v8\"},\"child\":[]}]})(props);\n};\nexport function TbChevronsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11l5 -5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l5 -5l5 5\"},\"child\":[]}]})(props);\n};\nexport function TbChisel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.347 15.575l2.08 2.079a1.96 1.96 0 0 1 -2.773 2.772l-2.08 -2.079a1.96 1.96 0 0 1 2.773 -2.772z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l3 -3l7.414 7.414a2 2 0 0 1 .586 1.414v2.172h-2.172a2 2 0 0 1 -1.414 -.586l-7.414 -7.414z\"},\"child\":[]}]})(props);\n};\nexport function TbChristmasBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-8 0a8 8 0 1 0 16 0a8 8 0 1 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5l1 -2l1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.512 10.161c2.496 -1.105 4.992 -.825 7.488 .839c2.627 1.752 5.255 1.97 7.882 .653\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.315 15.252c2.561 -1.21 5.123 -.96 7.685 .748c2.293 1.528 4.585 1.889 6.878 1.081\"},\"child\":[]}]})(props);\n};\nexport function TbChristmasTreeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 5.5l2.5 -2.5l4 4l-2 1l4 4l-1.5 .5m.5 4.5h-12l4 -4l-3 -1l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17v3a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbChristmasTree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l4 4l-2 1l4 4l-3 1l4 4h-14l4 -4l-3 -1l4 -4l-2 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17v3a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h-6v-6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v6l-6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 0 0 -18a9 9 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 0 18a9 9 0 0 0 0 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h-6v6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v-6h-6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleArrowUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleCaretDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-4 -4h8z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleCaretLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l4 -4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleCaretRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l-4 -4v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircleCaretUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l4 4h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircleCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 0 18a9 9 0 0 0 0 -18z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -18 0a9 9 0 0 0 18 0z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 0 18a9 9 0 0 0 0 -18z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 0 .265l0 -.265z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 0 -.265l0 .265z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleChevronsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 0 -.265 0l.265 0z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedPercentage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9.03v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.045v.015\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashedX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDashed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircleDotted (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.21l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.21 7.5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.21 16.5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.79l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 19.79l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.79 16.5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.79 7.5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 4.21l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbCircleHalf2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l8.5 -8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l4.5 -4.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircleHalfVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h18\"},\"child\":[]}]})(props);\n};\nexport function TbCircleHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbCircleKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 11.5l-4 4l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-1.5 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterB (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h2a2 2 0 1 0 0 -4h-2h2a2 2 0 1 0 0 -4h-2v8z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterC (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a2 2 0 1 0 -4 0v4a2 2 0 1 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterD (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterE (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterF (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterG (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterH (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8m4 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterI (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterJ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4v6a2 2 0 1 1 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterK (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l-2.5 4l2.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h1.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterL (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterM (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8l3 5l3 -5v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterN (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8l4 8v-8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterO (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterP (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterQ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterR (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8m4 0l-3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterS (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterT (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterU (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterV (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 8l2 -8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterW (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l1 8l2 -5l2 5l1 -8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 5l2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleLetterZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-4 8h4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.475 15.029a9 9 0 1 0 -7.962 5.957\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCircleNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbCircleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.042 16.045a9 9 0 0 0 -12.087 -12.087m-2.318 1.677a9 9 0 1 0 12.725 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCirclePercentage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.075l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9.105v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.12v.015\"},\"child\":[]}]})(props);\n};\nexport function TbCirclePlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.985 12.522a9 9 0 1 0 -8.475 8.464\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbCirclePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbCircleRectangleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h3v3m-3 1h-7v-4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.042 16.045a9 9 0 0 0 -12.087 -12.087m-2.318 1.677a9 9 0 1 0 12.725 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCircleRectangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h10v4h-10z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 9.5m-6.5 0a6.5 6.5 0 1 0 13 0a6.5 6.5 0 1 0 -13 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m0 2a2 2 0 0 1 2 -2h7a2 2 0 0 1 2 2v7a2 2 0 0 1 -2 2h-7a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l7 -12h-14z\"},\"child\":[]}]})(props);\n};\nexport function TbCircleX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbCirclesRelation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.183 6.117a6 6 0 1 0 4.511 3.986\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.813 17.883a6 6 0 1 0 -4.496 -3.954\"},\"child\":[]}]})(props);\n};\nexport function TbCircles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 17m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitAmmeter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14v-3c0 -1.036 .895 -2 2 -2s2 .964 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h-4\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitBattery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitBulb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8.5l7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 8.5l-7 7\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitCapacitorPolarized (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3v4\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitCapacitor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v10\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitCellPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3v4\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitCell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitChangeover (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 10.5l8.5 -3.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitDiodeZener (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l8 5l-8 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h2v10h2\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitDiode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l8 5l-8 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v10\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitGroundDigital (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-6 -8h12z\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitGround (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h4\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitInductor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 14h3v-2a2 2 0 1 1 4 0v2v-1.5a2.5 2.5 0 1 1 5 0v1.5v-1.5a2.5 2.5 0 1 1 5 0v1.5h3\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitMotor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14v-4l2 2l2 -2v4\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitPushbutton (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v-6\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitResistor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2l2 -5l3 10l3 -10l3 10l3 -10l1.5 5h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitSwitchClosed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitSwitchOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 10.5l7.5 -5.5\"},\"child\":[]}]})(props);\n};\nexport function TbCircuitVoltmeter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 4l2 -4\"},\"child\":[]}]})(props);\n};\nexport function TbClearAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h12\"},\"child\":[]}]})(props);\n};\nexport function TbClearFormatting (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15l4 4m0 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v-1h11v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5l-4 14\"},\"child\":[]}]})(props);\n};\nexport function TbClick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.8 7.8l-2.2 -2.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.2 7.8l2.2 -2.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.8 16.2l-2.2 2.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l9 3l-4 2l-2 4l-3 -9\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h3m9 -9v-5a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17v-1a1 1 0 0 1 1 -1h1m3 0h1a1 1 0 0 1 1 1v1m0 3v1a1 1 0 0 1 -1 1h-1m-3 0h-1a1 1 0 0 1 -1 -1v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardData (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v-1\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.993 16.75l2.747 -2.815a1.9 1.9 0 0 0 0 -2.632a1.775 1.775 0 0 0 -2.56 0l-.183 .188l-.183 -.189a1.775 1.775 0 0 0 -2.56 0a1.899 1.899 0 0 0 0 2.632l2.738 2.825z\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardList (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.575 5.597a2 2 0 0 0 -.575 1.403v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2m0 -4v-8a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a2 2 0 0 1 2 -2h2a2 2 0 1 1 0 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v4\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16a3.5 3.5 0 0 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16h6\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardTypography (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-1h6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h2\"},\"child\":[]}]})(props);\n};\nexport function TbClipboardX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbClipboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbClock12 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 9 9m9 -9a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l.5 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21v-6\"},\"child\":[]}]})(props);\n};\nexport function TbClock2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v1\"},\"child\":[]}]})(props);\n};\nexport function TbClock24 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 5.998 8.485m12.002 -8.485a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v2a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbClockBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.984 12.53a9 9 0 1 0 -7.552 8.355\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbClockCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.997 12.25a9 9 0 1 0 -8.718 8.745\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbClockCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.942 13.021a9 9 0 1 0 -9.407 7.967\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbClockCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.931 13.111a9 9 0 1 0 -9.453 7.874\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbClockCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9.002 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbClockDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.866 10.45a9 9 0 1 0 -7.815 10.488\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbClockDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.984 12.535a9 9 0 1 0 -8.431 8.448\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbClockEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9.972 8.948c.32 .034 .644 .052 .972 .052\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbClockExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.986 12.502a9 9 0 1 0 -5.973 7.98\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbClockHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.956 11.107a9 9 0 1 0 -9.579 9.871\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l.5 .5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l2 -3\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour10 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-3 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour11 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour12 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l3 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockHour9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.477 15.022a9 9 0 1 0 -7.998 5.965\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbClockOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.633 5.64a9 9 0 1 0 12.735 12.72m1.674 -2.32a9 9 0 0 0 -12.082 -12.082\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbClockPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.942 13.018a9 9 0 1 0 -7.909 7.922\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbClockPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.971 11.278a9 9 0 1 0 -8.313 9.698\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbClockPlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -3l-5 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.017 20.943a9 9 0 1 1 7.831 -7.292\"},\"child\":[]}]})(props);\n};\nexport function TbClockPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.984 12.535a9 9 0 1 0 -8.468 8.45\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbClockQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.975 11.33a9 9 0 1 0 -5.717 9.06\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbClockRecord (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12.3a9 9 0 1 0 -8.683 8.694\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbClockSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.993 11.646a9 9 0 1 0 -9.318 9.348\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbClockShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.943 13.016a9 9 0 1 0 -8.915 7.984\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbClockShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -8.98 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16c0 4 -2.5 6 -3.5 6s-3.5 -2 -3.5 -6c1 0 2.5 -.5 3.5 -1.5c1 1 2.5 1.5 3.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbClockStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.982 11.436a9 9 0 1 0 -9.966 9.51\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbClockStop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h6v6h-6z\"},\"child\":[]}]})(props);\n};\nexport function TbClockUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.983 12.548a9 9 0 1 0 -8.45 8.436\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbClockX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.926 13.15a9 9 0 1 0 -7.835 7.784\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbClock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbClothesRackOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1m0 4v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.757 9.243a6 6 0 0 0 3.129 1.653m3.578 -.424a6 6 0 0 0 1.779 -1.229\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbClothesRack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.757 9.243a6 6 0 0 0 8.486 0\"},\"child\":[]}]})(props);\n};\nexport function TbCloudBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18.004h-6.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.396 0 2.6 .831 3.148 2.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbCloudCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.004h-5.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99a3.45 3.45 0 0 1 2.756 1.373\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCloudCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18.004h-4.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.388 0 2.585 .82 3.138 2.007\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCloudCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18.004h-4.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99a3.468 3.468 0 0 1 3.307 2.444\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbCloudCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.004h-5.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c.956 0 1.822 .39 2.449 1.02\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbCloudComputing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.657 16c-2.572 0 -4.657 -2.007 -4.657 -4.483c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.913 0 3.464 1.56 3.464 3.486c0 1.927 -1.551 3.487 -3.465 3.487h-11.878\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16v4a1 1 0 0 1 -1 1h-4\"},\"child\":[]}]})(props);\n};\nexport function TbCloudDataConnection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9.897c0 -1.714 1.46 -3.104 3.26 -3.104c.275 -1.22 1.255 -2.215 2.572 -2.611c1.317 -.397 2.77 -.134 3.811 .69c1.042 .822 1.514 2.08 1.239 3.3h.693a2.42 2.42 0 0 1 2.425 2.414a2.42 2.42 0 0 1 -2.425 2.414h-8.315c-1.8 0 -3.26 -1.39 -3.26 -3.103z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h7\"},\"child\":[]}]})(props);\n};\nexport function TbCloudDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 18.004h-6.843c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.28 1.023 1.957 2.51 1.873 4.027\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbCloudDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.004h-5.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.38 0 2.573 .813 3.13 1.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbCloudDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18a3.5 3.5 0 0 0 0 -7h-1a5 4.5 0 0 0 -11 -2a4.6 4.4 0 0 0 -2.1 8.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l0 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbCloudExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18.004h-8.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.374 0 2.562 .805 3.121 1.972\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbCloudFog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16a4.6 4.4 0 0 1 0 -9a5 4.5 0 0 1 11 2h1a3.5 3.5 0 0 1 0 7h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbCloudHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18.004h-3.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbCloudLockOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18a3.5 3.5 0 0 0 0 -7h-1c.397 -1.768 -.285 -3.593 -1.788 -4.787c-1.503 -1.193 -3.6 -1.575 -5.5 -1s-3.315 2.019 -3.712 3.787c-2.199 -.088 -4.155 1.326 -4.666 3.373c-.512 2.047 .564 4.154 2.566 5.027\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-2a2 2 0 0 1 3.736 -1\"},\"child\":[]}]})(props);\n};\nexport function TbCloudLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18a3.5 3.5 0 0 0 0 -7h-1c.397 -1.768 -.285 -3.593 -1.788 -4.787c-1.503 -1.193 -3.6 -1.575 -5.5 -1s-3.315 2.019 -3.712 3.787c-2.199 -.088 -4.155 1.326 -4.666 3.373c-.512 2.047 .564 4.154 2.566 5.027\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-2a2 2 0 1 1 4 0v2\"},\"child\":[]}]})(props);\n};\nexport function TbCloudMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.004h-5.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.913 0 3.464 1.56 3.464 3.486c0 .186 -.015 .37 -.042 .548\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbCloudNetwork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16.004h-1.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.913 0 3.464 1.56 3.464 3.486c0 1.927 -1.551 3.487 -3.465 3.487h-2.535\"},\"child\":[]}]})(props);\n};\nexport function TbCloudOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.58 5.548c.24 -.11 .492 -.207 .752 -.286c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.913 0 3.464 1.56 3.464 3.486c0 .957 -.383 1.824 -1.003 2.454m-2.997 1.033h-11.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.13 -.582 .37 -1.128 .7 -1.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCloudPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18.004h-6.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.406 0 2.617 .843 3.16 2.055\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbCloudPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.004h-5.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbCloudPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.004h-5.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99a3.46 3.46 0 0 1 3.085 1.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbCloudQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 18.004h-7.843c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbCloudRain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18a4.6 4.4 0 0 1 0 -9a5 4.5 0 0 1 11 2h1a3.5 3.5 0 0 1 0 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13v2m0 3v2m4 -5v2m0 3v2\"},\"child\":[]}]})(props);\n};\nexport function TbCloudSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18.004h-4.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbCloudShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 18.004h-5.843c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.41 0 2.624 .848 3.164 2.065\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbCloudSnow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18a4.6 4.4 0 0 1 0 -9a5 4.5 0 0 1 11 2h1a3.5 3.5 0 0 1 0 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15v.01m0 3v.01m0 3v.01m4 -4v.01m0 3v.01\"},\"child\":[]}]})(props);\n};\nexport function TbCloudStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 18.004h-2.843c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.209 .967 1.88 2.347 1.88 3.776\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbCloudStorm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18a4.6 4.4 0 0 1 0 -9a5 4.5 0 0 1 11 2h1a3.5 3.5 0 0 1 0 7h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l-2 4l3 0l-2 4\"},\"child\":[]}]})(props);\n};\nexport function TbCloudUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.004h-5.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.38 0 2.57 .811 3.128 1.986\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbCloudUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18a4.6 4.4 0 0 1 0 -9a5 4.5 0 0 1 11 2h1a3.5 3.5 0 0 1 0 7h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 9\"},\"child\":[]}]})(props);\n};\nexport function TbCloudX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18.004h-6.343c-2.572 -.004 -4.657 -2.011 -4.657 -4.487c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.37 0 2.556 .8 3.117 1.964\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbCloud (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.657 18c-2.572 0 -4.657 -2.007 -4.657 -4.483c0 -2.475 2.085 -4.482 4.657 -4.482c.393 -1.762 1.794 -3.2 3.675 -3.773c1.88 -.572 3.956 -.193 5.444 1c1.488 1.19 2.162 3.007 1.77 4.769h.99c1.913 0 3.464 1.56 3.464 3.486c0 1.927 -1.551 3.487 -3.465 3.487h-11.878\"},\"child\":[]}]})(props);\n};\nexport function TbClover2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11l-3.397 -3.44a2.104 2.104 0 0 1 0 -2.95a2.04 2.04 0 0 1 2.912 0l.485 .39l.485 -.39a2.04 2.04 0 0 1 2.912 0a2.104 2.104 0 0 1 0 2.95l-3.397 3.44z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11l-3.397 3.44a2.104 2.104 0 0 0 0 2.95a2.04 2.04 0 0 0 2.912 0l.485 -.39l.485 .39a2.04 2.04 0 0 0 2.912 0a2.104 2.104 0 0 0 0 -2.95l-3.397 -3.44z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.44 7.603a2.104 2.104 0 0 1 2.95 0a2.04 2.04 0 0 1 0 2.912l-.39 .485l.39 .485a2.04 2.04 0 0 1 0 2.912a2.104 2.104 0 0 1 -2.95 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.56 7.603a2.104 2.104 0 0 0 -2.95 0a2.04 2.04 0 0 0 0 2.912l.39 .485l-.39 .485a2.04 2.04 0 0 0 0 2.912a2.104 2.104 0 0 0 2.95 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbClover (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l-3.397 -3.44a2.104 2.104 0 0 1 0 -2.95a2.04 2.04 0 0 1 2.912 0l.485 .39l.485 -.39a2.04 2.04 0 0 1 2.912 0a2.104 2.104 0 0 1 0 2.95l-3.397 3.44z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l-3.397 3.44a2.104 2.104 0 0 0 0 2.95a2.04 2.04 0 0 0 2.912 0l.485 -.39l.485 .39a2.04 2.04 0 0 0 2.912 0a2.104 2.104 0 0 0 0 -2.95l-3.397 -3.44z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l3.44 -3.397a2.104 2.104 0 0 1 2.95 0a2.04 2.04 0 0 1 0 2.912l-.39 .485l.39 .485a2.04 2.04 0 0 1 0 2.912a2.104 2.104 0 0 1 -2.95 0l-3.44 -3.397z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-3.44 -3.397a2.104 2.104 0 0 0 -2.95 0a2.04 2.04 0 0 0 0 2.912l.39 .485l-.39 .485a2.04 2.04 0 0 0 0 2.912a2.104 2.104 0 0 0 2.95 0l3.44 -3.397z\"},\"child\":[]}]})(props);\n};\nexport function TbClubs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a4 4 0 0 1 3.164 6.447a4 4 0 1 1 -1.164 6.198v1.355l1 4h-6l1 -4l0 -1.355a4 4 0 1 1 -1.164 -6.199a4 4 0 0 1 3.163 -6.446z\"},\"child\":[]}]})(props);\n};\nexport function TbCodeAsterisk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19a2 2 0 0 1 -2 -2v-4l-1 -1l1 -1v-4a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.875l3 -1.687\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.875v3.375\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.875l-3 -1.687\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.875l3 1.688\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8.5v3.375\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11.875l-3 1.688\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19a2 2 0 0 0 2 -2v-4l1 -1l-1 -1v-4a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCodeCircle2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 13.5l-1.5 -1.5l1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 10.5l1.5 1.5l-1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9.5l-2 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbCodeCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l-2 -2l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l2 2l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbCodeDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19a2 2 0 0 1 -2 -2v-4l-1 -1l1 -1v-4a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19a2 2 0 0 0 2 -2v-4l1 -1l-1 -1v-4a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCodeMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19a2 2 0 0 1 -2 -2v-4l-1 -1l1 -1v-4a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19a2 2 0 0 0 2 -2v-4l1 -1l-1 -1v-4a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCodeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l4 4l-2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4l-1.201 4.805m-.802 3.207l-2 7.988\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCodePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19a2 2 0 0 1 -2 -2v-4l-1 -1l1 -1v-4a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19a2 2 0 0 0 2 -2v-4l1 -1l-1 -1v-4a2 2 0 0 0 -2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l4 4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4l-4 16\"},\"child\":[]}]})(props);\n};\nexport function TbCoffeeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14c.83 .642 2.077 1.017 3.5 1c1.423 .017 2.67 -.358 3.5 -1c.73 -.565 1.783 -.923 3 -.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3c-.194 .14 -.364 .305 -.506 .49\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a2.4 2.4 0 0 0 -1 2a2.4 2.4 0 0 0 1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h3v3m-.257 3.743a6 6 0 0 1 -5.743 4.257h-2a6 6 0 0 1 -6 -6v-5h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.116 16.124a3 3 0 0 0 -3.118 -4.953\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCoffee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14c.83 .642 2.077 1.017 3.5 1c1.423 .017 2.67 -.358 3.5 -1c.83 -.642 2.077 -1.017 3.5 -1c1.423 -.017 2.67 .358 3.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3a2.4 2.4 0 0 0 -1 2a2.4 2.4 0 0 0 1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a2.4 2.4 0 0 0 -1 2a2.4 2.4 0 0 0 1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h14v5a6 6 0 0 1 -6 6h-2a6 6 0 0 1 -6 -6v-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.746 16.726a3 3 0 1 0 .252 -5.555\"},\"child\":[]}]})(props);\n};\nexport function TbCoffin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3l-2 6l2 12h6l2 -12l-2 -6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h4l2 -12l-2 -6h-4\"},\"child\":[]}]})(props);\n};\nexport function TbCoinBitcoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h4.09c1.055 0 1.91 .895 1.91 2s-.855 2 -1.91 2c1.055 0 1.91 .895 1.91 2s-.855 2 -1.91 2h-4.09\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v10v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16v1\"},\"child\":[]}]})(props);\n};\nexport function TbCoinEuro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.401 8c-.669 -.628 -1.5 -1 -2.401 -1c-2.21 0 -4 2.239 -4 5s1.79 5 4 5c.9 0 1.731 -.372 2.4 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10.5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13.5h4\"},\"child\":[]}]})(props);\n};\nexport function TbCoinMonero (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h4v-7l4 4l4 -4v7h4\"},\"child\":[]}]})(props);\n};\nexport function TbCoinOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.8 9a2 2 0 0 0 -1.8 -1h-1m-2.82 1.171a2 2 0 0 0 1.82 2.829h1m2.824 2.822a2 2 0 0 1 -1.824 1.178h-2a2 2 0 0 1 -1.8 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.042 16.045a9 9 0 0 0 -12.087 -12.087m-2.318 1.677a9 9 0 1 0 12.725 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v2m0 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCoinPound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9a2 2 0 1 0 -4 0v5a2 2 0 0 1 -2 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbCoinRupee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h-6h1a3 3 0 0 1 0 6h-1l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h6\"},\"child\":[]}]})(props);\n};\nexport function TbCoinTaka (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l.553 -.276a1 1 0 0 1 1.447 .894v6.382a2 2 0 0 0 2 2h.5a2.5 2.5 0 0 0 2.5 -2.5v-.5h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbCoinYen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-3 4.5v4.5\"},\"child\":[]}]})(props);\n};\nexport function TbCoinYuan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-3 4.5v4.5\"},\"child\":[]}]})(props);\n};\nexport function TbCoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.8 9a2 2 0 0 0 -1.8 -1h-2a2 2 0 1 0 0 4h2a2 2 0 1 1 0 4h-2a2 2 0 0 1 -1.8 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v10\"},\"child\":[]}]})(props);\n};\nexport function TbCoins (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14c0 1.657 2.686 3 6 3s6 -1.343 6 -3s-2.686 -3 -6 -3s-6 1.343 -6 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v4c0 1.656 2.686 3 6 3s6 -1.344 6 -3v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6c0 1.072 1.144 2.062 3 2.598s4.144 .536 6 0c1.856 -.536 3 -1.526 3 -2.598c0 -1.072 -1.144 -2.062 -3 -2.598s-4.144 -.536 -6 0c-1.856 .536 -3 1.526 -3 2.598z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v10c0 .888 .772 1.45 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11c0 .888 .772 1.45 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbColorFilter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.58 13.79c.27 .68 .42 1.43 .42 2.21c0 1.77 -.77 3.37 -2 4.46a5.93 5.93 0 0 1 -4 1.54c-3.31 0 -6 -2.69 -6 -6c0 -2.76 1.88 -5.1 4.42 -5.79\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.58 10.21c2.54 .69 4.42 3.03 4.42 5.79c0 3.31 -2.69 6 -6 6a5.93 5.93 0 0 1 -4 -1.54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]}]})(props);\n};\nexport function TbColorPickerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l3.699 -3.699a1 1 0 0 1 1.4 0l2.6 2.6a1 1 0 0 1 0 1.4l-3.702 3.702m-2 2l-6 6h-4v-4l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbColorPicker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l11.7 -11.7a1 1 0 0 1 1.4 0l2.6 2.6a1 1 0 0 1 0 1.4l-11.7 11.7h-4v-4z\"},\"child\":[]}]})(props);\n};\nexport function TbColorSwatchOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13v4a4 4 0 0 0 6.832 2.825m1.168 -2.825v-12a2 2 0 0 0 -2 -2h-4a2 2 0 0 0 -2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7.35l-2 -2a2 2 0 0 0 -2.11 -.461m-2.13 1.874l-1.416 1.415a2 2 0 0 0 0 2.828l9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.3 13h-2.3a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbColorSwatch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3h-4a2 2 0 0 0 -2 2v12a4 4 0 0 0 8 0v-12a2 2 0 0 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7.35l-2 -2a2 2 0 0 0 -2.828 0l-2.828 2.828a2 2 0 0 0 0 2.828l9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.3 13h-2.3a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbColumnInsertLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h4a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-14a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbColumnInsertRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h4a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-14a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbColumnRemove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h4a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-14a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbColumns1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 1a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v16a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbColumns2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v16a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1zm9 -1v18\"},\"child\":[]}]})(props);\n};\nexport function TbColumns3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v16a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1zm6 -1v18m6 -18v18\"},\"child\":[]}]})(props);\n};\nexport function TbColumnsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 6h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 10h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 18h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbColumns (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l5.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l5.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14l5.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l5.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 6l5.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 10l5.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 14l5.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 18l5.5 0\"},\"child\":[]}]})(props);\n};\nexport function TbComet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 18.5l-3 1.5l.5 -3.5l-2 -2l3 -.5l1.5 -3l1.5 3l3 .5l-2 2l.5 3.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4l3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9l3.5 3.5\"},\"child\":[]}]})(props);\n};\nexport function TbCommandOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v8a2 2 0 1 1 -2 -2h8m3.411 3.417a2 2 0 0 1 -3.411 -1.417v-2m0 -4v-4a2 2 0 1 1 2 2h-4m-4 0h-2a2 2 0 0 1 -1.417 -3.411\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCommand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9a2 2 0 1 1 2 -2v10a2 2 0 1 1 -2 -2h10a2 2 0 1 1 -2 2v-10a2 2 0 1 1 2 2h-10\"},\"child\":[]}]})(props);\n};\nexport function TbCompassOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l3 -1l-1 3m-1 3l-6 2l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.042 16.045a9 9 0 0 0 -12.087 -12.087m-2.318 1.677a9 9 0 1 0 12.725 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCompass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l2 -6l6 -2l-2 6l-6 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbComponentsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l3 3l3 -3l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 14.5l2.5 -2.5l-3 -3l-2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.499 8.501l2.501 -2.501l-3 -3l-2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbComponents (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l3 3l3 -3l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l3 3l3 -3l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l3 3l3 -3l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3l-3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbCone2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5.002v.5l-8.13 14.99a1 1 0 0 1 -1.74 0l-8.13 -14.989v-.5c0 -1.659 4.03 -3.003 9 -3.003s9 1.344 9 3.002\"},\"child\":[]}]})(props);\n};\nexport function TbConeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.396 16.384l-7.526 -13.877a1 1 0 0 0 -1.74 0l-1.626 2.998m-1.407 2.594l-5.097 9.398v.5c0 1.66 4.03 3.003 9 3.003c3.202 0 6.014 -.558 7.609 -1.398\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbConePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.03 12.022l-5.16 -9.515a1 1 0 0 0 -1.74 0l-8.13 14.99v.5c0 1.66 4.03 3.003 9 3.003c.17 0 .34 -.002 .508 -.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbCone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17.998v-.5l-8.13 -14.99a1 1 0 0 0 -1.74 0l-8.13 14.989v.5c0 1.659 4.03 3.003 9 3.003s9 -1.344 9 -3.002\"},\"child\":[]}]})(props);\n};\nexport function TbConfettiOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 4l-.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13l2 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16.518l-6.518 -6.518l-4.39 9.58a1 1 0 0 0 1.329 1.329l9.579 -4.39v0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbConfetti (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 4l-.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13l2 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16.518l-6.518 -6.518l-4.39 9.58a1 1 0 0 0 1.329 1.329l9.579 -4.39z\"},\"child\":[]}]})(props);\n};\nexport function TbConfucius (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19l3 2v-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l8 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l8 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18l-8 -8l8 -4\"},\"child\":[]}]})(props);\n};\nexport function TbContainerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.297 4.289a1 1 0 0 1 .703 -.289h6a1 1 0 0 1 1 1v7m0 4v3a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbContainer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v.01\"},\"child\":[]}]})(props);\n};\nexport function TbContrast2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h2a6 6 0 0 0 6 -6m.878 -3.126a6 6 0 0 1 5.122 -2.874h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.586 3.414a2 2 0 0 1 -1.414 .586h-12a2 2 0 0 1 -2 -2v-12c0 -.547 .22 -1.043 .576 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbContrast2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h2.25c3.728 0 6.75 -3.134 6.75 -7s3.022 -7 6.75 -7h2.25\"},\"child\":[]}]})(props);\n};\nexport function TbContrastOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v5a4.984 4.984 0 0 0 3.522 -1.45m1.392 -2.623a5 5 0 0 0 -4.914 -5.927v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.641 5.631a9 9 0 1 0 12.719 12.738m1.68 -2.318a9 9 0 0 0 -12.074 -12.098\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbContrast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a5 5 0 0 0 0 -10v10\"},\"child\":[]}]})(props);\n};\nexport function TbCooker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11h14\"},\"child\":[]}]})(props);\n};\nexport function TbCookieMan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"stroke\":\"none\",\"d\":\"M0 0h24v24H0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a5 5 0 0 1 2.845 9.112l.147 .369l1.755 -.803c.969 -.443 2.12 -.032 2.571 .918a1.88 1.88 0 0 1 -.787 2.447l-.148 .076l-2.383 1.089v2.02l1.426 1.425l.114 .125a1.96 1.96 0 0 1 -2.762 2.762l-.125 -.114l-2.079 -2.08l-.114 -.124a2 2 0 0 1 -.161 -.22h-.599q -.071 .114 -.16 .22l-.115 .125l-2.08 2.079a1.96 1.96 0 0 1 -2.886 -2.648l.114 -.125l1.427 -1.426v-2.019l-2.383 -1.09l-.148 -.075a1.88 1.88 0 0 1 -.787 -2.447c.429 -.902 1.489 -1.318 2.424 -.978l.147 .06l1.755 .803l.147 -.369a5 5 0 0 1 -2.15 -3.895v-.217a5 5 0 0 1 5 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]}]})(props);\n};\nexport function TbCookieOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.192 18.187a3 3 0 0 1 -.976 .652c-1.048 .263 -1.787 .483 -2.216 .661c-.475 .197 -1.092 .538 -1.852 1.024a3 3 0 0 1 -2.296 0c-.802 -.503 -1.419 -.844 -1.852 -1.024c-.471 -.195 -1.21 -.415 -2.216 -.66a3 3 0 0 1 -1.623 -1.624c-.265 -1.052 -.485 -1.79 -.661 -2.216c-.198 -.479 -.54 -1.096 -1.024 -1.852a3 3 0 0 1 0 -2.296c.48 -.744 .82 -1.361 1.024 -1.852c.171 -.413 .391 -1.152 .66 -2.216a3 3 0 0 1 .649 -.971m2.821 -1.174c.14 -.049 .263 -.095 .37 -.139c.458 -.19 1.075 -.531 1.852 -1.024a3 3 0 0 1 2.296 0l2.667 1.104a4 4 0 0 0 4.656 6.14l.053 .132a3 3 0 0 1 0 2.296c-.497 .786 -.838 1.404 -1.024 1.852a6.579 6.579 0 0 0 -.135 .36\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCookie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"stroke\":\"none\",\"d\":\"M0 0h24v24H0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.148 3.476l2.667 1.104a4 4 0 0 0 4.656 6.14l.053 .132a3 3 0 0 1 0 2.296q -.745 1.18 -1.024 1.852q -.283 .684 -.66 2.216a3 3 0 0 1 -1.624 1.623q -1.572 .394 -2.216 .661q -.712 .295 -1.852 1.024a3 3 0 0 1 -2.296 0q -1.203 -.754 -1.852 -1.024q -.707 -.292 -2.216 -.66a3 3 0 0 1 -1.623 -1.624q -.397 -1.577 -.661 -2.216q -.298 -.718 -1.024 -1.852a3 3 0 0 1 0 -2.296q .719 -1.116 1.024 -1.852q .257 -.62 .66 -2.216a3 3 0 0 1 1.624 -1.623q 1.547 -.384 2.216 -.661q .687 -.285 1.852 -1.024a3 3 0 0 1 2.296 0\"},\"child\":[]}]})(props);\n};\nexport function TbCopyCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"stroke\":\"none\",\"d\":\"M0 0h24v24H0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 16.737a2 2 0 0 1 -1.012 -1.737v-10c0 -1.1 .9 -2 2 -2h10c.75 0 1.158 .385 1.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCopyMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"stroke\":\"none\",\"d\":\"M0 0h24v24H0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 16.737a2 2 0 0 1 -1.012 -1.737v-10c0 -1.1 .9 -2 2 -2h10c.75 0 1.158 .385 1.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h6\"},\"child\":[]}]})(props);\n};\nexport function TbCopyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.414 19.415a2 2 0 0 1 -1.414 .585h-8a2 2 0 0 1 -2 -2v-8c0 -.554 .225 -1.055 .589 -1.417m3.411 -.583h6a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v-2a2 2 0 0 0 -2 -2h-6m-3.418 .59c-.36 .36 -.582 .86 -.582 1.41v8a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCopyPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"stroke\":\"none\",\"d\":\"M0 0h24v24H0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 16.737a2 2 0 0 1 -1.012 -1.737v-10c0 -1.1 .9 -2 2 -2h10c.75 0 1.158 .385 1.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11v6\"},\"child\":[]}]})(props);\n};\nexport function TbCopyX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"stroke\":\"none\",\"d\":\"M0 0h24v24H0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 16.737a2 2 0 0 1 -1.012 -1.737v-10c0 -1.1 .9 -2 2 -2h10c.75 0 1.158 .385 1.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 11.5l4.9 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 11.5l-5.1 5\"},\"child\":[]}]})(props);\n};\nexport function TbCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7m0 2.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 16.737a2.005 2.005 0 0 1 -1.012 -1.737v-10c0 -1.1 .9 -2 2 -2h10c.75 0 1.158 .385 1.5 1\"},\"child\":[]}]})(props);\n};\nexport function TbCopyleftOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.303 9.3a3.01 3.01 0 0 1 1.405 1.406m-.586 3.413a3.016 3.016 0 0 1 -4.122 .131\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.042 16.045a9 9 0 0 0 -12.087 -12.087m-2.318 1.677a9 9 0 1 0 12.725 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCopyleft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.75a3.016 3.016 0 0 1 4.163 .173a2.993 2.993 0 0 1 0 4.154a3.016 3.016 0 0 1 -4.163 .173\"},\"child\":[]}]})(props);\n};\nexport function TbCopyrightOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9.75a3.016 3.016 0 0 0 -.711 -.466m-3.41 .596a2.993 2.993 0 0 0 -.042 4.197a3.016 3.016 0 0 0 4.163 .173\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.042 16.045a9 9 0 0 0 -12.087 -12.087m-2.318 1.677a9 9 0 1 0 12.725 12.73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCopyright (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9.75a3.016 3.016 0 0 0 -4.163 .173a2.993 2.993 0 0 0 0 4.154a3.016 3.016 0 0 0 4.163 .173\"},\"child\":[]}]})(props);\n};\nexport function TbCornerDownLeftDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5v6a3 3 0 0 1 -3 3h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l-4 4l4 4m-5 -8l-4 4l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerDownLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v6a3 3 0 0 1 -3 3h-10l4 -4m0 8l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerDownRightDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5v6a3 3 0 0 0 3 3h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l4 4l-4 4m5 -8l4 4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerDownRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6v6a3 3 0 0 0 3 3h10l-4 -4m0 8l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerLeftDownDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4h-6a3 3 0 0 0 -3 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l-4 4l-4 -4m8 5l-4 4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerLeftDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h-6a3 3 0 0 0 -3 3v10l-4 -4m8 0l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerLeftUpDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19h-6a3 3 0 0 1 -3 -3v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l-4 -4l-4 4m8 -5l-4 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerLeftUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-6a3 3 0 0 1 -3 -3v-10l-4 4m8 0l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerRightDownDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h6a3 3 0 0 1 3 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l4 4l4 -4m-8 5l4 4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerRightDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h6a3 3 0 0 1 3 3v10l-4 -4m8 0l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerRightUpDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h6a3 3 0 0 0 3 -3v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l4 -4l4 4m-8 -5l4 -4l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerRightUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h6a3 3 0 0 0 3 -3v-10l-4 4m8 0l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerUpLeftDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v-6a3 3 0 0 0 -3 -3h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l-4 -4l4 -4m-5 8l-4 -4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerUpLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18v-6a3 3 0 0 0 -3 -3h-10l4 -4m0 8l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerUpRightDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-6a3 3 0 0 1 3 -3h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l4 -4l-4 -4m5 8l4 -4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCornerUpRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18v-6a3 3 0 0 1 3 -3h10l-4 -4m0 8l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbCpu2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m0 1a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v12a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v-2h2m6 6v2h-2m-4 0h-2v-2m8 -4v-2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCpuOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h9a1 1 0 0 1 1 1v9m-.292 3.706a1 1 0 0 1 -.708 .294h-12a1 1 0 0 1 -1 -1v-12c0 -.272 .108 -.518 .284 -.698\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h2v2m0 4h-6v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCpu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m0 1a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v12a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6v6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCraneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v-2l-1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l-3 3h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l10 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v4a2 2 0 0 1 2 2m-2 2a2 2 0 0 1 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCrane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-18l-6 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l10 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v4a2 2 0 1 1 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbCreativeCommonsBy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13v-1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-.5l-.5 4h-2l-.5 -4h-.5a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbCreativeCommonsNc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h-4.5a1.5 1.5 0 0 0 0 3h3a1.5 1.5 0 0 1 0 3h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbCreativeCommonsNd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h6\"},\"child\":[]}]})(props);\n};\nexport function TbCreativeCommonsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.638 5.634a9 9 0 1 0 12.723 12.733m1.686 -2.332a9 9 0 0 0 -12.093 -12.077\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 10.5a2.187 2.187 0 0 0 -2.914 .116a1.928 1.928 0 0 0 0 2.768a2.188 2.188 0 0 0 2.914 .116\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10.5a2.194 2.194 0 0 0 -2.309 -.302\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCreativeCommonsSa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16a4 4 0 1 0 -4 -4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l2 2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbCreativeCommonsZero (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 4 0 1 0 6 0a3 4 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l-4 6\"},\"child\":[]}]})(props);\n};\nexport function TbCreativeCommons (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 10.5c-.847 -.71 -2.132 -.658 -2.914 .116a1.928 1.928 0 0 0 0 2.768c.782 .774 2.067 .825 2.914 .116\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10.5c-.847 -.71 -2.132 -.658 -2.914 .116a1.928 1.928 0 0 0 0 2.768c.782 .774 2.067 .825 2.914 .116\"},\"child\":[]}]})(props);\n};\nexport function TbCreditCardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h9a3 3 0 0 1 3 3v8a3 3 0 0 1 -.128 .87\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.87 18.872a3 3 0 0 1 -.87 .128h-12a3 3 0 0 1 -3 -3v-8c0 -1.352 .894 -2.495 2.124 -2.87\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbCreditCardPay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-6a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.005 15h.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h2\"},\"child\":[]}]})(props);\n};\nexport function TbCreditCardRefund (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-6a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbCreditCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbCricket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.105 18.79l-1 .992a4.159 4.159 0 0 1 -6.038 -5.715l.157 -.166l8.282 -8.401l1.5 1.5l3.45 -3.391a2.08 2.08 0 0 1 3.057 2.815l-.116 .126l-3.391 3.45l1.5 1.5l-3.668 3.617\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 7.5l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbCrop11 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop169 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop32 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop54 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop75 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCropLandscape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCropPortrait (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbCrop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5v10a1 1 0 0 0 1 1h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h10a1 1 0 0 1 1 1v10\"},\"child\":[]}]})(props);\n};\nexport function TbCrossOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h3v-4h-5v-5h-4v3m-2 2h-3v4h5v9h4v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCross (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21h4v-9h5v-4h-5v-5h-4v5h-5v4h5z\"},\"child\":[]}]})(props);\n};\nexport function TbCrosshair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbCrownOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-13l-1.865 -9.327a.25 .25 0 0 1 .4 -.244l4.465 3.571l1.6 -2.4m1.596 -2.394l.804 -1.206l4 6l4.464 -3.571a.25 .25 0 0 1 .401 .244l-1.363 6.818\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCrown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l4 6l5 -4l-2 10h-14l-2 -10l5 4z\"},\"child\":[]}]})(props);\n};\nexport function TbCrutchesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.178 4.174a2 2 0 0 1 1.822 -1.174h4a2 2 0 1 1 0 4h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-4.092a3 3 0 0 1 .504 -1.664l.992 -1.488a3 3 0 0 0 .097 -.155m.407 -3.601v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-4.092a3 3 0 0 0 -.504 -1.664l-.992 -1.488a3 3 0 0 1 -.504 -1.664v-2.092\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCrutches (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3m0 2a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-4.092a3 3 0 0 1 .504 -1.664l.992 -1.488a3 3 0 0 0 .504 -1.664v-5.092\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-4.092a3 3 0 0 0 -.504 -1.664l-.992 -1.488a3 3 0 0 1 -.504 -1.664v-5.092\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h4\"},\"child\":[]}]})(props);\n};\nexport function TbCrystalBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.73 17.018a8 8 0 1 1 10.54 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19a2 2 0 0 0 2 2h10a2 2 0 1 0 0 -4h-10a2 2 0 0 0 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7a3 3 0 0 0 -3 3\"},\"child\":[]}]})(props);\n};\nexport function TbCsv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l2 8l2 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10a2 2 0 1 0 -4 0v4a2 2 0 1 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbCube3dSphereOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17.6l-2 -1.1v-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10v-2.5l2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4.1l2 -1.1l2 1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6.4l2 1.1v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19.9l-2 1.1l-2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8.6l2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-2 -1.12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8.6l-2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCube3dSphere (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17.6l-2 -1.1v-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10v-2.5l2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4.1l2 -1.1l2 1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6.4l2 1.1v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14v2.5l-2 1.12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19.9l-2 1.1l-2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8.6l2 -1.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l0 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-2 -1.12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8.6l-2 -1.1\"},\"child\":[]}]})(props);\n};\nexport function TbCubeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.83 16.809c.11 -.248 .17 -.52 .17 -.801v-8.018a1.98 1.98 0 0 0 -1 -1.717l-7 -4.008a2.016 2.016 0 0 0 -2 0l-3.012 1.725m-2.547 1.458l-1.441 .825c-.619 .355 -1 1.01 -1 1.718v8.018c0 .709 .381 1.363 1 1.717l7 4.008a2.016 2.016 0 0 0 2 0l5.544 -3.174\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.532 10.538l6.198 -3.578\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.27 6.96l8.73 5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCubePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12.5v-4.509a1.98 1.98 0 0 0 -1 -1.717l-7 -4.008a2.016 2.016 0 0 0 -2 0l-7 4.007c-.619 .355 -1 1.01 -1 1.718v8.018c0 .709 .381 1.363 1 1.717l7 4.008a2.016 2.016 0 0 0 2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8.73 -5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.27 6.96l8.73 5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbCubeSend (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12.5l-5 -3l5 -3l5 3v5.5l-5 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9.5v5.5l5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12.545l5 -3.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15h-1\"},\"child\":[]}]})(props);\n};\nexport function TbCubeUnfolded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h10v5h5v-5h5v-5h-10v-5h-5v5h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-5h5v5h5v-5\"},\"child\":[]}]})(props);\n};\nexport function TbCube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16.008v-8.018a1.98 1.98 0 0 0 -1 -1.717l-7 -4.008a2.016 2.016 0 0 0 -2 0l-7 4.008c-.619 .355 -1 1.01 -1 1.718v8.018c0 .709 .381 1.363 1 1.717l7 4.008a2.016 2.016 0 0 0 2 0l7 -4.008c.619 -.355 1 -1.01 1 -1.718z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8.73 -5.04\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.27 6.96l8.73 5.04\"},\"child\":[]}]})(props);\n};\nexport function TbCupOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h-3v3h6m4 0h4v-3h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 11l-.323 2.154m-.525 3.497l-.652 4.349h-8l-1.5 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v-1c0 -.296 .064 -.577 .18 -.83m2.82 -1.17h7a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11h14v-3h-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 11l-1.5 10h-8l-1.5 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v-1a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCurling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9m0 4a4 4 0 0 1 4 -4h8a4 4 0 0 1 4 4v2a4 4 0 0 1 -4 4h-8a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5h6a2 2 0 0 1 2 2v2\"},\"child\":[]}]})(props);\n};\nexport function TbCurlyLoop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8c-4 0 -7 2 -7 5a3 3 0 0 0 6 0c0 -3 -2.5 -5 -8 -5s-8 2 -8 5a3 3 0 0 0 6 0c0 -3 -3 -5 -7 -5\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyAfghani (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h-3.5a3.5 3.5 0 1 1 3.5 -3.5v6.5h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyBahraini (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10v1a4 4 0 0 0 4 4h2a2 2 0 0 0 2 -2v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15h2a2 2 0 0 0 1.649 -3.131l-2.653 -3.869\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyBaht (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h5a3 3 0 0 1 3 3v.143a2.857 2.857 0 0 1 -2.857 2.857h-5.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h5a3 3 0 0 1 3 3v.143a2.857 2.857 0 0 1 -2.857 2.857h-5.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18v2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyBitcoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h8a3 3 0 0 1 0 6a3 3 0 0 1 0 6h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6l0 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l0 3\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyCent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.007 7.54a5.965 5.965 0 0 0 -4.008 -1.54a6 6 0 0 0 -5.992 6c0 3.314 2.682 6 5.992 6a5.965 5.965 0 0 0 4 -1.536\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDinar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13l2.386 -.9a1 1 0 0 0 -.095 -1.902l-1.514 -.404a1 1 0 0 1 -.102 -1.9l2.325 -.894\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14v1a3 3 0 0 0 3 3h4.161a3 3 0 0 0 2.983 -3.32l-1.144 -10.68\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17l1 1h2a2 2 0 0 0 1.649 -3.131l-2.653 -3.869\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDirham (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 19h-3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.599 16.479a1.5 1.5 0 1 0 -1.099 2.521\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h1.888a1.5 1.5 0 0 0 1.296 -2.256l-2.184 -3.744\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13.01v-.01\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDogecoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h6a6 6 0 1 0 0 -12h-6\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollarAustralian (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18l3.279 -11.476a.75 .75 0 0 1 1.442 0l3.279 11.476\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 14h5\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollarBrunei (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v12h4a3 3 0 0 0 0 -6h-4h4a3 3 0 0 0 0 -6h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollarCanadian (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h-1a6 6 0 1 1 0 -12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollarGuyanese (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h-3a4 4 0 0 0 -4 4v4a4 4 0 0 0 4 4h3v-6h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.7 8a3 3 0 0 0 -2.7 -2h-4m-2.557 1.431a3 3 0 0 0 2.557 4.569h2m4.564 4.558a3 3 0 0 1 -2.564 1.442h-4a3 3 0 0 1 -2.7 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v3m0 12v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollarSingapore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h-4a3 3 0 1 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollarZimbabwean (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h7l-7 12h7\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.7 8a3 3 0 0 0 -2.7 -2h-4a3 3 0 0 0 0 6h4a3 3 0 0 1 0 6h-4a3 3 0 0 1 -2.7 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v3m0 12v3\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDong (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h-4\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyDram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10a6 6 0 1 1 12 0v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h8\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyEthereum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l6 -9l6 9l-6 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l6 -3l6 3l-6 2z\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyEuroOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.2 7c-1.977 -2.26 -4.954 -2.602 -7.234 -1.04m-1.913 2.079c-1.604 2.72 -1.374 6.469 .69 8.894c2.292 2.691 6 2.758 8.356 .18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h-5m0 4h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyEuro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.2 7a6 7 0 1 0 0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10h-8m0 4h8\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyFlorin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19c1.213 0 2.31 -.723 2.788 -1.838l4.424 -10.324a3.033 3.033 0 0 1 2.788 -1.838\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyForint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h-4a3 3 0 0 0 -3 3v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v13a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h-5\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyFrank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h-6a2 2 0 0 0 -2 2v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h7\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyGuarani (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.007 7.54a5.965 5.965 0 0 0 -4.008 -1.54a6 6 0 0 0 -5.992 6c0 3.314 2.682 6 5.992 6a5.965 5.965 0 0 0 4 -1.536c.732 -.66 1.064 -2.148 1 -4.464h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-16\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyHryvnia (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a2.64 2.64 0 0 1 2.562 -2h3.376a2.64 2.64 0 0 1 2.562 2a2.57 2.57 0 0 1 -1.344 2.922l-5.876 2.938a3.338 3.338 0 0 0 -1.78 3.64a3.11 3.11 0 0 0 3.05 2.5h2.888a2.64 2.64 0 0 0 2.562 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h12\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyIranianRial (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v9a2 2 0 0 1 -2 2h-1a3 3 0 0 1 -3 -3v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v8a1 1 0 0 0 1 1h1a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14v1.096a5 5 0 0 1 -3.787 4.85l-.213 .054\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h.01\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyKip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19a7 7 0 0 0 -7 -7a7 7 0 0 0 7 -7\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyKroneCzech (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12c3.5 0 6 -3 6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12c3.5 0 6 3 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6l-2 2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h-2a3 3 0 0 0 0 6h2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyKroneDanish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12c3.5 0 6 -3 6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12c3.5 0 6 3 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10a4 4 0 0 0 -4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18.01v-.01\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyKroneSwedish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12c3.5 0 6 -3 6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12c3.5 0 6 3 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10a4 4 0 0 0 -4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyLari (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13a6 6 0 1 0 -6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12v-7\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyLeu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18h-7a3 3 0 0 1 -3 -3v-10\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyLira (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5v15a7 7 0 0 0 7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l-8 4\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyLitecoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19h-8.194a2 2 0 0 1 -1.98 -2.283l1.674 -11.717\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l-9 4\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyLyd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5v10a2 2 0 0 1 -2 2h-2.764a2 2 0 0 1 -1.789 -1.106l-.447 -.894\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8l2.773 4.687c.427 .697 .234 1.626 -.43 2.075a1.38 1.38 0 0 1 -.773 .238h-2.224a.93 .93 0 0 1 -.673 -.293l-.673 -.707\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyManat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19v-7a5 5 0 1 1 10 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v14\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyMonero (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h3v-11l6 7l6 -7v11h3\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyNaira (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18v-10.948a1.05 1.05 0 0 1 1.968 -.51l6.064 10.916a1.05 1.05 0 0 0 1.968 -.51v-10.948\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14h14\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyNano (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20l10 -16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l-10 -16\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.531 14.524a7 7 0 0 0 -9.06 -9.053m-2.422 1.582a7 7 0 0 0 9.903 9.896\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyPaanga (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyPeso (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19v-14h3.5a4.5 4.5 0 1 1 0 9h-3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11h-12\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyPoundOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18.5a6 6 0 0 1 -5 0a6 6 0 0 0 -5 .5a3 3 0 0 0 2 -2.5v-7.5m1.192 -2.825a4 4 0 0 1 6.258 .825m-3.45 6h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyPound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18.5a6 6 0 0 1 -5 0a6 6 0 0 0 -5 .5a3 3 0 0 0 2 -2.5v-7.5a4 4 0 0 1 7.45 -2m-2.55 6h-7\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyQuetzal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l5 5\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyReal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6h-4a3 3 0 0 0 0 6h1a3 3 0 0 1 0 6h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-12h3a3 3 0 1 1 0 6h-3c5.5 0 5 4 6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-2\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyRenminbi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v8a2 2 0 1 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5h-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v4c0 2.5 -.667 4 -2 6\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyRipple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h3l2 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14.5l-2 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyRiyal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v2a2 2 0 1 1 -4 0v-1v1a2 2 0 1 1 -4 0v-1v4a2 2 0 1 1 -4 0v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10v1a5 5 0 0 1 -5 5\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyRubel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19v-14h6a3 3 0 0 1 0 6h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h-8\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyRufiyaa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16c9.5 -4 11.5 -8 14 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l5 3\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyRupeeNepalese (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5h-11h3a4 4 0 1 1 0 8h-3l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17l-4.586 -4.414a2 2 0 0 0 -2.828 2.828l.707 .707\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyRupee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5h-11h3a4 4 0 0 1 0 8h-3l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l11 0\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyShekel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18v-12h4a4 4 0 0 1 4 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6v12h-4a4 4 0 0 1 -4 -4v-4\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencySolana (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h12l4 -4h-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l-4 -4h12l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10l4 -4h-12l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencySom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v-12h-5v10a2 2 0 0 1 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6v12h4a3 3 0 0 0 0 -6h-4h4a3 3 0 0 0 0 -6h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyTaka (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 15.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7a2 2 0 1 1 4 0v9a3 3 0 0 0 6 0v-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h6\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyTenge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v10\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyTugrik (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l8 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10l-8 3\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyWon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l3.245 11.358a.85 .85 0 0 0 1.624 .035l3.131 -9.393l3.131 9.393a.85 .85 0 0 0 1.624 -.035l3.245 -11.358\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10h-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14h-18\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyXrp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5l3.585 3.585a4.83 4.83 0 0 0 6.83 0l3.585 -3.585\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19l3.585 -3.585a4.83 4.83 0 0 1 6.83 0l3.585 3.584\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyYenOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v-7m5 -7l-3.328 4.66\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyYen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v-7l-5 -7m10 0l-5 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13l8 0\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyYuan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v-7l-5 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5l-5 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h8\"},\"child\":[]}]})(props);\n};\nexport function TbCurrencyZloty (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-7l7 -7h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14.5l6 -3.5\"},\"child\":[]}]})(props);\n};\nexport function TbCurrency (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbCurrentLocationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.685 10.661c-.3 -.6 -.795 -1.086 -1.402 -1.374m-3.397 .584a3 3 0 1 0 4.24 4.245\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.357 6.33a8 8 0 1 0 11.301 11.326m1.642 -2.378a8 8 0 0 0 -10.597 -10.569\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCurrentLocation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-8 0a8 8 0 1 0 16 0a8 8 0 1 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbCursorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4a3 3 0 0 1 3 3v1m0 9a3 3 0 0 1 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4a3 3 0 0 0 -3 3v1m0 4v5a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCursorText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4a3 3 0 0 0 -3 3v10a3 3 0 0 0 3 3\"},\"child\":[]}]})(props);\n};\nexport function TbCut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.15 14.85l8.85 -10.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l8.85 10.85\"},\"child\":[]}]})(props);\n};\nexport function TbCylinderOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.23 5.233c-.15 .245 -.23 .502 -.23 .767c0 1.131 1.461 2.117 3.62 2.628m4.357 .343c3.404 -.204 6.023 -1.456 6.023 -2.971c0 -1.657 -3.134 -3 -7 -3c-1.645 0 -3.158 .243 -4.353 .65\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v12c0 1.657 3.134 3 7 3c3.245 0 5.974 -.946 6.767 -2.23m.233 -3.77v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbCylinderPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-7 0a7 3 0 1 0 14 0a7 3 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v12c0 1.657 3.134 3 7 3c.173 0 .345 -.003 .515 -.008m6.485 -8.992v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbCylinder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-7 0a7 3 0 1 0 14 0a7 3 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v12c0 1.657 3.134 3 7 3s7 -1.343 7 -3v-12\"},\"child\":[]}]})(props);\n};\nexport function TbDashboardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.175 11.178a2 2 0 1 0 2.653 2.634\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 10.5l1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.621 4.612a9 9 0 0 1 11.721 11.72m-1.516 2.488a9.008 9.008 0 0 1 -1.226 1.18h-11.2a9 9 0 0 1 -.268 -13.87\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDashboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.45 11.55l2.05 -2.05\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.4 20a9 9 0 1 1 11.2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c.21 0 .42 -.003 .626 -.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11.5v-5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c.415 0 .822 -.012 1.22 -.035\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c.352 0 .698 -.009 1.037 -.025\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c.478 0 .947 -.016 1.402 -.046\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.526 3.04 2.786 6.972 2.975\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c1.118 0 2.182 -.086 3.148 -.241m4.852 -2.759v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c1.064 0 2.079 -.078 3.007 -.22\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseExport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c1.118 0 2.183 -.086 3.15 -.241\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c.157 0 .312 -.002 .466 -.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.453 2.755 2.665 6.414 2.941\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.579 3.253 2.873 7.383 2.991\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseImport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c.856 0 1.68 -.05 2.454 -.144m5.546 -2.856v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c.171 0 .341 -.002 .51 -.006\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseLeak (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12c0 1.657 3.582 3 8 3s8 -1.343 8 -3v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3s8 -1.343 8 -3v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c.164 0 .328 -.002 .49 -.006\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.983 8.978c3.955 -.182 7.017 -1.446 7.017 -2.978c0 -1.657 -3.582 -3 -8 -3c-1.661 0 -3.204 .19 -4.483 .515m-2.783 1.228c-.471 .382 -.734 .808 -.734 1.257c0 1.22 1.944 2.271 4.734 2.74\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c.986 0 1.93 -.067 2.802 -.19m3.187 -.82c1.251 -.53 2.011 -1.228 2.011 -1.99v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c3.217 0 5.991 -.712 7.261 -1.74m.739 -3.26v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDatabasePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c1.075 0 2.1 -.08 3.037 -.224\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c.166 0 .331 -.002 .495 -.006\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3m8 -3.5v-5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c.361 0 .716 -.009 1.065 -.026\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17a3.5 3.5 0 0 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12c0 1.657 3.582 3 8 3s8 -1.343 8 -3v-12\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.43 2.67 2.627 6.243 2.927\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10.5v-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.546 3.12 2.82 7.128 2.982\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDatabaseX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 1.657 3.582 3 8 3s8 -1.343 8 -3s-3.582 -3 -8 -3s-8 1.343 -8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6c0 1.657 3.582 3 8 3c.537 0 1.062 -.02 1.57 -.058\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13.5v-7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6c0 1.657 3.582 3 8 3c.384 0 .762 -.01 1.132 -.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbDatabase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-8 0a8 3 0 1 0 16 0a8 3 0 1 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v6a8 3 0 0 0 16 0v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6a8 3 0 0 0 16 0v-6\"},\"child\":[]}]})(props);\n};\nexport function TbDecimal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3c0 2 1 3 4 3c2 0 3 1 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3c0 2 -1 3 -4 3c-2 0 -3 .333 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-1 0 -4 -3 -4 -6c0 -2 1.333 -3 4 -3s4 1 4 3c0 3 -3 6 -4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.185 14.889l.095 -.18a4 4 0 1 1 -6.56 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3c0 1.333 -.333 2.333 -1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3c0 1.333 .333 2.333 1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6c-2.667 .667 -4.333 1.667 -5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6c2.667 .667 4.333 1.667 5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 10l-1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 10l1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h.01\"},\"child\":[]}]})(props);\n};\nexport function TbDelta (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16l-8 -16z\"},\"child\":[]}]})(props);\n};\nexport function TbDentalBroken (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.5c-1.074 -.586 -2.583 -1.5 -4 -1.5c-2.1 0 -4 1.247 -4 5c0 4.899 1.056 8.41 2.671 10.537c.573 .756 1.97 .521 2.567 -.236c.398 -.505 .819 -1.439 1.262 -2.801c.292 -.771 .892 -1.504 1.5 -1.5c.602 0 1.21 .737 1.5 1.5c.443 1.362 .864 2.295 1.262 2.8c.597 .759 2 .993 2.567 .237c1.615 -2.127 2.671 -5.637 2.671 -10.537c0 -3.74 -1.908 -5 -4 -5c-1.423 0 -2.92 .911 -4 1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.5l1 2.5l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDentalOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.277 15.281c.463 -1.75 .723 -3.844 .723 -6.281c0 -3.74 -1.908 -5 -4 -5c-1.423 0 -2.92 .911 -4 1.5c-1.074 -.586 -2.583 -1.5 -4 -1.5m-2.843 1.153c-.707 .784 -1.157 2.017 -1.157 3.847c0 4.899 1.056 8.41 2.671 10.537c.573 .756 1.97 .521 2.567 -.236c.398 -.505 .819 -1.439 1.262 -2.801c.292 -.771 .892 -1.504 1.5 -1.5c.602 0 1.21 .737 1.5 1.5c.443 1.362 .864 2.295 1.262 2.8c.597 .759 2 .993 2.567 .237c.305 -.402 .59 -.853 .852 -1.353\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.5l3 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDental (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.5c-1.074 -.586 -2.583 -1.5 -4 -1.5c-2.1 0 -4 1.247 -4 5c0 4.899 1.056 8.41 2.671 10.537c.573 .756 1.97 .521 2.567 -.236c.398 -.505 .819 -1.439 1.262 -2.801c.292 -.771 .892 -1.504 1.5 -1.5c.602 0 1.21 .737 1.5 1.5c.443 1.362 .864 2.295 1.262 2.8c.597 .759 2 .993 2.567 .237c1.615 -2.127 2.671 -5.637 2.671 -10.537c0 -3.74 -1.908 -5 -4 -5c-1.423 0 -2.92 .911 -4 1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.5l3 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeselect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h3a1 1 0 0 1 1 1v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h-7a1 1 0 0 1 -1 -1v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDesk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6v8a2 2 0 0 0 2 2h3\"},\"child\":[]}]})(props);\n};\nexport function TbDetailsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.986 16.984a2 2 0 0 0 -.146 -.734l-7.1 -12.25a2 2 0 0 0 -3.5 0l-.821 1.417m-1.469 2.534l-4.81 8.299a2 2 0 0 0 1.75 2.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m0 4v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDetails (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.999 3l.001 17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 3.591l-8.106 13.534a1.914 1.914 0 0 0 1.636 2.871h16.214a1.914 1.914 0 0 0 1.636 -2.87l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceAirpodsCase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10h-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 4a4 4 0 0 1 4 -4h10a4 4 0 0 1 4 4v8a4 4 0 0 1 -4 4h-10a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v1.5a1.5 1.5 0 0 0 1.5 1.5h7a1.5 1.5 0 0 0 1.5 -1.5v-1.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceAirpods (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4a4 4 0 0 1 4 3.8l0 .2v10.5a1.5 1.5 0 0 1 -3 0v-6.5h-1a4 4 0 0 1 -4 -3.8l0 -.2a4 4 0 0 1 4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a4 4 0 0 0 -4 3.8l0 .2v10.5a1.5 1.5 0 0 0 3 0v-6.5h1a4 4 0 0 0 4 -3.8l0 -.2a4 4 0 0 0 -4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceAirtag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12a8 8 0 1 0 16 0a8 8 0 0 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15a6 6 0 0 0 -6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15a3 3 0 0 0 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceAnalytics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l3 -3l2 2l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceAudioTape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l4 -3h10l4 3\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"7.5\",\"cy\":\"9.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"16.5\",\"cy\":\"9.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceCameraPhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 8.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h-8a2 2 0 0 0 -2 2v7a2 2 0 0 0 2 2h13a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15v-1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceCctvOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h-3a1 1 0 0 1 -1 -1v-2c0 -.275 .11 -.523 .29 -.704m3.71 -.296h13a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.36 10.35a4 4 0 1 0 5.285 5.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7v7c0 .321 -.022 .637 -.064 .947m-1.095 2.913a7 7 0 0 1 -12.841 -3.86l0 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceCctv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7v7a7 7 0 0 1 -14 0v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceComputerCameraOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.15 6.153a7 7 0 0 0 9.696 9.696m2 -2a7 7 0 0 0 -9.699 -9.695\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.13 9.122a3 3 0 0 0 3.743 3.749m2 -2a3 3 0 0 0 -3.737 -3.736\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-2.091 3.486a1 1 0 0 0 .857 1.514h10.468a1 1 0 0 0 .857 -1.514l-2.091 -3.486\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceComputerCamera (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l-2.091 3.486a1 1 0 0 0 .857 1.514h10.468a1 1 0 0 0 .857 -1.514l-2.091 -3.486\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopAnalytics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 16h-10.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 16h-8.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h-8a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 16h-8.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h-8a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h-9a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 16h-9.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16h-11a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h-6a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 16h-9.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h12a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1m-4 0h-12a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h-9a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 16h-8.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 16h-9.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 16h-9.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 16h-7.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 16h-8.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h-6a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 16h-9.5a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktopX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h-9a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceDesktop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1v-10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceFloppy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h10l4 4v10a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4l0 4l-6 0l0 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceGamepad2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5h3.5a5 5 0 0 1 0 10h-5.5l-4.015 4.227a2.3 2.3 0 0 1 -3.923 -2.035l1.634 -8.173a5 5 0 0 1 4.904 -4.019h3.4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15l4.07 4.284a2.3 2.3 0 0 0 3.925 -2.023l-1.6 -8.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceGamepad3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-3 -3h-2a1 1 0 0 0 -1 1v4a1 1 0 0 0 1 1h2l3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l3 -3h2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-2l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l-3 3v2a1 1 0 0 0 1 1h4a1 1 0 0 0 1 -1v-2l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l-3 -3v-2a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v2l-3 3z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceGamepad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 6m0 2a2 2 0 0 1 2 -2h16a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-16a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4m-2 -2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceHeartMonitor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h6l1 -2l2 4l1 -2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 17h-9.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h12.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17h-7.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17h-7.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h-8a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-9a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h-11a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17l.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h-6a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h13a1 1 0 0 1 1 1v12c0 .28 -.115 .532 -.3 .713m-3.7 .287h-13a1 1 0 0 1 -1 -1v-12c0 -.276 .112 -.526 .293 -.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h10m4 0h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17l.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-9a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h-8a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h13.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h-10a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h11.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17l.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h-7a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h-6a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-8.5a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImacX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-9a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceImac (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v12a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17l.5 4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h-7.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-8a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-6a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-8a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-10a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20h-5.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h12a2 2 0 0 1 2 2v12m-2 2h-16a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h-8a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-10a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 20h-6.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20h-7.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20h-5.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontalX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 20h-8.5a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2h12a2 2 0 0 1 2 2v12m0 4a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h-5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v7\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpadX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceIpad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 2a3 3 0 0 1 2.995 2.824l.005 .176v14a3 3 0 0 1 -2.824 2.995l-.176 .005h-12a3 3 0 0 1 -2.995 -2.824l-.005 -.176v-14a3 3 0 0 1 2.824 -2.995l.176 -.005h12zm-3 15h-6l-.117 .007a1 1 0 0 0 0 1.986l.117 .007h6l.117 -.007a1 1 0 0 0 0 -1.986l-.117 -.007z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceLandlinePhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3h-2a2 2 0 0 0 -2 2v14a2 2 0 0 0 2 2h2a2 2 0 0 0 2 -2v-14a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h-11a3 3 0 0 0 -3 3v10a3 3 0 0 0 3 3h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h-6v3h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceLaptopOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h8a1 1 0 0 1 1 1v8m-3 1h-10a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceLaptop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6m0 1a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h-5.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-4a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileCharging (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9.5l-1 2.5h2l-1 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-3.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-3.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-4a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-4.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-3.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileMessage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h10v8h-3l-4 2v-2h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v4a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-14a1 1 0 0 1 1 -1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-4.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.159 3.185c.256 -.119 .54 -.185 .841 -.185h8a2 2 0 0 1 2 2v9m0 4v1a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobilePause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobilePin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-4.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobilePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-4.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileRotated (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-4a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-4a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h-3a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-4.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileVibration (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6l-2 3l2 3l-2 3l2 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobileX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceMobile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceNintendoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.713 4.718a4 4 0 0 0 -1.713 3.282v8a4 4 0 0 0 4 4h3v-10m0 -4v-2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10v-6h3a4 4 0 0 1 4 4v8c0 .308 -.035 .608 -.1 .896m-1.62 2.39a3.982 3.982 0 0 1 -2.28 .714h-3v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 8.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceNintendo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20v-16h-3a4 4 0 0 0 -4 4v8a4 4 0 0 0 4 4h3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20v-16h3a4 4 0 0 1 4 4v8a4 4 0 0 1 -4 4h-3z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"17.5\",\"cy\":\"15.5\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"6.5\",\"cy\":\"8.5\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceProjector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9a5 5 0 1 0 10 0a5 5 0 0 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h-4a2 2 0 0 0 -2 2v8a2 2 0 0 0 2 2h14a2 2 0 0 0 2 -2v-8a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18l-1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18l1 2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceRemote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2a2 2 0 0 1 2 -2h6a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceSdCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10a2 2 0 0 0 2 -2v-14a2 2 0 0 0 -2 -2h-6.172a2 2 0 0 0 -1.414 .586l-3.828 3.828a2 2 0 0 0 -.586 1.414v10.172a2 2 0 0 0 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceSim1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h8.5l4.5 4.5v12.5a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceSim2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h8.5l4.5 4.5v12.5a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceSim3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h8.5l4.5 4.5v12.5a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceSim (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h8.5l4.5 4.5v12.5a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceSpeakerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10a2 2 0 0 1 2 2v10m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.114 11.133a3 3 0 1 0 3.754 3.751\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceSpeaker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h-7.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.314 16.05a1 1 0 0 0 -1.042 1.635\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.344 16.06a1 1 0 0 0 -1.07 1.627\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16a1 1 0 0 0 0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.872 16.51a1 1 0 1 0 -.872 1.49\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h11a1 1 0 0 1 1 1v11m0 4v1a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16a1 1 0 0 0 0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.57 16.178a1 1 0 1 0 .016 1.633\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h-5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.906 16.576a1 1 0 1 0 -.906 1.424\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTabletX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a1 1 0 0 1 -1 -1v-16a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTablet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v16a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTvOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h8a2 2 0 0 1 2 2v8m-1.178 2.824c-.25 .113 -.529 .176 -.822 .176h-14a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-4 4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTvOld (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-4 4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceTv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-4 4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceUsb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8v9a3 3 0 0 1 -3 3h-2a3 3 0 0 1 -3 -3v-9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v-4h4v4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceVisionPro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7c1.143 0 2.235 .035 3.275 .104c1.017 .068 1.95 .207 2.798 .42c.813 .203 1.52 .505 2.119 .909a3.903 3.903 0 0 1 1.328 1.531c.326 .657 .48 1.48 .48 2.466c0 1.006 -.189 1.91 -.574 2.707c-.375 .779 -.886 1.396 -1.537 1.848a3.696 3.696 0 0 1 -2.16 .66c-.509 0 -.97 -.068 -1.382 -.21a5.84 5.84 0 0 1 -1.17 -.548a18.45 18.45 0 0 1 -1.045 -.695a9.104 9.104 0 0 0 -1.001 -.63a2.376 2.376 0 0 0 -1.13 -.301c-.373 0 -.75 .097 -1.132 .3c-.316 .17 -.65 .38 -1 .63c-.322 .23 -.67 .462 -1.047 .695a5.78 5.78 0 0 1 -1.168 .548c-.413 .142 -.872 .21 -1.378 .21a3.706 3.706 0 0 1 -2.165 -.659c-.651 -.452 -1.162 -1.07 -1.537 -1.848c-.385 -.798 -.574 -1.7 -.574 -2.709c-.004 -.98 .15 -1.802 .477 -2.46a3.897 3.897 0 0 1 1.33 -1.531c.6 -.403 1.307 -.704 2.12 -.907a16.088 16.088 0 0 1 2.8 -.423c1.04 -.071 2.13 -.107 3.273 -.107z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h-4a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h-2a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h-2a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h-4a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h-6a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h6v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h-1a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h5a3 3 0 0 1 3 3v5m-.89 3.132a2.99 2.99 0 0 1 -2.11 .868h-6a3 3 0 0 1 -3 -3v-6c0 -.817 .327 -1.559 .857 -2.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h6v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v-2h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h-4a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h-5a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h6v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h-2a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 18h-3.5a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h-1a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchStats2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m0 3a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-6a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h6v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10a2 2 0 1 0 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchStats (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m0 3a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-6a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h6v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v-3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatchX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h-4a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbDeviceWatch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-6a3 3 0 0 1 -3 -3v-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h6v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]}]})(props);\n};\nexport function TbDevices2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h-6a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19v-10a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15.5v-6.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15.5v-6.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15.5v-6.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v4m0 6a1 1 0 0 1 -1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14.5v-5.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19v-10a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16.5v-7.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-1a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12v-3a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16.5v-7.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v8m-1 3h-6a1 1 0 0 1 -1 -1v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-9m-4 0a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19v-10a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesPcOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v10h-6v-14h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h9v7h-2m-4 0h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesPc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h6v14h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h10v7h-10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14v-5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16.5v-7.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-1a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13v-4a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v-6a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13v-4a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16.5v-7.5a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDevicesX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbDevices (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1v-10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v-3a1 1 0 0 0 -1 -1h-13a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9h2\"},\"child\":[]}]})(props);\n};\nexport function TbDiaboloOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.727 4.749c-.467 .38 -.727 .804 -.727 1.251c0 1.217 1.933 2.265 4.71 2.735m4.257 .243c3.962 -.178 7.033 -1.444 7.033 -2.978c0 -1.657 -3.582 -3 -8 -3c-1.66 0 -3.202 .19 -4.48 .514\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v.143a1 1 0 0 0 .048 .307l1.952 5.55l-1.964 5.67a1 1 0 0 0 -.036 .265v.065c0 1.657 3.582 3 8 3c3.218 0 5.992 -.712 7.262 -1.74m-.211 -4.227l-1.051 -3.033l1.952 -5.55a1 1 0 0 0 .048 -.307v-.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12c0 1.105 2.686 2 6 2c.656 0 1.288 -.035 1.879 -.1m3.198 -.834c.585 -.308 .923 -.674 .923 -1.066\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDiaboloPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-8 0a8 3 0 1 0 16 0a8 3 0 1 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v.143a1 1 0 0 0 .048 .307l1.952 5.55l-1.964 5.67a1 1 0 0 0 -.036 .265v.065c0 1.657 3.582 3 8 3c.17 0 .34 -.002 .508 -.006m5.492 -8.994l1.952 -5.55a1 1 0 0 0 .048 -.307v-.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12c0 1.105 2.686 2 6 2s6 -.895 6 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDiabolo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-8 0a8 3 0 1 0 16 0a8 3 0 1 0 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v.143a1 1 0 0 0 .048 .307l1.952 5.55l-1.964 5.67a1 1 0 0 0 -.036 .265v.065c0 1.657 3.582 3 8 3s8 -1.343 8 -3v-.065a1 1 0 0 0 -.036 -.265l-1.964 -5.67l1.952 -5.55a1 1 0 0 0 .048 -.307v-.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12c0 1.105 2.686 2 6 2s6 -.895 6 -2\"},\"child\":[]}]})(props);\n};\nexport function TbDialpadOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v-3h4v4h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v-3h4v4h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDialpad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbDiamondOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h9l3 5l-3.308 3.697m-1.883 2.104l-3.309 3.699a.7 .7 0 0 1 -1 0l-8.5 -9.5l2.62 -4.368\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-2 -2.2l.6 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDiamond (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5h12l3 5l-8.5 9.5a.7 .7 0 0 1 -1 0l-8.5 -9.5l3 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-2 -2.2l.6 -1\"},\"child\":[]}]})(props);\n};\nexport function TbDiamonds (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.831 20.413l-5.375 -6.91c-.608 -.783 -.608 -2.223 0 -3l5.375 -6.911a1.457 1.457 0 0 1 2.338 0l5.375 6.91c.608 .783 .608 2.223 0 3l-5.375 6.911a1.457 1.457 0 0 1 -2.338 0z\"},\"child\":[]}]})(props);\n};\nexport function TbDice1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDice2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.5\",\"cy\":\"9.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"14.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDice3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"15.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDice4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"15.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"15.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDice5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"15.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"15.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDice6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"7.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"7.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"16.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"16.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15.5\",\"cy\":\"15.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"8.5\",\"cy\":\"15.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbDimensions (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19l2 2l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-2 -2l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10m0 2a2 2 0 0 1 2 -2h7a2 2 0 0 1 2 2v7a2 2 0 0 1 -2 2h-7a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbDirectionArrows (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11l-1 1l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8l1 -1l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11l1 1l-1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16l1 1l1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbDirectionHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDirectionSignOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.73 14.724l1.949 -1.95a1.095 1.095 0 0 0 0 -1.548l-7.905 -7.905a1.095 1.095 0 0 0 -1.548 0l-1.95 1.95m-2.01 2.01l-3.945 3.945a1.095 1.095 0 0 0 0 1.548l7.905 7.905c.427 .428 1.12 .428 1.548 0l3.95 -3.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.748 13.752l-1.748 1.748\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDirectionSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.32 12.774l7.906 7.905c.427 .428 1.12 .428 1.548 0l7.905 -7.905a1.095 1.095 0 0 0 0 -1.548l-7.905 -7.905a1.095 1.095 0 0 0 -1.548 0l-7.905 7.905a1.095 1.095 0 0 0 0 1.548z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8.5l3.5 3.5l-3.5 3.5\"},\"child\":[]}]})(props);\n};\nexport function TbDirection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDirectionsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v1h1m4 0h6l2 -2l-2 -2h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14v3h-8l-2 -2l2 -2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDirections (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5v4h11l2 -2l-2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13v4h-8l-2 -2l2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbDisabled2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a5 5 0 1 0 3.95 7.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20l-4 -5h-4l3 -5l-4 -3l-4 1\"},\"child\":[]}]})(props);\n};\nexport function TbDisabledOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7a2 2 0 1 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11v4h4l4 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11.5a5 5 0 1 0 6 7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDisabled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l0 8l4 0l4 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11.5a5 5 0 1 0 6 7.5\"},\"child\":[]}]})(props);\n};\nexport function TbDiscGolf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5c.32 6.744 2.74 9.246 6 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5c-.32 6.744 -2.74 9.246 -6 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5c0 4.915 .552 7.082 2 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5c0 4.915 -.552 7.082 -2 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16c.64 .64 1.509 1 2.414 1h5.172c.905 0 1.774 -.36 2.414 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h2\"},\"child\":[]}]})(props);\n};\nexport function TbDiscOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.044 16.04a9 9 0 0 0 -12.082 -12.085m-2.333 1.688a9 9 0 0 0 6.371 15.357c2.491 0 4.73 -1 6.36 -2.631\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.298 11.288a1 1 0 1 0 1.402 1.427\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12c0 -1.38 .559 -2.629 1.462 -3.534m2.607 -1.38c.302 -.056 .613 -.086 .931 -.086\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a4.985 4.985 0 0 0 3.551 -1.48m1.362 -2.587c.057 -.302 .087 -.614 .087 -.933\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDisc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12a5 5 0 0 1 5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a5 5 0 0 0 5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbDiscountOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -3m2 -2l1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.148 9.145a.498 .498 0 0 0 .352 .855a.5 .5 0 0 0 .35 -.142\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.148 14.145a.498 .498 0 0 0 .352 .855a.5 .5 0 0 0 .35 -.142\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.641 5.631a9 9 0 1 0 12.719 12.738m1.68 -2.318a9 9 0 0 0 -12.074 -12.098\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.5\",\"cy\":\"9.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"14.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbDivide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"6\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"18\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbDna2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v1c-.007 2.46 -.91 4.554 -2.705 6.281m-2.295 1.719c-3.328 1.99 -5 4.662 -5.008 8.014v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21.014v-1c0 -1.44 -.315 -2.755 -.932 -3.944m-4.068 -4.07c-1.903 -1.138 -3.263 -2.485 -4.082 -4.068\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDna2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v1c-.01 3.352 -1.68 6.023 -5.008 8.014c-3.328 1.99 3.336 -2 .008 -.014c-3.328 1.99 -5 4.662 -5.008 8.014v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21.014v-1c-.01 -3.352 -1.68 -6.023 -5.008 -8.014c-3.328 -1.99 3.336 2 .008 .014c-3.328 -1.991 -5 -4.662 -5.008 -8.014v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h8\"},\"child\":[]}]})(props);\n};\nexport function TbDnaOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12a3.898 3.898 0 0 0 -1.172 -2.828a4.027 4.027 0 0 0 -2.828 -1.172m-2.828 1.172a4 4 0 1 0 5.656 5.656\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 20.485a4 4 0 1 0 -5.657 -5.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.828 3.515a4 4 0 1 0 5.657 5.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDna (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.828 14.828a4 4 0 1 0 -5.656 -5.656a4 4 0 0 0 5.656 5.656z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 20.485a4 4 0 1 0 -5.657 -5.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.828 3.515a4 4 0 0 0 5.657 5.657\"},\"child\":[]}]})(props);\n};\nexport function TbDogBowl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15l5.586 -5.585a2 2 0 1 1 3.414 -1.415a2 2 0 1 1 -1.413 3.414l-3.587 3.586\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l-3.586 -3.585a2 2 0 1 0 -3.414 -1.415a2 2 0 1 0 1.413 3.414l3.587 3.586\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18c-.175 -1.671 -.046 -3.345 -2 -5h-14c-1.333 1 -2 2.667 -2 5z\"},\"child\":[]}]})(props);\n};\nexport function TbDog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12c-.667 5.333 -2.333 8 -5 8h-4c-2.667 0 -4.333 -2.667 -5 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16c0 .667 .333 1 1 1s1 -.333 1 -1h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4l6 .97l-6.238 6.688a1.021 1.021 0 0 1 -1.41 .111a.953 .953 0 0 1 -.327 -.954l1.975 -6.815z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4l-6 .97l6.238 6.688c.358 .408 .989 .458 1.41 .111a.953 .953 0 0 0 .327 -.954l-1.975 -6.815z\"},\"child\":[]}]})(props);\n};\nexport function TbDoorEnter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h6m4 10.5v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h-7m3 -3l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDoorExit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h7.5m2.5 10.5v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h7m-3 -3l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDoorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.18 3.175c.25 -.112 .528 -.175 .82 -.175h8a2 2 0 0 1 2 2v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDoor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-16a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v16\"},\"child\":[]}]})(props);\n};\nexport function TbDotsCircleHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbDotsDiagonal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDotsDiagonal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDotsVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbDownloadOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v2a2 2 0 0 0 2 2h12a2 2 0 0 0 1.83 -1.19\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11l5 5l2 -2m2 -2l1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v4m0 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v2a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11l5 5l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 12\"},\"child\":[]}]})(props);\n};\nexport function TbDragDrop2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbDragDrop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v-2a2 2 0 0 0 -2 -2h-8a2 2 0 0 0 -2 2v8a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l9 3l-4 2l-2 4l-3 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbDroneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l-3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.957 5.95a3.503 3.503 0 0 0 -2.917 -2.91m-3.02 .989a3.5 3.5 0 0 0 1.98 5.936\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9.965a3.5 3.5 0 1 0 -3.966 -3.965\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.035 18a3.5 3.5 0 0 0 5.936 1.98m.987 -3.026a3.503 3.503 0 0 0 -2.918 -2.913\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l-3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14.035a3.5 3.5 0 1 0 3.966 3.965\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDrone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l-3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.96 6a3.5 3.5 0 1 0 -3.96 3.96\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9.96a3.5 3.5 0 1 0 -3.96 -3.96\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.04 18a3.5 3.5 0 1 0 3.96 -3.96\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l-3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14.04a3.5 3.5 0 1 0 3.96 3.96\"},\"child\":[]}]})(props);\n};\nexport function TbDropCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.07 15.34c1.115 .88 2.74 .88 3.855 0c1.115 -.88 1.398 -2.388 .671 -3.575l-2.596 -3.765l-2.602 3.765c-.726 1.187 -.443 2.694 .672 3.575z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbDropletBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.628 12.076a6.653 6.653 0 0 0 -.564 -1.199l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546c1.7 1.375 3.906 1.852 5.958 1.431\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbDropletCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.606 12.014a6.659 6.659 0 0 0 -.542 -1.137l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.154 7.154 0 0 0 4.826 1.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDropletCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.967 13.594a6.568 6.568 0 0 0 -.903 -2.717l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.125 7.125 0 0 0 4.04 1.565\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbDropletCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.907 13.147a6.586 6.586 0 0 0 -.843 -2.27l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.123 7.123 0 0 0 3.99 1.561\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbDropletCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.421 11.56a6.702 6.702 0 0 0 -.357 -.683l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.144 7.144 0 0 0 4.518 1.58\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbDropletDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.668 10.29l-4.493 -6.673c-.421 -.625 -1.288 -.803 -1.937 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.175 7.175 0 0 0 5.493 1.51\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbDropletDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.602 12.003a6.66 6.66 0 0 0 -.538 -1.126l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.159 7.159 0 0 0 4.972 1.564\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbDropletExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.602 12.004a6.66 6.66 0 0 0 -.538 -1.127l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546c2.142 1.734 5.092 2.04 7.519 .919\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDropletHalf2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.502 19.423c2.602 2.105 6.395 2.105 8.996 0c2.602 -2.105 3.262 -5.708 1.566 -8.546l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14h14\"},\"child\":[]}]})(props);\n};\nexport function TbDropletHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.502 19.423c2.602 2.105 6.395 2.105 8.996 0c2.602 -2.105 3.262 -5.708 1.566 -8.546l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbDropletHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.288 11.282a6.734 6.734 0 0 0 -.224 -.405l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.117 7.117 0 0 0 3.824 1.548\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbDropletMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.946 15.083a6.538 6.538 0 0 0 -.882 -4.206l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.163 7.163 0 0 0 5.089 1.555\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbDropletOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.963 14.938a6.54 6.54 0 0 0 -.899 -4.06l-4.89 -7.26c-.42 -.626 -1.287 -.804 -1.936 -.398a1.376 1.376 0 0 0 -.41 .397l-1.282 1.9m-1.625 2.415l-1.986 2.946c-1.695 2.837 -1.035 6.44 1.567 8.545c2.602 2.105 6.395 2.105 8.996 0a6.83 6.83 0 0 0 1.376 -1.499\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbDropletPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.952 13.456a6.573 6.573 0 0 0 -.888 -2.579l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.176 7.176 0 0 0 5.517 1.507\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbDropletPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.064 10.877l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.163 7.163 0 0 0 5.102 1.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbDropletPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.602 12.004a6.66 6.66 0 0 0 -.538 -1.127l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.16 7.16 0 0 0 5.033 1.56\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbDropletQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.064 10.877l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546c2.203 1.782 5.259 2.056 7.723 .82\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbDropletSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.064 10.877l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.13 7.13 0 0 0 4.168 1.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbDropletShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.884 13.025a6.591 6.591 0 0 0 -.82 -2.148l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.125 7.125 0 0 0 4.498 1.58\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbDropletStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.496 10.034l-4.321 -6.417c-.421 -.625 -1.288 -.803 -1.937 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.106 7.106 0 0 0 3.547 1.517\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbDropletUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.6 11.998a6.66 6.66 0 0 0 -.536 -1.12l-4.89 -7.26c-.42 -.626 -1.287 -.804 -1.936 -.398a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.16 7.16 0 0 0 5.002 1.562\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbDropletX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.953 13.467a6.572 6.572 0 0 0 -.889 -2.59l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546a7.179 7.179 0 0 0 5.633 1.49\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbDroplet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.502 19.423c2.602 2.105 6.395 2.105 8.996 0c2.602 -2.105 3.262 -5.708 1.566 -8.546l-4.89 -7.26c-.42 -.625 -1.287 -.803 -1.936 -.397a1.376 1.376 0 0 0 -.41 .397l-4.893 7.26c-1.695 2.838 -1.035 6.441 1.567 8.546z\"},\"child\":[]}]})(props);\n};\nexport function TbDroplets (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.072 20.3a2.999 2.999 0 0 0 3.856 0a3.002 3.002 0 0 0 .67 -3.798l-2.095 -3.227a.6 .6 0 0 0 -1.005 0l-2.098 3.227a3.003 3.003 0 0 0 .671 3.798z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.072 20.3a2.999 2.999 0 0 0 3.856 0a3.002 3.002 0 0 0 .67 -3.798l-2.095 -3.227a.6 .6 0 0 0 -1.005 0l-2.098 3.227a3.003 3.003 0 0 0 .671 3.798z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.072 10.3a2.999 2.999 0 0 0 3.856 0a3.002 3.002 0 0 0 .67 -3.798l-2.095 -3.227a.6 .6 0 0 0 -1.005 0l-2.098 3.227a3.003 3.003 0 0 0 .671 3.798z\"},\"child\":[]}]})(props);\n};\nexport function TbDualScreen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4l8 3v15l-8 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h6v-15h-14\"},\"child\":[]}]})(props);\n};\nexport function TbDumpling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.532 5.532a2.53 2.53 0 0 1 2.56 -.623a2.532 2.532 0 0 1 4.604 -.717q .146 -.24 .356 -.45a2.532 2.532 0 0 1 4.318 1.637a2.53 2.53 0 0 1 2.844 .511l.358 .358c1.384 1.385 -.7 5.713 -4.655 9.669c-3.956 3.955 -8.284 6.04 -9.669 4.655l-.358 -.358l-.114 -.122a2.53 2.53 0 0 1 -.398 -2.724a2.532 2.532 0 0 1 -1.186 -4.675a2.532 2.532 0 0 1 .718 -4.603a2.53 2.53 0 0 1 .622 -2.558\"},\"child\":[]}]})(props);\n};\nexport function TbEPassport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5m0 2a2 2 0 0 1 2 -2h16a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-16a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h7\"},\"child\":[]}]})(props);\n};\nexport function TbEarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10c0 -1.146 .277 -2.245 .78 -3.219m1.792 -2.208a7 7 0 0 1 10.428 9.027a10 10 0 0 1 -.633 .762m-2.045 1.96a8 8 0 0 0 -1.322 2.278a4.5 4.5 0 0 1 -6.8 1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.42 7.414a3 3 0 0 1 4.131 4.13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEarScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15a2 2 0 0 1 -2 2c-.732 0 -1.555 -.247 -1.72 -.98c-.634 -2.8 -3.17 -2.628 -3.28 -5.02v-.5a3.5 3.5 0 0 1 6.671 -1.483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12v.01\"},\"child\":[]}]})(props);\n};\nexport function TbEar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10a7 7 0 1 1 13 3.6a10 10 0 0 1 -2 2a8 8 0 0 0 -2 3a4.5 4.5 0 0 1 -6.8 1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10a3 3 0 1 1 5 2.2\"},\"child\":[]}]})(props);\n};\nexport function TbEaseInControlPoint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19c8 0 18 -16 18 -16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-2\"},\"child\":[]}]})(props);\n};\nexport function TbEaseInOutControlPoints (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20a2 2 0 1 0 4 0a2 2 0 0 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4a2 2 0 1 1 -4 0a2 2 0 0 1 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20c8 0 10 -16 18 -16\"},\"child\":[]}]})(props);\n};\nexport function TbEaseInOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20c8 0 10 -16 18 -16\"},\"child\":[]}]})(props);\n};\nexport function TbEaseIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20c8 0 18 -16 18 -16\"},\"child\":[]}]})(props);\n};\nexport function TbEaseOutControlPoint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21s10 -16 18 -16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5a2 2 0 1 1 -4 0a2 2 0 0 1 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5h-2\"},\"child\":[]}]})(props);\n};\nexport function TbEaseOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20s10 -16 18 -16\"},\"child\":[]}]})(props);\n};\nexport function TbEditCircleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.507 10.498l-1.507 1.502v3h3l1.493 -1.498m2 -2.01l4.89 -4.907a2.1 2.1 0 0 0 -2.97 -2.97l-4.913 4.896\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.476 7.471a7 7 0 0 0 2.524 13.529a7 7 0 0 0 6.53 -4.474\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEditCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l8.385 -8.415a2.1 2.1 0 0 0 -2.97 -2.97l-8.415 8.385v3h3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7.07a7 7 0 0 0 1 13.93a7 7 0 0 0 6.929 -6\"},\"child\":[]}]})(props);\n};\nexport function TbEditOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h-1a2 2 0 0 0 -2 2v9a2 2 0 0 0 2 2h9a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.507 10.498l-1.507 1.502v3h3l1.493 -1.498m2 -2.01l4.89 -4.907a2.1 2.1 0 0 0 -2.97 -2.97l-4.913 4.896\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h-1a2 2 0 0 0 -2 2v9a2 2 0 0 0 2 2h9a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.385 6.585a2.1 2.1 0 0 0 -2.97 -2.97l-8.415 8.385v3h3l8.385 -8.415z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbEggCracked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14.083c0 4.154 -2.966 6.74 -7 6.917c-4.2 0 -7 -2.763 -7 -6.917c0 -5.538 3.5 -11.09 7 -11.083c3.5 .007 7 5.545 7 11.083z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l-1.5 5l3.5 2.5l-2 3.5\"},\"child\":[]}]})(props);\n};\nexport function TbEggFried (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3a5 5 0 0 1 4.872 6.13a3 3 0 0 1 .178 5.681a3 3 0 1 1 -4.684 3.626a5 5 0 1 1 -8.662 -5a5 5 0 1 1 4.645 -8.856a4.982 4.982 0 0 1 3.651 -1.585z\"},\"child\":[]}]})(props);\n};\nexport function TbEggOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.927 17.934c-1.211 1.858 -3.351 2.953 -5.927 3.066c-4.2 0 -7 -2.763 -7 -6.917c0 -2.568 .753 -5.14 1.91 -7.158\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.642 4.628c1.034 -1.02 2.196 -1.63 3.358 -1.628c3.5 .007 7 5.545 7 11.083c0 .298 -.015 .587 -.045 .868\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEgg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14.083c0 4.154 -2.966 6.74 -7 6.917c-4.2 0 -7 -2.763 -7 -6.917c0 -5.538 3.5 -11.09 7 -11.083c3.5 .007 7 5.545 7 11.083z\"},\"child\":[]}]})(props);\n};\nexport function TbEggs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 22c-3 0 -4.868 -2.118 -5 -5c0 -3 2 -5 5 -5c4 0 8.01 2.5 8 5c0 2.5 -4 5 -8 5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18c-3.03 -.196 -5 -2.309 -5 -5.38c0 -4.307 2.75 -8.625 5.5 -8.62c2.614 0 5.248 3.915 5.5 8\"},\"child\":[]}]})(props);\n};\nexport function TbElevatorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a1 1 0 0 1 1 1v10m0 4a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l2 2l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbElevator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4m0 1a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l2 2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbEmergencyBed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l2.1 2.8a3 3 0 0 0 2.4 1.2h11.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v2l-2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 16.5l-2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbEmpathizeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2.5 2.5 0 1 0 -2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.317 12.315l-.317 .317l-.728 -.727a3.088 3.088 0 1 0 -4.367 4.367l5.095 5.096l4.689 -4.69m1.324 -2.673a3.087 3.087 0 0 0 -3.021 -3.018\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEmpathize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21.368l5.095 -5.096a3.088 3.088 0 1 0 -4.367 -4.367l-.728 .727l-.728 -.727a3.088 3.088 0 1 0 -4.367 4.367l5.095 5.096z\"},\"child\":[]}]})(props);\n};\nexport function TbEmphasis (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5h-8v10h8m-1 -5h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbEngineOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h-1v2a1 1 0 0 1 -1 1h-3.465a1 1 0 0 1 -.832 -.445l-1.703 -2.555h-2v-6h2l.99 -.99m3.01 -1.01h1.382a1 1 0 0 1 .894 .553l1.448 2.894a1 1 0 0 0 .894 .553h1.382v-2h2a1 1 0 0 1 1 1v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEngine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h2l2 -2h3.382a1 1 0 0 1 .894 .553l1.448 2.894a1 1 0 0 0 .894 .553h1.382v-2h2a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-2v-2h-3v2a1 1 0 0 1 -1 1h-3.465a1 1 0 0 1 -.832 -.445l-1.703 -2.555h-2v-6z\"},\"child\":[]}]})(props);\n};\nexport function TbEqualDouble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h7\"},\"child\":[]}]})(props);\n};\nexport function TbEqualNot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19l14 -14\"},\"child\":[]}]})(props);\n};\nexport function TbEqual (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14h14\"},\"child\":[]}]})(props);\n};\nexport function TbEraserOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20h-10.5l-4.21 -4.3a1 1 0 0 1 0 -1.41l5 -4.993m2.009 -2.01l3 -3a1 1 0 0 1 1.41 0l5 5a1 1 0 0 1 0 1.41c-1.417 1.431 -2.406 2.432 -2.97 3m-2.02 2.043l-4.211 4.256\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13.3l-6.3 -6.3\"},\"child\":[]}]})(props);\n};\nexport function TbEraser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20h-10.5l-4.21 -4.3a1 1 0 0 1 0 -1.41l10 -10a1 1 0 0 1 1.41 0l5 5a1 1 0 0 1 0 1.41l-9.2 9.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13.3l-6.3 -6.3\"},\"child\":[]}]})(props);\n};\nexport function TbError404Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v4a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2m0 -4v-2a1 1 0 0 0 -1 -1h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v4a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbError404 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v4a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-8a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v4a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7v10\"},\"child\":[]}]})(props);\n};\nexport function TbEscalatorDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 7h2.733a2 2 0 0 1 1.337 .513l9.43 8.487h1.5a2.5 2.5 0 1 1 0 5h-2.733a2 2 0 0 1 -1.337 -.513l-9.43 -8.487h-1.5a2.5 2.5 0 1 1 0 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbEscalatorUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 7h-2.672a2 2 0 0 0 -1.414 .586l-8.414 8.414h-2.5a2.5 2.5 0 1 0 0 5h3.672a2 2 0 0 0 1.414 -.586l8.414 -8.414h1.5a2.5 2.5 0 1 0 0 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbEscalator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 5h-2.672a2 2 0 0 0 -1.414 .586l-8.414 8.414h-2.5a2.5 2.5 0 1 0 0 5h3.672a2 2 0 0 0 1.414 -.586l8.414 -8.414h1.5a2.5 2.5 0 0 0 0 -5z\"},\"child\":[]}]})(props);\n};\nexport function TbExchangeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v5c0 .594 -.104 1.164 -.294 1.692m-1.692 2.298a4.978 4.978 0 0 1 -3.014 1.01h-3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16v-5c0 -1.632 .782 -3.082 1.992 -4m3.008 -1h3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.501 7.499l1.499 -1.499\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbExchange (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v5a5 5 0 0 1 -5 5h-3l3 -3m0 6l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16v-5a5 5 0 0 1 5 -5h3l-3 -3m0 6l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbExclamationCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbExclamationMarkOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-3m0 -4v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbExclamationMark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-10\"},\"child\":[]}]})(props);\n};\nexport function TbExplicitOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2m-2 2v6h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.586 3.414a2 2 0 0 1 -1.414 .586h-12a2 2 0 0 1 -2 -2v-12c0 -.547 .22 -1.043 .576 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbExplicit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h-4\"},\"child\":[]}]})(props);\n};\nexport function TbExposure0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19a4 4 0 0 0 4 -4v-6a4 4 0 1 0 -8 0v6a4 4 0 0 0 4 4z\"},\"child\":[]}]})(props);\n};\nexport function TbExposureMinus1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v-14l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbExposureMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a4 4 0 1 1 8 0c0 1.098 -.564 2.025 -1.159 2.815l-6.841 7.185h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbExposureOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.6 19.4l7.4 -7.4m2 -2l5.4 -5.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.586 3.414a2 2 0 0 1 -1.414 .586h-12a2 2 0 0 1 -2 -2v-12c0 -.547 .22 -1.043 .576 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbExposurePlus1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19v-14l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbExposurePlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a4 4 0 1 1 8 0c0 1.098 -.564 2.025 -1.159 2.815l-6.841 7.185h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbExposure (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.6 19.4l14.8 -14.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h4m-2 -2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbExternalLinkOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h-1a2 2 0 0 0 -2 2v9a2 2 0 0 0 2 2h9a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l2 -2m2.007 -2.007l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbExternalLink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6h-6a2 2 0 0 0 -2 2v10a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13l9 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h5v5\"},\"child\":[]}]})(props);\n};\nexport function TbEyeBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.1 17.936a9.28 9.28 0 0 1 -1.1 .064c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbEyeCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbEyeCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.102 17.957c-3.204 -.307 -5.904 -2.294 -8.102 -5.957c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6a19.5 19.5 0 0 1 -.663 1.032\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbEyeClosed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9c-2.4 2.667 -5.4 4 -9 4c-3.6 0 -6.6 -1.333 -9 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15l2.5 -3.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14.976l-2.492 -3.776\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l.5 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17l-.5 -4\"},\"child\":[]}]})(props);\n};\nexport function TbEyeCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.11 17.958c-3.209 -.307 -5.91 -2.293 -8.11 -5.958c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6c-.21 .352 -.427 .688 -.647 1.008\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbEyeCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbEyeDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbEyeDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.193 17.924c-.39 .05 -.788 .076 -1.193 .076c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.005 0 5.592 1.394 7.761 4.181\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbEyeDotted (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h.01\"},\"child\":[]}]})(props);\n};\nexport function TbEyeDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbEyeEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.192 17.966c-3.242 -.28 -5.972 -2.269 -8.192 -5.966c2.4 -4 5.4 -6 9 -6c3.326 0 6.14 1.707 8.442 5.122\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbEyeExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.03 17.478a8.797 8.797 0 0 1 -3.03 .522c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6a20.48 20.48 0 0 1 -.258 .419\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbEyeHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.905 11.39a2 2 0 1 0 -2.855 2.37\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.992 17.779c-2.722 -.621 -5.053 -2.547 -6.992 -5.779c2.4 -4 5.4 -6 9 -6c3.332 0 6.15 1.714 8.454 5.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbEyeMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6c-.713 1.188 -1.478 2.199 -2.296 3.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbEyeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.585 10.587a2 2 0 0 0 2.829 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.681 16.673a8.717 8.717 0 0 1 -4.681 1.327c-3.6 0 -6.6 -2 -9 -6c1.272 -2.12 2.712 -3.678 4.32 -4.674m2.86 -1.146a9.055 9.055 0 0 1 1.82 -.18c3.6 0 6.6 2 9 6c-.666 1.11 -1.379 2.067 -2.138 2.87\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEyePause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.022 17.945a9.308 9.308 0 0 1 -1.022 .055c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6c-.195 .325 -.394 .636 -.596 .935\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbEyePin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.362 0 6.202 1.745 8.517 5.234\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbEyePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbEyeQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.071 17.764a8.989 8.989 0 0 1 -2.071 .236c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.346 0 6.173 1.727 8.482 5.182\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbEyeSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-.328 0 -.652 -.017 -.97 -.05c-3.172 -.332 -5.85 -2.315 -8.03 -5.95c2.4 -4 5.4 -6 9 -6c3.465 0 6.374 1.853 8.727 5.558\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbEyeShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.597 17.981a9.467 9.467 0 0 1 -.597 .019c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6c-.205 .342 -.415 .67 -.63 .983\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbEyeStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.608 17.682c-2.558 -.71 -4.76 -2.603 -6.608 -5.682c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbEyeTable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h-.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h-.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3v17a1 1 0 0 0 1 1h12a1 1 0 0 0 1 -1v-17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v-4\"},\"child\":[]}]})(props);\n};\nexport function TbEyeUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6c-.09 .15 -.18 .295 -.27 .439\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbEyeX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.048 17.942a9.298 9.298 0 0 1 -1.048 .058c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6a17.986 17.986 0 0 1 -1.362 1.975\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbEye (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12c-2.4 4 -5.4 6 -9 6c-3.6 0 -6.6 -2 -9 -6c2.4 -4 5.4 -6 9 -6c3.6 0 6.6 2 9 6\"},\"child\":[]}]})(props);\n};\nexport function TbEyeglass2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h-2l-3 10v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2l3 10v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 16.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 16.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]}]})(props);\n};\nexport function TbEyeglassOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.536 5.546l-2.536 8.454\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2l3 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.426 19.423a3.5 3.5 0 0 1 -5.426 -2.923v-2.5m4 0h3v2.5c0 .157 -.01 .312 -.03 .463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16.5a3.5 3.5 0 0 1 -7 0v-2.5h7v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbEyeglass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h-2l-3 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2l3 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16.5a3.5 3.5 0 0 1 -7 0v-2.5h7v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16.5a3.5 3.5 0 0 1 -7 0v-2.5h7v2.5\"},\"child\":[]}]})(props);\n};\nexport function TbFaceIdError (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15.05a3.5 3.5 0 0 1 5 0\"},\"child\":[]}]})(props);\n};\nexport function TbFaceId (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]}]})(props);\n};\nexport function TbFaceMaskOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14.5h-.222c-1.535 0 -2.778 -1.12 -2.778 -2.5s1.243 -2.5 2.778 -2.5h.222\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14.5h.222c1.534 0 2.778 -1.12 2.778 -2.5s-1.244 -2.5 -2.778 -2.5h-.222\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h1m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15v-6.49a2 2 0 0 0 -1.45 -1.923l-5 -1.429a2 2 0 0 0 -1.1 0l-1.788 .511m-3.118 .891l-.094 .027a2 2 0 0 0 -1.45 1.922v6.982a2 2 0 0 0 1.45 1.923l5 1.429a2 2 0 0 0 1.1 0l4.899 -1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFaceMask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14.5h-.222c-1.535 0 -2.778 -1.12 -2.778 -2.5s1.243 -2.5 2.778 -2.5h.222\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14.5h.222c1.534 0 2.778 -1.12 2.778 -2.5s-1.244 -2.5 -2.778 -2.5h-.222\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.55 18.843l5 -1.429a2 2 0 0 0 1.45 -1.923v-6.981a2 2 0 0 0 -1.45 -1.923l-5 -1.429a2 2 0 0 0 -1.1 0l-5 1.429a2 2 0 0 0 -1.45 1.922v6.982a2 2 0 0 0 1.45 1.923l5 1.429a2 2 0 0 0 1.1 0z\"},\"child\":[]}]})(props);\n};\nexport function TbFall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21l1 -5l-1 -4l-3 -4h4l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16l-1 -4l3 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 12h2.5l4 2\"},\"child\":[]}]})(props);\n};\nexport function TbFavicon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 5m0 3a3 3 0 0 1 3 -3h14a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-14a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10a2 2 0 1 0 0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbFeatherOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5v5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13v5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13l3.502 -3.502m2.023 -2.023l2.475 -2.475\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10c.638 -.636 1 -1.515 1 -2.486a3.515 3.515 0 0 0 -3.517 -3.514c-.97 0 -1.847 .367 -2.483 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18l3.499 -3.499m2.008 -2.008l2.493 -2.493\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFeather (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l10 -10m0 -5v5h5m-9 -1v5h5m-9 -1v5h5m-5 -5l4 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10c.638 -.636 1 -1.515 1 -2.486a3.515 3.515 0 0 0 -3.517 -3.514c-.97 0 -1.847 .367 -2.483 1m-3 13l4 -4l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFenceOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-8v4h12m4 0v-4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16v4h4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v-2m0 -4l-2 -2m-2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v4h4v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12v-6l-2 -2l-2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFence (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v4h16v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16v4h4v-4m0 -4v-6l-2 -2l-2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v4h4v-4m0 -4v-6l-2 -2l-2 2v6\"},\"child\":[]}]})(props);\n};\nexport function TbFidgetSpinner (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 1a4 4 0 0 1 2.001 7.464l.001 .072a3.998 3.998 0 0 1 1.987 3.758l.22 .128a3.978 3.978 0 0 1 1.591 -.417l.2 -.005a4 4 0 1 1 -3.994 3.77l-.28 -.16c-.522 .25 -1.108 .39 -1.726 .39c-.619 0 -1.205 -.14 -1.728 -.391l-.279 .16l.007 .231a4 4 0 1 1 -2.212 -3.579l.222 -.129a3.998 3.998 0 0 1 1.988 -3.756l.002 -.071a4 4 0 0 1 -1.995 -3.265l-.005 -.2a4 4 0 0 1 4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbFile3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5l4 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11.846l4 1.654v4.5l4 -1.846v-4.308l-4 -1.846z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v4.2l4 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbFileAlert (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l0 3\"},\"child\":[]}]})(props);\n};\nexport function TbFileAnalytics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l0 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17l0 -3\"},\"child\":[]}]})(props);\n};\nexport function TbFileArrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17.5l-2.5 -2.5l2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileArrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17.5l2.5 -2.5l-2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileBarcode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h1v3h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h1v3h-1z\"},\"child\":[]}]})(props);\n};\nexport function TbFileBroken (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7v-2a2 2 0 0 1 2 -2h7l5 5v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbFileCertificate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8v-3a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 17l-1.5 5l3 -1.5l3 1.5l-1.5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbFileChart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFileCode2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h-1v5h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h1v5h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]}]})(props);\n};\nexport function TbFileCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l-1 2l1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13l1 2l-1 2\"},\"child\":[]}]})(props);\n};\nexport function TbFileCv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11l1.5 6l1.5 -6\"},\"child\":[]}]})(props);\n};\nexport function TbFileDatabase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12.75m-4 0a4 1.75 0 1 0 8 0a4 1.75 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12.5v3.75c0 .966 1.79 1.75 4 1.75s4 -.784 4 -1.75v-3.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]}]})(props);\n};\nexport function TbFileDelta (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h6l-3 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbFileDescription (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h6\"},\"child\":[]}]})(props);\n};\nexport function TbFileDiff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileDigit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12m0 1a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-1a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v5\"},\"child\":[]}]})(props);\n};\nexport function TbFileDislike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14m0 1a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-1a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15a1 1 0 0 1 1 -1h3.756a1 1 0 0 1 .958 .713l1.2 3c.09 .303 .133 .63 -.056 .884c-.188 .254 -.542 .403 -.858 .403h-2v2.467a1.1 1.1 0 0 1 -2.015 .61l-1.985 -3.077v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-6a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbFileDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFileDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5l2.5 2.5l2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileEuro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11.172a3 3 0 1 0 0 5.656\"},\"child\":[]}]})(props);\n};\nexport function TbFileExport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-4.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v5m-5 6h7m-3 -3l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbFileFunction (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 17h.333c.474 0 .87 -.323 .916 -.746l.502 -4.508c.047 -.423 .443 -.746 .916 -.746h.333\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 14h3\"},\"child\":[]}]})(props);\n};\nexport function TbFileHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v10a2 2 0 0 0 2 2h14a2 2 0 0 0 2 -2v-7l-5 -5h-11a2 2 0 0 0 -2 2z\"},\"child\":[]}]})(props);\n};\nexport function TbFileImport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13v-8a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-5.5m-9.5 -2h7m-3 -3l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbFileInfinity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 17.586a2.123 2.123 0 0 0 -2.929 0a1.951 1.951 0 0 0 0 2.828c.809 .781 2.12 .781 2.929 0c.809 -.781 -.805 .778 0 0l1.46 -1.41l1.46 -1.419\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.54 17.582l1.46 1.42l1.46 1.41c.809 .78 -.805 -.779 0 0s2.12 .781 2.929 0a1.951 1.951 0 0 0 0 -2.828a2.123 2.123 0 0 0 -2.929 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 21h-2.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v6\"},\"child\":[]}]})(props);\n};\nexport function TbFileInfo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h1v4h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11h.01\"},\"child\":[]}]})(props);\n};\nexport function TbFileInvoice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileIsr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v-3a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbFileLambda (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17c-2.5 0 -2.5 -6 -5 -6\"},\"child\":[]}]})(props);\n};\nexport function TbFileLike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16m0 1a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-1a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20a1 1 0 0 0 1 1h3.756a1 1 0 0 0 .958 -.713l1.2 -3c.09 -.303 .133 -.63 -.056 -.884c-.188 -.254 -.542 -.403 -.858 -.403h-2v-2.467a1.1 1.1 0 0 0 -2.015 -.61l-1.985 3.077v4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12.1v-7.1a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-2.3\"},\"child\":[]}]})(props);\n};\nexport function TbFileMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileMusic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 -5l2 1\"},\"child\":[]}]})(props);\n};\nexport function TbFileNeutral (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2zm-7 -7h.01m3.99 0h.01m-4.01 3h4\"},\"child\":[]}]})(props);\n};\nexport function TbFileOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h7l5 5v7m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-14\"},\"child\":[]}]})(props);\n};\nexport function TbFileOrientation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21h-3a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h5a2 2 0 0 0 2 -2v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22l-2 -2l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l2 -2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbFilePencil (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l5 -5a1.414 1.414 0 0 0 -2 -2l-5 5v2h2z\"},\"child\":[]}]})(props);\n};\nexport function TbFilePercent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h.01\"},\"child\":[]}]})(props);\n};\nexport function TbFilePhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a.5 .5 0 0 0 1 0v-1a.5 .5 0 0 0 -1 0v1a5 5 0 0 0 5 5h1a.5 .5 0 0 0 0 -1h-1a.5 .5 0 0 0 0 1\"},\"child\":[]}]})(props);\n};\nexport function TbFilePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbFilePower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbFileReport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v2m0 3v4\"},\"child\":[]}]})(props);\n};\nexport function TbFileRss (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17a3 3 0 0 0 -3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17a6 6 0 0 0 -6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h.01\"},\"child\":[]}]})(props);\n};\nexport function TbFileSad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2zm-7 -7h.01m3.99 0h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18a3.5 3.5 0 0 1 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileScissors (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17l-6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbFileSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 17.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 19.5l2.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileSettings (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.031 12.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.268 15l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.803l-1.285 -.773\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.285 12.97l-1.285 -.773\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]}]})(props);\n};\nexport function TbFileShredder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbFileSignal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.525 11.525a3.5 3.5 0 0 0 0 4.95m4.95 0a3.5 3.5 0 0 0 0 -4.95\"},\"child\":[]}]})(props);\n};\nexport function TbFileSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2zm-7 -7h.01m3.99 0h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17a3.5 3.5 0 0 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileSpreadsheet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h8v7h-8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11v7\"},\"child\":[]}]})(props);\n};\nexport function TbFileStack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h14\"},\"child\":[]}]})(props);\n};\nexport function TbFileStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.8 16.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbFileSymlink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21v-4a3 3 0 0 1 3 -3h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-6a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-9.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileTextAi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21h-3a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbFileText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileTime (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12.496v1.504l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeBmp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h1.5a1.5 1.5 0 0 0 0 -3h-1.5h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-6l2.5 3l2.5 -3v6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeCss (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeCsv (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l2 6l2 -6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeDoc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 15a1.5 1.5 0 0 1 1.5 1.5v3a1.5 1.5 0 0 1 -3 0v-3a1.5 1.5 0 0 1 1.5 -1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeDocx (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16.5a1.5 1.5 0 0 0 -3 0v3a1.5 1.5 0 0 0 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a1.5 1.5 0 0 1 1.5 1.5v3a1.5 1.5 0 0 1 -3 0v-3a1.5 1.5 0 0 1 1.5 -1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 15l3 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 21l3 -6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeHtml (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 21v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 18h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15v6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21v-6l2 3l2 -3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeJpg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-1a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h3v4.5a1.5 1.5 0 0 1 -3 0\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeJs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h3v4.5a1.5 1.5 0 0 1 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-1\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeJsx (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h3v4.5a1.5 1.5 0 0 1 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l4 -6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypePdf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15v6h1a2 2 0 0 0 2 -2v-2a2 2 0 0 0 -2 -2h-1z\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypePhp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h3\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypePng (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-1a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21v-6l3 6v-6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypePpt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeRs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6m3 0l-2 -3\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeSql (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15a2 2 0 0 1 2 2v2a2 2 0 1 1 -4 0v-2a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeSvg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15l2 6l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-1a2 2 0 0 0 -2 2v2a2 2 0 0 0 2 2h1v-3\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeTs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeTsx (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeTxt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15l4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21l4 -6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeVue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l2 6l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15v4.5a1.5 1.5 0 0 0 3 0v-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-3v6h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18h2\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeXls (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21l4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15v6h3\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeXml (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21l4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15v6h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21v-6l2.5 3l2.5 -3v6\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypeZip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-7a2 2 0 0 1 2 -2h7l5 5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h3l-3 6h3\"},\"child\":[]}]})(props);\n};\nexport function TbFileTypography (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-1h6v1\"},\"child\":[]}]})(props);\n};\nexport function TbFileUnknown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14a1.5 1.5 0 1 0 -1.14 -2.474\"},\"child\":[]}]})(props);\n};\nexport function TbFileUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 13.5l2.5 -2.5l2.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbFileVector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 16.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 12.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a2.5 2.5 0 0 1 2.5 -2.5h1\"},\"child\":[]}]})(props);\n};\nexport function TbFileX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbFileZip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20.735a2 2 0 0 1 -1 -1.735v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17a2 2 0 0 1 2 2v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-2a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5l-1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l-1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9l-1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11l-1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13l-1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l-1 0\"},\"child\":[]}]})(props);\n};\nexport function TbFile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h7l5 5v11a2 2 0 0 1 -2 2z\"},\"child\":[]}]})(props);\n};\nexport function TbFilesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h-6a2 2 0 0 1 -2 -2v-6m0 -4a2 2 0 0 1 2 -2h4l5 5v7c0 .294 -.063 .572 -.177 .823\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17v2a2 2 0 0 1 -2 2h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFiles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h4l5 5v7a2 2 0 0 1 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17v2a2 2 0 0 1 -2 2h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbFilterBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.991 19.67l-3.991 1.33v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbFilterCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-3 1v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFilterCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.18 20.274l-2.18 .726v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFilterCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.19 20.27l-2.19 .73v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbFilterCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-3 1v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbFilterDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.705 19.765l-3.705 1.235v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFilterDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.25 19.583l-4.25 1.417v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbFilterDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-3 1v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbFilterEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.97 20.344l-1.97 .656v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbFilterExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v7l-6 2v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFilterHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.888 20.37l-1.888 .63v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-3.503 3.503\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbFilterMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-3 1v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbFilterOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h12v2.172a2 2 0 0 1 -.586 1.414l-3.914 3.914m-.5 3.5v4l-6 2v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFilterPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.97 19.677l-3.97 1.323v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbFilterPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-3 1v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFilterPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-3 1v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbFilterQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l-6 2v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbFilterSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.36 20.213l-2.36 .787v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbFilterShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.713 19.762l-3.713 1.238v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbFilterStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.042 20.32l-2.042 .68v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbFilterUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-3 1v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbFilterX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.758 19.414l-4.758 1.586v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbFilter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h16v2.172a2 2 0 0 1 -.586 1.414l-4.414 4.414v7l-6 2v-8.5l-4.48 -4.928a2 2 0 0 1 -.52 -1.345v-2.227z\"},\"child\":[]}]})(props);\n};\nexport function TbFilters (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11a5 5 0 1 0 3.998 1.997\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.002 19.003a5 5 0 1 0 3.998 -8.003\"},\"child\":[]}]})(props);\n};\nexport function TbFingerprintOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.9 7a8 8 0 0 1 1.1 5v1a6 6 0 0 0 .8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11c0 -.848 .264 -1.634 .713 -2.28m2.4 -1.621a4 4 0 0 1 4.887 3.901l0 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v1a14 14 0 0 0 2.5 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15a18 18 0 0 0 1.8 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.9 19a22 22 0 0 1 -.9 -7v-1a8 8 0 0 1 1.854 -5.143m2.176 -1.825a8 8 0 0 1 7.97 .018\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFingerprintScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 0 1 6 0c0 1.657 .612 3.082 1 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v1.75c-.001 1.11 .661 2.206 1 3.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14.25c.068 .58 .358 1.186 .5 1.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]}]})(props);\n};\nexport function TbFingerprint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.9 7a8 8 0 0 1 1.1 5v1a6 6 0 0 0 .8 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11a4 4 0 0 1 8 0v1a10 10 0 0 0 2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v2a14 14 0 0 0 2.5 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15a18 18 0 0 0 1.8 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.9 19a22 22 0 0 1 -.9 -7v-1a8 8 0 0 1 12 -6.95\"},\"child\":[]}]})(props);\n};\nexport function TbFireExtinguisher (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7a4 4 0 0 1 4 4v9a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1v-9a4 4 0 0 1 4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l-4 -1l4 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4h-3a3 3 0 0 0 -3 3\"},\"child\":[]}]})(props);\n};\nexport function TbFireHydrantOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21v-4m2 -2v-2a1 1 0 0 0 -1 -1h-1v-4a5 5 0 0 0 -8.533 -3.538m-1.387 2.638a5.03 5.03 0 0 0 -.08 .9v4h-1a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h1v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a2 2 0 1 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h2m4 0h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFireHydrant (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21v-5h1a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-1v-4a5 5 0 0 0 -10 0v4h-1a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h1v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h12\"},\"child\":[]}]})(props);\n};\nexport function TbFiretruck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h8m4 0h2v-6a5 5 0 0 0 -5 -5h-1l1.5 5h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-11h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l0 -5l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l18 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l0 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFirstAidKitOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.595 4.577a2 2 0 0 1 1.405 -.577h4a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h6a2 2 0 0 1 2 2v6m-.576 3.405a2 2 0 0 1 -1.424 .595h-12a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFirstAidKit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v-2a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v4\"},\"child\":[]}]})(props);\n};\nexport function TbFishBone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.69 7.44a6.973 6.973 0 0 0 -1.69 4.56a6.97 6.97 0 0 0 1.699 4.571c1.914 -.684 3.691 -2.183 5.301 -4.565c-1.613 -2.384 -3.394 -3.883 -5.312 -4.565\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9.504a40.73 40.73 0 0 0 2.422 2.504a39.679 39.679 0 0 0 -2.422 2.498\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.422 12h10.578\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbFishChristianity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 7s-5.646 10 -12.308 10c-3.226 .025 -6.194 -1.905 -7.692 -5c1.498 -3.095 4.466 -5.025 7.692 -5c6.662 0 12.308 10 12.308 10\"},\"child\":[]}]})(props);\n};\nexport function TbFishHookOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9v3m-.085 3.924a5 5 0 0 1 -9.915 -.924v-4l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFishHook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9v6a5 5 0 0 1 -10 0v-4l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5v-2\"},\"child\":[]}]})(props);\n};\nexport function TbFishOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.69 7.44a6.973 6.973 0 0 0 -1.63 3.635\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9.504c5.307 5.948 10.293 8.57 14.597 7.1m2.583 -1.449c.988 -.788 1.93 -1.836 2.82 -3.153c-3 -4.443 -6.596 -5.812 -10.564 -4.548m-2.764 1.266c-2.145 1.266 -4.378 3.215 -6.672 5.786\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.153 11.169c-.287 .777 -.171 1.554 .347 2.331\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFish (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.69 7.44a6.973 6.973 0 0 0 -1.69 4.56c0 1.747 .64 3.345 1.699 4.571\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9.504c7.715 8.647 14.75 10.265 20 2.498c-5.25 -7.761 -12.285 -6.142 -20 2.504\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 10.5c-.667 1 -.667 2 0 3\"},\"child\":[]}]})(props);\n};\nexport function TbFlag2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14h9m4 0h1v-9h-10m-4 0v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFlag2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14h14v-9h-14v16\"},\"child\":[]}]})(props);\n};\nexport function TbFlag3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14h14l-4.5 -4.5l4.5 -4.5h-14v16\"},\"child\":[]}]})(props);\n};\nexport function TbFlagBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.673 15.36a4.978 4.978 0 0 1 -2.673 -1.36a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbFlagCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.342 14.941a4.993 4.993 0 0 1 -1.342 -.941a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFlagCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.767 15.12a4.983 4.983 0 0 1 -1.767 -1.12a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFlagCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.41 14.973a4.991 4.991 0 0 1 -1.41 -.973a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbFlagCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.901 14.702a5.014 5.014 0 0 1 -.901 -.702a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbFlagDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.804 14.641a5.02 5.02 0 0 1 -.804 -.641a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFlagDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.222 14.882a4.998 4.998 0 0 1 -1.222 -.882a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbFlagDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.434 15.315a4.978 4.978 0 0 1 -2.434 -1.315a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbFlagExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.035 15.408a4.98 4.98 0 0 1 -3.035 -1.408a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFlagHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.33 13.447a5.001 5.001 0 0 0 -6.33 .553v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbFlagMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.373 15.301a4.978 4.978 0 0 1 -2.373 -1.301a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbFlagOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.641 3.645a5 5 0 0 1 4.359 1.355a5 5 0 0 0 7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14a5 5 0 0 1 7 0a4.984 4.984 0 0 0 3.437 1.429m3.019 -.966c.19 -.14 .371 -.294 .544 -.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFlagPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.536 15.029a4.987 4.987 0 0 1 -1.536 -1.029a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbFlagPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.857 14.675a5.016 5.016 0 0 1 -.857 -.675a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFlagPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.433 15.315a4.978 4.978 0 0 1 -2.433 -1.315a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbFlagQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 15a4.914 4.914 0 0 1 -1.5 -1a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbFlagSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbFlagShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.13 14.833a5.002 5.002 0 0 1 -1.13 -.833a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbFlagStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.475 13.551a5.001 5.001 0 0 0 -6.475 .449v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbFlagUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.138 15.241a4.979 4.979 0 0 1 -2.138 -1.241a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbFlagX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.533 15.028a4.988 4.988 0 0 1 -1.533 -1.028a5 5 0 0 0 -7 0v-9a5 5 0 0 1 7 0a5 5 0 0 0 7 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbFlag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5a5 5 0 0 1 7 0a5 5 0 0 0 7 0v9a5 5 0 0 1 -7 0a5 5 0 0 0 -7 0v-9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-7\"},\"child\":[]}]})(props);\n};\nexport function TbFlameOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.973 8.974c-.335 .378 -.67 .716 -.973 1.026c-1.226 1.26 -2 3.24 -2 5a6 6 0 0 0 11.472 2.466m.383 -3.597c-.32 -1.409 -1.122 -3.045 -1.855 -3.869c-.281 .472 -.543 .87 -.79 1.202m-2.358 -2.35c-.068 -2.157 -1.182 -4.184 -1.852 -4.852c0 .968 -.18 1.801 -.465 2.527\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFlame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c2 -2.96 0 -7 -1 -8c0 3.038 -1.773 4.741 -3 6c-1.226 1.26 -2 3.24 -2 5a6 6 0 1 0 12 0c0 -1.532 -1.056 -3.94 -2 -5c-1.786 3 -2.791 3 -4 2z\"},\"child\":[]}]})(props);\n};\nexport function TbFlare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l3 6l6 3l-6 3l-3 6l-3 -6l-6 -3l6 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbFlask2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.1 15h8.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.742 17.741a6 6 0 0 1 -2.424 3.259h-6.635a6 6 0 0 1 1.317 -10.66v-.326m0 -4.014v-3h4v7m.613 .598a6 6 0 0 1 2.801 2.817\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFlask2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.1 15h11.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v7.342a6 6 0 0 1 1.318 10.658h-6.635a6 6 0 0 1 1.317 -10.66v-7.34h4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h6\"},\"child\":[]}]})(props);\n};\nexport function TbFlaskOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v3m-.268 3.736l-3.732 10.264a.7 .7 0 0 0 .5 1h11a.7 .7 0 0 0 .5 -1l-1.143 -3.142m-2.288 -6.294l-.569 -1.564v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFlask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v6l-4 11a.7 .7 0 0 0 .5 1h11a.7 .7 0 0 0 .5 -1l-4 -11v-6\"},\"child\":[]}]})(props);\n};\nexport function TbFlipFlops (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4c2.21 0 4 1.682 4 3.758c0 .078 0 .156 -.008 .234l-.6 9.014c-.11 1.683 -1.596 3 -3.392 3s-3.28 -1.311 -3.392 -3l-.6 -9.014c-.138 -2.071 1.538 -3.855 3.743 -3.985a4.15 4.15 0 0 1 .25 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 14c1 -3.333 2.167 -5 3.5 -5c1.333 0 2.5 1.667 3.5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4c2.21 0 4 1.682 4 3.758c0 .078 0 .156 -.008 .234l-.6 9.014c-.11 1.683 -1.596 3 -3.392 3s-3.28 -1.311 -3.392 -3l-.6 -9.014c-.138 -2.071 1.538 -3.855 3.742 -3.985c.084 0 .167 -.007 .25 -.007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 14c1 -3.333 2.167 -5 3.5 -5c1.333 0 2.5 1.667 3.5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16v1\"},\"child\":[]}]})(props);\n};\nexport function TbFlipHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l10 0l-10 5l0 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l10 0l-10 -5l0 5\"},\"child\":[]}]})(props);\n};\nexport function TbFlipVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l0 10l5 0l-5 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l0 10l-5 0l5 -10\"},\"child\":[]}]})(props);\n};\nexport function TbFloatCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbFloatLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbFloatNone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbFloatRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbFlowerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.875 9.882a3 3 0 0 0 4.247 4.238m.581 -3.423a3.012 3.012 0 0 0 -1.418 -1.409\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a3 3 0 0 1 6 0c0 .562 -.259 1.442 -.776 2.64l-.724 1.36l1.76 -1.893c.499 -.6 .922 -1 1.27 -1.205a2.968 2.968 0 0 1 4.07 1.099a3.011 3.011 0 0 1 -1.09 4.098c-.374 .217 -.99 .396 -1.846 .535l-1.779 .244m.292 .282l1.223 .166c1 .145 1.698 .337 2.11 .576a3.011 3.011 0 0 1 1.226 3.832m-2.277 1.733a2.968 2.968 0 0 1 -1.929 -.369c-.348 -.202 -.771 -.604 -1.27 -1.205l-1.76 -1.893l.724 1.36c.516 1.199 .776 2.079 .776 2.64a3 3 0 0 1 -6 0c0 -.562 .259 -1.442 .776 -2.64l.724 -1.36l-1.76 1.893c-.499 .601 -.922 1 -1.27 1.205a2.968 2.968 0 0 1 -4.07 -1.098a3.011 3.011 0 0 1 1.09 -4.098c.374 -.218 .99 -.396 1.846 -.536l2.664 -.366l-2.4 -.325c-1 -.145 -1.698 -.337 -2.11 -.576a3.011 3.011 0 0 1 -1.09 -4.099a2.968 2.968 0 0 1 2.134 -1.467\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFlower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2a3 3 0 0 1 3 3c0 .562 -.259 1.442 -.776 2.64l-.724 1.36l1.76 -1.893c.499 -.6 .922 -1 1.27 -1.205a2.968 2.968 0 0 1 4.07 1.099a3.011 3.011 0 0 1 -1.09 4.098c-.374 .217 -.99 .396 -1.846 .535l-2.664 .366l2.4 .326c1 .145 1.698 .337 2.11 .576a3.011 3.011 0 0 1 1.09 4.098a2.968 2.968 0 0 1 -4.07 1.098c-.348 -.202 -.771 -.604 -1.27 -1.205l-1.76 -1.893l.724 1.36c.516 1.199 .776 2.079 .776 2.64a3 3 0 0 1 -6 0c0 -.562 .259 -1.442 .776 -2.64l.724 -1.36l-1.76 1.893c-.499 .601 -.922 1 -1.27 1.205a2.968 2.968 0 0 1 -4.07 -1.098a3.011 3.011 0 0 1 1.09 -4.098c.374 -.218 .99 -.396 1.846 -.536l2.664 -.366l-2.4 -.325c-1 -.145 -1.698 -.337 -2.11 -.576a3.011 3.011 0 0 1 -1.09 -4.099a2.968 2.968 0 0 1 4.07 -1.099c.348 .203 .771 .604 1.27 1.205l1.76 1.894c-1 -2.292 -1.5 -3.625 -1.5 -4a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbFocus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbFocusAuto (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbFocusCentered (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]}]})(props);\n};\nexport function TbFocus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbFoldDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v8l3 -3m-6 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l1 0\"},\"child\":[]}]})(props);\n};\nexport function TbFoldUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-8l-3 3m6 0l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17l1 0\"},\"child\":[]}]})(props);\n};\nexport function TbFold (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v6l3 -3m-6 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-6l3 3m-6 0l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l1 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12l1 0\"},\"child\":[]}]})(props);\n};\nexport function TbFolderBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h-8a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbFolderCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFolderCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbFolderCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbFolderCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 19h-7.5a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbFolderDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 19h-8.5a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbFolderDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbFolderExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h-10a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFolderHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 19h-5.5a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbFolderMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbFolderOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h1l3 3h7a2 2 0 0 1 2 2v8m-2 2h-14a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 1.189 -1.829\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFolderOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19l2.757 -7.351a1 1 0 0 1 .936 -.649h12.307a1 1 0 0 1 .986 1.164l-.996 5.211a2 2 0 0 1 -1.964 1.625h-14.026a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v2\"},\"child\":[]}]})(props);\n};\nexport function TbFolderPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h-8a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbFolderPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbFolderPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbFolderQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h-10a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbFolderRoot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l3 3h7a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbFolderSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbFolderShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h-8a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbFolderStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-5a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbFolderSymlink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-4a3 3 0 0 1 3 -3h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v-5a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8\"},\"child\":[]}]})(props);\n};\nexport function TbFolderUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbFolderX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 19h-8.5a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2h4l3 3h7a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbFolder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l3 3h7a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-11a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbFoldersOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h-8a2 2 0 0 1 -2 -2v-8m1.177 -2.823c.251 -.114 .53 -.177 .823 -.177h3l2 2h5a2 2 0 0 1 2 2v7c0 .55 -.223 1.05 -.583 1.411\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFolders (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4h3l2 2h5a2 2 0 0 1 2 2v7a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-9a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbForbid2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbForbid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbForklift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17v-6h13v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-6h4l3 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 15h-3v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13l3 0\"},\"child\":[]}]})(props);\n};\nexport function TbForms (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a3 3 0 0 0 -3 3v12a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h7a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7h-1a1 1 0 0 0 -1 1v8a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h.01\"},\"child\":[]}]})(props);\n};\nexport function TbFountainOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-5a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v-1m0 -4a2 2 0 1 1 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-4m0 -4v-2a3 3 0 0 1 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.451 3.43a3 3 0 0 1 4.549 2.57\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16h1v1m-.871 3.114a2.99 2.99 0 0 1 -2.129 .886h-12a3 3 0 0 1 -3 -3v-2h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFountain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-5a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v-5a2 2 0 1 1 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-10a3 3 0 0 1 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6a3 3 0 0 1 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h18v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-2z\"},\"child\":[]}]})(props);\n};\nexport function TbFrameOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h3m4 0h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v9m0 4v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFrame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbFreeRights (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.867 9.75c-.246 -.48 -.708 -.769 -1.2 -.75h-1.334c-.736 0 -1.333 .67 -1.333 1.5c0 .827 .597 1.499 1.333 1.499h1.334c.736 0 1.333 .671 1.333 1.5c0 .828 -.597 1.499 -1.333 1.499h-1.334c-.492 .019 -.954 -.27 -1.2 -.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 16.5l1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbFreezeColumn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9.5l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]}]})(props);\n};\nexport function TbFreezeRowColumn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3l-12 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 3l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3.5l-5.5 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9h-12v12\"},\"child\":[]}]})(props);\n};\nexport function TbFreezeRow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9h-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 3l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3.5l-5.5 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbFridgeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10a2 2 0 0 1 2 2v10m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h5m4 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFridge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v1\"},\"child\":[]}]})(props);\n};\nexport function TbFriendsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5a2 2 0 0 0 2 2m2 -2a2 2 0 0 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22v-5l-1 -1v-4a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4l-1 1v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22v-4h-2l1.254 -3.763m1.036 -2.942a1 1 0 0 1 .71 -.295h2a1 1 0 0 1 1 1l1.503 4.508m-1.503 2.492v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFriends (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 22v-5l-1 -1v-4a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4l-1 1v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22v-4h-2l2 -6a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1l2 6h-2v4\"},\"child\":[]}]})(props);\n};\nexport function TbFrustumOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.72 3.728l3.484 -1.558a1.95 1.95 0 0 1 1.59 0l4.496 2.01c.554 .246 .963 .736 1.112 1.328l2.538 10.158c.103 .412 .07 .832 -.075 1.206m-2.299 1.699l-5.725 2.738a1.945 1.945 0 0 1 -1.682 0l-7.035 -3.365a1.99 1.99 0 0 1 -1.064 -2.278l2.52 -10.08\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4.82l-5.198 2.324a1.963 1.963 0 0 1 -1.602 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.32v.68m0 4v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFrustumPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.841 21.309a1.945 1.945 0 0 1 -1.682 0l-7.035 -3.365a1.99 1.99 0 0 1 -1.064 -2.278l2.538 -10.158a1.98 1.98 0 0 1 1.11 -1.328l4.496 -2.01a1.95 1.95 0 0 1 1.59 0l4.496 2.01c.554 .246 .963 .736 1.112 1.328l1.67 6.683\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4.82l-5.198 2.324a1.963 1.963 0 0 1 -1.602 0l-5.2 -2.325\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.32v14.18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbFrustum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.402 5.508l2.538 10.158a1.99 1.99 0 0 1 -1.064 2.278l-7.036 3.366a1.945 1.945 0 0 1 -1.682 0l-7.035 -3.365a1.99 1.99 0 0 1 -1.064 -2.278l2.539 -10.159a1.98 1.98 0 0 1 1.11 -1.328l4.496 -2.01a1.95 1.95 0 0 1 1.59 0l4.496 2.01c.554 .246 .963 .736 1.112 1.328z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4.82l-5.198 2.324a1.963 1.963 0 0 1 -1.602 0l-5.2 -2.325\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.32v14.18\"},\"child\":[]}]})(props);\n};\nexport function TbFunctionOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.5v.25c0 .69 .56 1.25 1.25 1.25a1.38 1.38 0 0 0 1.374 -1.244l.376 -3.756m.363 -3.63l.013 -.126a1.38 1.38 0 0 1 1.374 -1.244c.69 0 1.25 .56 1.25 1.25v.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.586 3.414a2 2 0 0 1 -1.414 .586h-12a2 2 0 0 1 -2 -2v-12c0 -.547 .22 -1.043 .576 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbFunction (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2.667a2.667 2.667 0 0 1 2.667 -2.667h10.666a2.667 2.667 0 0 1 2.667 2.667v10.666a2.667 2.667 0 0 1 -2.667 2.667h-10.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.5v.25c0 .69 .56 1.25 1.25 1.25c.71 0 1.304 -.538 1.374 -1.244l.752 -7.512a1.381 1.381 0 0 1 1.374 -1.244c.69 0 1.25 .56 1.25 1.25v.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbGalaxy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-1.333 1 -2 2.5 -2 4.5c0 3 2 4.5 2 4.5s2 1.5 2 4.5c0 2 -.667 3.5 -2 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.794 16.5c-.2 -1.655 -1.165 -2.982 -2.897 -3.982c-2.597 -1.5 -4.897 -.518 -4.897 -.518s-2.299 .982 -4.897 -.518c-1.732 -1 -2.698 -2.327 -2.897 -3.982\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.794 7.5c-1.532 -.655 -3.165 -.482 -4.897 .518c-2.597 1.5 -2.897 3.982 -2.897 3.982s-.299 2.482 -2.897 3.982c-1.732 1 -3.365 1.173 -4.897 .518\"},\"child\":[]}]})(props);\n};\nexport function TbGardenCartOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.733 15.732a2.5 2.5 0 1 0 3.544 3.527\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v11a1 1 0 0 0 1.806 .591l3.694 -5.091v.055\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h2m4 0h9l-3 6.01m-3.319 .693l-4.276 -.45a4 4 0 0 1 -3.296 -2.493l-2.853 -7.13a1 1 0 0 0 -.928 -.63h-1.323\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGardenCart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 17.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v11a1 1 0 0 0 1.806 .591l3.694 -5.091v.055\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h15l-3.5 7l-7.1 -.747a4 4 0 0 1 -3.296 -2.493l-2.853 -7.13a1 1 0 0 0 -.928 -.63h-1.323\"},\"child\":[]}]})(props);\n};\nexport function TbGasStationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11a2 2 0 0 1 2 2m3 3v-7l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-14c0 -.548 .22 -1.044 .577 -1.405m3.423 -.595h4a2 2 0 0 1 2 2v4m0 4v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7v1a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGasStation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h1a2 2 0 0 1 2 2v3a1.5 1.5 0 0 0 3 0v-7l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-14a2 2 0 0 1 2 -2h6a2 2 0 0 1 2 2v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7v1a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11l10 0\"},\"child\":[]}]})(props);\n};\nexport function TbGaugeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.038 16.052a9 9 0 0 0 -12.067 -12.102m-2.333 1.686a9 9 0 1 0 12.73 12.726\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.283 11.303a1 1 0 0 0 1.419 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12c0 -1.386 .564 -2.64 1.475 -3.546m2.619 -1.372c.294 -.054 .597 -.082 .906 -.082\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGauge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.41 10.59l2.59 -2.59\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12a5 5 0 0 1 5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbGavel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l7.383 7.418c.823 .82 .823 2.148 0 2.967a2.11 2.11 0 0 1 -2.976 0l-7.407 -7.385\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.793 15.793l-3.586 -3.586a1 1 0 0 1 0 -1.414l2.293 -2.293l.5 .5l3 -3l-.5 -.5l2.293 -2.293a1 1 0 0 1 1.414 0l3.586 3.586a1 1 0 0 1 0 1.414l-2.293 2.293l-.5 -.5l-3 3l.5 .5l-2.293 2.293a1 1 0 0 1 -1.414 0z\"},\"child\":[]}]})(props);\n};\nexport function TbGenderAgender (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h11\"},\"child\":[]}]})(props);\n};\nexport function TbGenderAndrogyne (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9v-4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10.5l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbGenderBigender (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbGenderDemiboy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5l-5.4 5.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5h-5\"},\"child\":[]}]})(props);\n};\nexport function TbGenderDemigirl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h3\"},\"child\":[]}]})(props);\n};\nexport function TbGenderEpicene (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 15.536a5 5 0 1 0 -7.072 -7.072a5 5 0 0 0 7.072 7.072z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 15.535l5.464 -5.535\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14l5.464 -5.535\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]}]})(props);\n};\nexport function TbGenderFemale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]}]})(props);\n};\nexport function TbGenderFemme (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h10\"},\"child\":[]}]})(props);\n};\nexport function TbGenderGenderfluid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15.464a4 4 0 1 0 4 -6.928a4 4 0 0 0 -4 6.928z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.464 14l3 -5.196\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.536 15.195l3 -5.196\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 8.5l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbGenderGenderless (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10a5 5 0 1 1 0 10a5 5 0 0 1 0 -10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15h10\"},\"child\":[]}]})(props);\n};\nexport function TbGenderGenderqueer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11a5 5 0 1 1 0 10a5 5 0 0 1 0 -10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 4.5l-5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 4.5l5 3\"},\"child\":[]}]})(props);\n};\nexport function TbGenderHermaphrodite (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a4 4 0 1 1 0 8a4 4 0 0 1 0 -8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a3 3 0 1 1 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbGenderIntergender (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 11.5l6.5 6.5v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 13.5l6.5 6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4a5 5 0 1 1 0 10a5 5 0 0 1 0 -10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbGenderMale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5l-5.4 5.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5v5\"},\"child\":[]}]})(props);\n};\nexport function TbGenderNeutrois (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10a5 5 0 1 1 0 10a5 5 0 0 1 0 -10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v-7\"},\"child\":[]}]})(props);\n};\nexport function TbGenderThird (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12a5 5 0 1 0 10 0a5 5 0 0 0 -10 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l-5 -4v8z\"},\"child\":[]}]})(props);\n};\nexport function TbGenderTransgender (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7v-4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 8.5l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 19h5\"},\"child\":[]}]})(props);\n};\nexport function TbGenderTrasvesti (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20a5 5 0 1 1 0 -10a5 5 0 0 1 0 10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l5.4 5.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbGeometry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21l4 -12m2 0l1.48 4.439m.949 2.847l1.571 4.714\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12c1.526 2.955 4.588 5 8 5c3.41 0 6.473 -2.048 8 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v-2\"},\"child\":[]}]})(props);\n};\nexport function TbGhost2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a7 7 0 0 1 7 7v1l1 0a2 2 0 1 1 0 4l-1 0v3l2 3h-10a6 6 0 0 1 -6 -5.775l0 -.226l-1 0a2 2 0 0 1 0 -4l1 0v-1a7 7 0 0 1 7 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14h2a1 1 0 0 0 -2 0z\"},\"child\":[]}]})(props);\n};\nexport function TbGhost3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11a7 7 0 0 1 14 0v7a1.78 1.78 0 0 1 -3.1 1.4a1.65 1.65 0 0 0 -2.6 0a1.65 1.65 0 0 1 -2.6 0a1.65 1.65 0 0 0 -2.6 0a1.78 1.78 0 0 1 -3.1 -1.4v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h.01\"},\"child\":[]}]})(props);\n};\nexport function TbGhostOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.794 4.776a7 7 0 0 1 10.206 6.224v4m-.12 3.898a1.779 1.779 0 0 1 -2.98 .502a1.65 1.65 0 0 0 -2.6 0a1.65 1.65 0 0 1 -2.6 0a1.65 1.65 0 0 0 -2.6 0a1.78 1.78 0 0 1 -3.1 -1.4v-7c0 -1.683 .594 -3.227 1.583 -4.434\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a3.5 3.5 0 0 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGhost (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11a7 7 0 0 1 14 0v7a1.78 1.78 0 0 1 -3.1 1.4a1.65 1.65 0 0 0 -2.6 0a1.65 1.65 0 0 1 -2.6 0a1.65 1.65 0 0 0 -2.6 0a1.78 1.78 0 0 1 -3.1 -1.4v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a3.5 3.5 0 0 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbGif (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8h-4v8\"},\"child\":[]}]})(props);\n};\nexport function TbGiftCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13c-.789 0 -2 -.672 -2 -1.5s.711 -1.5 1.5 -1.5c1.128 -.02 2.077 1.17 2.5 3c.423 -1.83 1.372 -3.02 2.5 -3c.789 0 1.5 .672 1.5 1.5s-1.211 1.5 -2 1.5h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbGiftOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h8a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-4m-4 0h-8a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12v3m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 8a2.5 2.5 0 0 1 -2.457 -2.963m2.023 -2c.14 -.023 .286 -.037 .434 -.037c1.974 -.034 3.76 1.95 4.5 5c.74 -3.05 2.526 -5.034 4.5 -5a2.5 2.5 0 1 1 0 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12v7a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 8a2.5 2.5 0 0 1 0 -5a4.8 8 0 0 1 4.5 5a4.8 8 0 0 1 4.5 -5a2.5 2.5 0 0 1 0 5\"},\"child\":[]}]})(props);\n};\nexport function TbGitBranchDeleted (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6a2 2 0 0 0 2 -2v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbGitBranch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6a2 2 0 0 0 2 -2v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbGitCherryPick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7h2.5l1.5 5l-1.5 5h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h3\"},\"child\":[]}]})(props);\n};\nexport function TbGitCommit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbGitCompare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h5a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h-5a2 2 0 0 1 -2 -2v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbGitFork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v2a2 2 0 0 0 2 2h6a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbGitMerge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8a4 4 0 0 0 4 4h4\"},\"child\":[]}]})(props);\n};\nexport function TbGitPullRequestClosed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbGitPullRequestDraft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h.01\"},\"child\":[]}]})(props);\n};\nexport function TbGitPullRequest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h5a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l-3 -3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbGizmo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19l-8 -5.5l-8 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbGlassChampagne (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-4 0a4 2 0 1 0 8 0a4 2 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5c0 6.075 1.79 11 4 11s4 -4.925 4 -11\"},\"child\":[]}]})(props);\n};\nexport function TbGlassCocktail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-7 0a7 2 0 1 0 14 0a7 2 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5v.388c0 .432 .126 .853 .362 1.206l5 7.509c.633 .951 1.88 1.183 2.785 .517c.191 -.141 .358 -.316 .491 -.517l5 -7.509c.236 -.353 .362 -.774 .362 -1.206v-.388\"},\"child\":[]}]})(props);\n};\nexport function TbGlassFull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l1 7c0 3.012 -2.686 5 -6 5s-6 -1.988 -6 -5l1 -7h10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10a5 5 0 0 1 6 0a5 5 0 0 0 6 0\"},\"child\":[]}]})(props);\n};\nexport function TbGlassGin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-6.5 0a6.5 2 0 1 0 13 0a6.5 2 0 1 0 -13 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.75 4.5c-.612 .75 -.75 2 -.75 3.5a7 7 0 0 0 14 0c0 -1.5 -.094 -2.75 -.75 -3.5\"},\"child\":[]}]})(props);\n};\nexport function TbGlassOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5l1 6c0 .887 -.233 1.685 -.646 2.37m-2.083 1.886c-.941 .48 -2.064 .744 -3.271 .744c-3.314 0 -6 -1.988 -6 -5l.711 -4.268\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.983 6.959c.329 .027 .669 .041 1.017 .041c2.761 0 5 -.895 5 -2s-2.239 -2 -5 -2c-1.716 0 -3.23 .346 -4.13 .872\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGlass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5l1 6c0 3.012 -2.686 5 -6 5s-6 -1.988 -6 -5l1 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-5 0a5 2 0 1 0 10 0a5 2 0 1 0 -10 0\"},\"child\":[]}]})(props);\n};\nexport function TbGlobeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.353 7.355a4 4 0 0 0 5.29 5.293m2.007 -2.009a4 4 0 0 0 -5.3 -5.284\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.75 15a8.015 8.015 0 0 0 9.792 .557m2.02 -1.998a8.015 8.015 0 0 0 -2.562 -11.559\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGlobe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.75 15a8.015 8.015 0 1 0 9.25 -13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h8\"},\"child\":[]}]})(props);\n};\nexport function TbGoGame (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h7m4 0h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h1m4 0h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h1m4 0h8m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v1m0 4v8m0 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v7m0 4v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v13m0 4v1\"},\"child\":[]}]})(props);\n};\nexport function TbGolfOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-6m0 -4v-5l7 4l-5.07 2.897\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17.67c-.62 .36 -1 .82 -1 1.33c0 1.1 1.8 2 4 2s4 -.9 4 -2c0 -.5 -.38 -.97 -1 -1.33\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGolf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-15l7 4l-7 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17.67c-.62 .36 -1 .82 -1 1.33c0 1.1 1.8 2 4 2s4 -.9 4 -2c0 -.5 -.38 -.97 -1 -1.33\"},\"child\":[]}]})(props);\n};\nexport function TbGps (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l-1 -4l-4 -1l9 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbGradienter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.227 14c.917 4 4.497 7 8.773 7c4.277 0 7.858 -3 8.773 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.78 10a9 9 0 0 0 -8.78 -7a8.985 8.985 0 0 0 -8.782 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbGrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 9.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 4.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 19.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 9.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 4.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 19.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 14.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbGraphOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.586 3.414a2 2 0 0 1 -1.414 .586h-12a2 2 0 0 1 -2 -2v-12c0 -.547 .22 -1.043 .576 -1.405\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14l3 -3l2 2l.5 -.5m2 -2l.5 -.5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGraph (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14l3 -3l2 2l3 -3l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbGrave2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.17v-9.17a3 3 0 0 1 3 -3h4a3 3 0 0 1 3 3v9.171\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-2a3 3 0 0 1 3 -3h8a3 3 0 0 1 3 3v2h-14z\"},\"child\":[]}]})(props);\n};\nexport function TbGrave (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-2a3 3 0 0 1 3 -3h8a3 3 0 0 1 3 3v2h-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-5h-4v-4h4v-4h4v4h4v4h-4v5\"},\"child\":[]}]})(props);\n};\nexport function TbGrid3X3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbGrid4X4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbGridDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbGridGoldenratio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbGridPattern (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14h8\"},\"child\":[]}]})(props);\n};\nexport function TbGridScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]}]})(props);\n};\nexport function TbGrillFork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5l11.5 11.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.347 16.575l1.08 1.079a1.96 1.96 0 0 1 -2.773 2.772l-1.08 -1.079a1.96 1.96 0 0 1 2.773 -2.772z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l3.05 3.15a2.9 2.9 0 0 0 4.1 -4.1l-3.15 -3.05\"},\"child\":[]}]})(props);\n};\nexport function TbGrillOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8h-3a6 6 0 0 0 6 6h2c.315 0 .624 -.024 .926 -.071m2.786 -1.214a5.99 5.99 0 0 0 2.284 -4.49l0 -.225h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.827 18.815a2 2 0 1 1 -2.663 -2.633\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l-3 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbGrillSpatula (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.2 10.2l6.3 6.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.347 16.575l1.08 1.079a1.96 1.96 0 0 1 -2.773 2.772l-1.08 -1.079a1.96 1.96 0 0 1 2.773 -2.772z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l3.05 3.15a2.9 2.9 0 0 0 4.1 -4.1l-3.15 -3.05l-4 4z\"},\"child\":[]}]})(props);\n};\nexport function TbGrill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8h-14a6 6 0 0 0 6 6h2a6 6 0 0 0 6 -5.775l0 -.225z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20a2 2 0 1 1 0 -4a2 2 0 0 1 0 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14l1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l-3 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v-1\"},\"child\":[]}]})(props);\n};\nexport function TbGripHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbGripVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbGrowth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 15a4.5 4.5 0 0 0 -4.5 4.5m4.5 -8.5a4.5 4.5 0 0 0 -4.5 4.5m4.5 -8.5a4.5 4.5 0 0 0 -4.5 4.5m-4 3.5c2.21 0 4 2.015 4 4.5m-4 -8.5c2.21 0 4 2.015 4 4.5m-4 -8.5c2.21 0 4 2.015 4 4.5m0 -7.5v6\"},\"child\":[]}]})(props);\n};\nexport function TbGuitarPick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18.5c2 -2.5 4 -6.5 4 -10.5c0 -2.946 -2.084 -4.157 -4.204 -4.654c-.864 -.23 -2.13 -.346 -3.796 -.346c-1.667 0 -2.932 .115 -3.796 .346c-2.12 .497 -4.204 1.708 -4.204 4.654c0 3.312 2 8 4 10.5c.297 .37 .618 .731 .963 1.081l.354 .347a3.9 3.9 0 0 0 5.364 0a14.05 14.05 0 0 0 1.319 -1.428z\"},\"child\":[]}]})(props);\n};\nexport function TbGymnastics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21l1 -9l7 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h6l5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 8.5l4.5 -3.5\"},\"child\":[]}]})(props);\n};\nexport function TbH1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v-8l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2\"},\"child\":[]}]})(props);\n};\nexport function TbH2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12a2 2 0 1 1 4 0c0 .591 -.417 1.318 -.816 1.858l-3.184 4.143l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2\"},\"child\":[]}]})(props);\n};\nexport function TbH3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14a2 2 0 1 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16a2 2 0 1 0 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2\"},\"child\":[]}]})(props);\n};\nexport function TbH4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18v-8l-4 6h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2\"},\"child\":[]}]})(props);\n};\nexport function TbH5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18h2a2 2 0 1 0 0 -4h-2v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2\"},\"child\":[]}]})(props);\n};\nexport function TbH6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14a2 2 0 1 0 0 4a2 2 0 0 0 0 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a2 2 0 1 0 -4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h2\"},\"child\":[]}]})(props);\n};\nexport function TbHammerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.698 10.72l-6.668 6.698a2.091 2.091 0 0 0 0 2.967a2.11 2.11 0 0 0 2.976 0l6.696 -6.676\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.713 14.702l2 -2a1 1 0 0 0 0 -1.414l-7.586 -7.586a1 1 0 0 0 -1.414 0l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHammer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.414 10l-7.383 7.418a2.091 2.091 0 0 0 0 2.967a2.11 2.11 0 0 0 2.976 0l7.407 -7.385\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.121 15.293l2.586 -2.586a1 1 0 0 0 0 -1.414l-7.586 -7.586a1 1 0 0 0 -1.414 0l-2.586 2.586a1 1 0 0 0 0 1.414l7.586 7.586a1 1 0 0 0 1.414 0z\"},\"child\":[]}]})(props);\n};\nexport function TbHandClick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-8.5a1.5 1.5 0 0 1 3 0v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.5v-2a1.5 1.5 0 0 1 3 0v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7l-.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3l-1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6h1\"},\"child\":[]}]})(props);\n};\nexport function TbHandFingerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.06 4.077a1.5 1.5 0 0 1 2.94 .423v2.5m0 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.063 8.065a1.5 1.5 0 0 1 1.937 1.435v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.06 10.082a1.5 1.5 0 0 1 2.94 .418v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5m-.88 3.129a6 6 0 0 1 -5.12 2.871h-2h.208a6 6 0 0 1 -5.012 -2.7l-.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHandFinger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-8.5a1.5 1.5 0 0 1 3 0v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.5v-2a1.5 1.5 0 1 1 3 0v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]}]})(props);\n};\nexport function TbHandGrab (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-3.5a1.5 1.5 0 0 1 3 0v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9.5v-3a1.5 1.5 0 0 1 3 0v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7.5a1.5 1.5 0 0 1 3 0v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7l-.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]}]})(props);\n};\nexport function TbHandLittleFinger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-2.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.5v-1a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12v-5.5a1.5 1.5 0 0 1 3 0v9.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]}]})(props);\n};\nexport function TbHandLoveYou (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.5v-1a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12v-6.5a1.5 1.5 0 0 1 3 0v10.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-8.5a1.5 1.5 0 0 1 3 0v7.5\"},\"child\":[]}]})(props);\n};\nexport function TbHandMiddleFinger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-2.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.5v-8a1.5 1.5 0 1 1 3 0v8.5\"},\"child\":[]}]})(props);\n};\nexport function TbHandMove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-8.5a1.5 1.5 0 0 1 3 0v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.5v-2a1.5 1.5 0 0 1 3 0v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7l-.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.541 5.594a13.487 13.487 0 0 1 2.46 -1.427\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.458c1.32 .354 2.558 .902 3.685 1.612\"},\"child\":[]}]})(props);\n};\nexport function TbHandOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13.5v-5.5m.44 -3.562a1.5 1.5 0 0 1 2.56 1.062v1.5m0 4.008v.992m0 -6.5v-2a1.5 1.5 0 1 1 3 0v6.5m0 -4.5a1.5 1.5 0 0 1 3 0v6.5m0 -4.5a1.5 1.5 0 0 1 3 0v8.5a6 6 0 0 1 -6 6h-2c-2.114 -.292 -3.956 -1.397 -5 -3l-2.7 -5.25a1.7 1.7 0 0 1 2.75 -2l.9 1.75\"},\"child\":[]}]})(props);\n};\nexport function TbHandRingFinger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-2.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11.5v-2a1.5 1.5 0 1 1 3 0v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12v-6.5a1.5 1.5 0 0 1 3 0v6.5\"},\"child\":[]}]})(props);\n};\nexport function TbHandSanitizer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10v-10a3 3 0 0 0 -3 -3h-4a3 3 0 0 0 -3 3v10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h-6a2 2 0 0 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbHandStop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-7.5a1.5 1.5 0 0 1 3 0v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5.5v-2a1.5 1.5 0 1 1 3 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5.5a1.5 1.5 0 0 1 3 0v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.5a1.5 1.5 0 0 1 3 0v8.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]}]})(props);\n};\nexport function TbHandThreeFingers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-8.5a1.5 1.5 0 0 1 3 0v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5.5v-2a1.5 1.5 0 1 1 3 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5.5a1.5 1.5 0 0 1 3 0v6.5\"},\"child\":[]}]})(props);\n};\nexport function TbHandTwoFingers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13v-8.5a1.5 1.5 0 0 1 3 0v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11.5a1.5 1.5 0 0 1 3 0v4.5a6 6 0 0 1 -6 6h-2h.208a6 6 0 0 1 -5.012 -2.7a69.74 69.74 0 0 1 -.196 -.3c-.312 -.479 -1.407 -2.388 -3.286 -5.728a1.5 1.5 0 0 1 .536 -2.022a1.867 1.867 0 0 1 2.28 .28l1.47 1.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5a1.5 1.5 0 0 1 3 0v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5.5v-2a1.5 1.5 0 1 1 3 0v8.5\"},\"child\":[]}]})(props);\n};\nexport function TbHanger2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l-7.971 4.428a2 2 0 0 0 -1.029 1.749v.823a2 2 0 0 0 2 2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h1a2 2 0 0 0 2 -2v-.823a2 2 0 0 0 -1.029 -1.749l-7.971 -4.428c-1.457 -.81 -1.993 -2.333 -2 -4a2 2 0 1 1 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbHangerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6a2 2 0 1 0 -4 0m6.506 6.506l3.461 1.922a2 2 0 0 1 1.029 1.749v.823m-2 2h-14a2 2 0 0 1 -2 -2v-.823a2 2 0 0 1 1.029 -1.749l6.673 -3.707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHanger (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6a2 2 0 1 0 -4 0c0 1.667 .67 3 2 4h-.008l7.971 4.428a2 2 0 0 1 1.029 1.749v.823a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-.823a2 2 0 0 1 1.029 -1.749l7.971 -4.428\"},\"child\":[]}]})(props);\n};\nexport function TbHash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4l-4 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l-4 16\"},\"child\":[]}]})(props);\n};\nexport function TbHazeMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.296 16c-2.268 -1.4 -3.598 -4.087 -3.237 -6.916c.443 -3.48 3.308 -6.083 6.698 -6.084v.006h.296c-1.991 1.916 -2.377 5.03 -.918 7.405c1.459 2.374 4.346 3.33 6.865 2.275a6.888 6.888 0 0 1 -2.777 3.314\"},\"child\":[]}]})(props);\n};\nexport function TbHaze (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.6 5.6l.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.4 5.6l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12a4 4 0 1 1 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18\"},\"child\":[]}]})(props);\n};\nexport function TbHdr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h2a2 2 0 1 0 0 -4h-2v8m4 0l-3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbHeadingOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h5m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5v8m0 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHeading (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h4\"},\"child\":[]}]})(props);\n};\nexport function TbHeadphonesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13m0 2a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h1a2 2 0 0 1 2 2v1m-.589 3.417c-.361 .36 -.86 .583 -1.411 .583h-1a2 2 0 0 1 -2 -2v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15v-3c0 -2.21 .896 -4.21 2.344 -5.658m2.369 -1.638a8 8 0 0 1 11.287 7.296v3\"},\"child\":[]}]})(props);\n};\nexport function TbHeadphones (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13m0 2a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13m0 2a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15v-3a8 8 0 0 1 16 0v3\"},\"child\":[]}]})(props);\n};\nexport function TbHeadsetOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14v-3c0 -1.953 .7 -3.742 1.862 -5.13m2.182 -1.825a8 8 0 0 1 11.956 6.955v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19c0 1.657 -2.686 3 -6 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.169 12.18c.253 -.115 .534 -.18 .831 -.18h1a2 2 0 0 1 2 2v2m-1.183 2.826c-.25 .112 -.526 .174 -.817 .174h-1a2 2 0 0 1 -2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHeadset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14v-3a8 8 0 1 1 16 0v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19c0 1.657 -2.686 3 -6 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-1a2 2 0 0 1 -2 -2v-3z\"},\"child\":[]}]})(props);\n};\nexport function TbHealthRecognition (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.603 9.61a2.04 2.04 0 0 1 2.912 0l.485 .39l.5 -.396a2.035 2.035 0 0 1 2.897 .007a2.104 2.104 0 0 1 0 2.949l-3.397 3.44l-3.397 -3.44a2.104 2.104 0 0 1 0 -2.95z\"},\"child\":[]}]})(props);\n};\nexport function TbHeartBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-1 1l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.003 5.997\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbHeartBroken (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-7.5 7.428l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l-2 4l4 3l-2 4v3\"},\"child\":[]}]})(props);\n};\nexport function TbHeartCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 7.993 6.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbHeartCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-3 2.928m-5.5 3.5a8916.99 8916.99 0 0 0 -6.5 -6.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbHeartCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-.536 .53m-7.91 5.96l-6.554 -6.489a5 5 0 1 1 7.5 -6.567a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbHeartCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.21 5.697\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbHeartDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-1 1l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbHeartDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-1 1l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.785 4.444\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbHeartDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.907 6.12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbHeartExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.03 17l-3.03 3l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.922 6.102\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbHeartHandshake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-7.5 7.428l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l-3.293 3.293a1 1 0 0 0 0 1.414l.543 .543c.69 .69 1.81 .69 2.5 0l1 -1a3.182 3.182 0 0 1 4.5 0l2.25 2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 15.5l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbHeartMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-2.494 2.47m-5.006 4.958l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbHeartOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-1.5 1.428m-2 2l-4 4l-7.5 -7.428a5 5 0 0 1 -1.288 -5.068a4.976 4.976 0 0 1 1.788 -2.504m3 -1c1.56 0 3.05 .727 4 2a5 5 0 1 1 7.5 6.572\"},\"child\":[]}]})(props);\n};\nexport function TbHeartPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-.784 .777m-5.725 5.67l-.991 .981l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbHeartPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.5 5.179\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbHeartPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.96 6.053\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbHeartQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.105 17.915l-2.105 2.085l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.524 5.127\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHeartRateMonitor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h2l2 3l2 -6l1 3h3\"},\"child\":[]}]})(props);\n};\nexport function TbHeartSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-.975 -.966l-6.525 -6.462a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.37 5.428\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbHeartShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-.468 .464m-6.077 6.019l-.955 .945l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbHeartStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.73 17.753l-5.23 -5.181a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.563 5.041\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbHeartUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.893 6.139\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbHeartX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-.5 .428m-6 6l-1 1l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 12.572l-7.5 7.428l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 1 1 7.5 6.572\"},\"child\":[]}]})(props);\n};\nexport function TbHeartbeat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 13.572l-7.5 7.428l-2.896 -2.868m-6.117 -8.104a5 5 0 0 1 9.013 -3.022a5 5 0 1 1 7.5 6.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h2l2 3l2 -6l1 3h3\"},\"child\":[]}]})(props);\n};\nexport function TbHeartsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.017 18l-2.017 2l-7.5 -7.428a5 5 0 0 1 .49 -7.586m3.01 -1a5 5 0 0 1 4 2.018a5 5 0 0 1 8.153 5.784\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.814 11.814a2.81 2.81 0 0 0 -.007 3.948l4.182 4.238l2.01 -2.021m1.977 -1.99l.211 -.212a2.81 2.81 0 0 0 0 -3.948a2.747 2.747 0 0 0 -3.91 -.007l-.283 .178\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHearts (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.017 18l-2.017 2l-7.5 -7.428a5 5 0 1 1 7.5 -6.566a5 5 0 0 1 8.153 5.784\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.99 20l4.197 -4.223a2.81 2.81 0 0 0 0 -3.948a2.747 2.747 0 0 0 -3.91 -.007l-.28 .282l-.279 -.283a2.747 2.747 0 0 0 -3.91 -.007a2.81 2.81 0 0 0 -.007 3.948l4.182 4.238z\"},\"child\":[]}]})(props);\n};\nexport function TbHelicopterLanding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l0 8\"},\"child\":[]}]})(props);\n};\nexport function TbHelicopter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l1 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a2 2 0 0 0 -2 2v3c0 1.1 .9 2 2 2h7a2 2 0 0 0 2 -2c0 -3.31 -3.13 -5 -7 -5h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6l15 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9.1v3.9h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19l-8 0\"},\"child\":[]}]})(props);\n};\nexport function TbHelmetOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.633 4.654a9 9 0 0 1 11.718 11.7m-1.503 2.486a9.008 9.008 0 0 1 -1.192 1.16h-11.312a9 9 0 0 1 -.185 -13.847\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9h-7m-2.768 1.246c.507 2 1.596 3.418 3.268 4.254c.524 .262 1.07 .49 1.64 .683\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHelmet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a9 9 0 0 1 5.656 16h-11.312a9 9 0 0 1 5.656 -16z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9h-8.8a1 1 0 0 0 -.968 1.246c.507 2 1.596 3.418 3.268 4.254c2 1 4.333 1.5 7 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbHelpCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHelpHexagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHelpOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.802 2.165l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-2.389 5.575c-.206 .48 -.589 .863 -1.07 1.07l-5.574 2.388c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHelpOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.641 5.631a9 9 0 1 0 12.719 12.738m1.68 -2.318a9 9 0 0 0 -12.074 -12.098\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5a1.5 1.5 0 0 1 .394 -1.1m2.106 -1.9a2.6 2.6 0 0 0 -3.347 -3.361\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHelpSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHelpSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHelpSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHelpTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 3.591l-8.106 13.534a1.914 1.914 0 0 0 1.636 2.871h16.214a1.914 1.914 0 0 0 1.636 -2.87l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHelp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5a1.5 1.5 0 0 1 1 -1.5a2.6 2.6 0 1 0 -3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbHemisphereOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.588 6.603c-2.178 .547 -3.588 1.417 -3.588 2.397c0 1.657 4.03 3 9 3m3.72 -.267c3.114 -.473 5.28 -1.518 5.28 -2.733c0 -1.657 -4.03 -3 -9 -3c-.662 0 -1.308 .024 -1.93 .07\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9a9 9 0 0 0 13.677 7.69m2.165 -1.843a8.965 8.965 0 0 0 2.158 -5.847\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHemispherePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-9 0a9 3 0 1 0 18 0a9 3 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9a9 9 0 0 0 9 9m8.396 -5.752a8.978 8.978 0 0 0 .604 -3.248\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbHemisphere (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-9 0a9 3 0 1 0 18 0a9 3 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9a9 9 0 0 0 18 0\"},\"child\":[]}]})(props);\n};\nexport function TbHexagon3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6.844a2.007 2.007 0 0 1 1 1.752v6.555c0 .728 -.394 1.399 -1.03 1.753l-6 3.844a2 2 0 0 1 -1.942 0l-6 -3.844a2.007 2.007 0 0 1 -1.029 -1.752v-6.556c0 -.729 .394 -1.4 1.029 -1.753l6 -3.583a2.05 2.05 0 0 1 2 0l6 3.584h-.03z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16.5v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 7.5l3.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10l4 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7.5v4.5l-4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16.5l4 -2.5v-4l-4 -2.5l-4 2.5v4z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterB (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h2a2 2 0 1 0 0 -4h-2h2a2 2 0 1 0 0 -4h-2v8z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterC (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a2 2 0 1 0 -4 0v4a2 2 0 1 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterD (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterE (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterF (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterG (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterH (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8m4 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterI (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterJ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4v6a2 2 0 1 1 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterK (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l-2.5 4l2.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h1.5\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterL (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h4\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterM (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8l3 5l3 -5v8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterN (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8l4 8v-8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterO (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterP (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterQ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterR (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8m4 0l-3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterS (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterT (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterU (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-6\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterV (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 8l2 -8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterW (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l1 8l2 -5l2 5l1 -8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 5l2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-3\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonLetterZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-4 8h4\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.092 21.72a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033c.7 .398 1.13 1.143 1.125 1.948v6.782\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.02 6.858a2 2 0 0 1 1 1.752v6.555c0 .728 -.395 1.4 -1.032 1.753l-6.017 3.844a2 2 0 0 1 -1.948 0l-6.016 -3.844a2 2 0 0 1 -1.032 -1.752v-6.556c0 -.728 .395 -1.4 1.032 -1.753l6.017 -3.582a2.062 2.062 0 0 1 2 0l6.017 3.583h-.029z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.693 4.69l2.336 -1.39a2.056 2.056 0 0 1 2 0l6 3.573h-.029a2 2 0 0 1 1 1.747v6.536c0 .246 -.045 .485 -.13 .707m-2.16 1.847l-4.739 3.027a2 2 0 0 1 -1.942 0l-6 -3.833a2 2 0 0 1 -1.029 -1.747v-6.537a2 2 0 0 1 1.029 -1.748l1.154 -.687\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonPlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.092 21.72a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033c.7 .398 1.13 1.143 1.125 1.948v4.282\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbHexagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonalPrismOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.792 6.996l-3.775 2.643a2.005 2.005 0 0 1 -1.147 .361h-1.87m-4 0h-1.87c-.41 0 -.81 -.126 -1.146 -.362l-3.774 -2.641\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v2m0 4v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.972 16.968a2.01 2.01 0 0 0 .028 -.337v-9.262c0 -.655 -.318 -1.268 -.853 -1.643l-3.367 -2.363a2 2 0 0 0 -1.147 -.363h-7.266a1.99 1.99 0 0 0 -1.066 .309m-2.345 1.643l-1.103 .774a2.006 2.006 0 0 0 -.853 1.644v9.261c0 .655 .318 1.269 .853 1.644l3.367 2.363a2 2 0 0 0 1.147 .362h7.265c.41 0 .811 -.126 1.147 -.363l2.26 -1.587\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonalPrismPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.792 6.996l-3.775 2.643a2.005 2.005 0 0 1 -1.147 .361h-7.74c-.41 0 -.81 -.126 -1.146 -.362l-3.774 -2.641\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12.5v-5.131c0 -.655 -.318 -1.268 -.853 -1.643l-3.367 -2.363a2 2 0 0 0 -1.147 -.363h-7.266c-.41 0 -.811 .126 -1.147 .363l-3.367 2.363a2.006 2.006 0 0 0 -.853 1.644v9.261c0 .655 .318 1.269 .853 1.644l3.367 2.363a2 2 0 0 0 1.147 .362h4.133\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonalPrism (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.792 6.996l-3.775 2.643a2.005 2.005 0 0 1 -1.147 .361h-7.74c-.41 0 -.81 -.126 -1.146 -.362l-3.774 -2.641\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.853 18.274l3.367 2.363a2 2 0 0 0 1.147 .363h7.265c.41 0 .811 -.126 1.147 -.363l3.367 -2.363c.536 -.375 .854 -.99 .854 -1.643v-9.262c0 -.655 -.318 -1.268 -.853 -1.643l-3.367 -2.363a2 2 0 0 0 -1.147 -.363h-7.266c-.41 0 -.811 .126 -1.147 .363l-3.367 2.363a2.006 2.006 0 0 0 -.853 1.644v9.261c0 .655 .318 1.269 .853 1.644z\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonalPyramidOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.877 7.88l-4.56 7.53a1.988 1.988 0 0 0 .266 2.484l2.527 2.523c.374 .373 .88 .583 1.408 .583h8.964c.528 0 1.034 -.21 1.408 -.583l1.264 -1.263m1.792 -2.205a1.986 1.986 0 0 0 -.262 -1.538l-7.846 -12.954a.996 .996 0 0 0 -1.676 0l-1.772 2.926\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l-1.254 4.742m-.841 3.177l-2.905 10.981\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l2.153 8.14m1.444 5.457l1.403 5.303\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonalPyramidPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.642 12.04l-5.804 -9.583a.996 .996 0 0 0 -1.676 0l-7.846 12.954a1.988 1.988 0 0 0 .267 2.483l2.527 2.523c.374 .373 .88 .583 1.408 .583h4.982\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l-5 18.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l3.304 12.489\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonalPyramid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.162 2.457l-7.846 12.954a1.988 1.988 0 0 0 .267 2.483l2.527 2.523c.374 .373 .88 .583 1.408 .583h8.964c.528 0 1.034 -.21 1.408 -.583l2.527 -2.523a1.988 1.988 0 0 0 .267 -2.483l-7.846 -12.954a.996 .996 0 0 0 -1.676 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l-5 18.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l5 18.9\"},\"child\":[]}]})(props);\n};\nexport function TbHexagonsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-5l4 -2l4 2v5l-4 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-3m1.332 -2.666l2.668 -1.334l4 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l.661 -.331\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.345 11.328l.655 -.328l4 2v3m-1.334 2.667l-2.666 1.333l-4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHexagons (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-5l4 -2l4 2v5l-4 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-5l4 -2l4 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l4 -2l4 2v5l-4 2l-4 -2\"},\"child\":[]}]})(props);\n};\nexport function TbHierarchy2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l5 -4l5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbHierarchy3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17l2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l2 3\"},\"child\":[]}]})(props);\n};\nexport function TbHierarchyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.585 17.587a2 2 0 0 0 2.813 2.843\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17.5l5.5 -4.5l5.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1m0 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHierarchy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17.5l5.5 -4.5l5.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbHighlightOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l-6 6v4h4l6 -6m2 -2l2.503 -2.503a2.828 2.828 0 1 0 -4 -4l-2.497 2.497\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 5.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 13.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15h2v2m-2 2h-6l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHighlight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 5.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 13.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v4h-8l4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbHistoryOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.05 11a8.975 8.975 0 0 1 2.54 -5.403m2.314 -1.697a9 9 0 0 1 12.113 12.112m-1.695 2.312a9 9 0 0 1 -14.772 -3.324m-.5 5v-5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHistoryToggle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbHistory (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 4l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.05 11a9 9 0 1 1 .5 4m-.5 5v-5h5\"},\"child\":[]}]})(props);\n};\nexport function TbHome2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l-2 0l9 -9l9 9l-2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v7a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10l-7 -7l-9 9h2v7a2 2 0 0 0 2 2h7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.661 0 1.248 .32 1.612 .815\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14l-2 4h4l-2 4\"},\"child\":[]}]})(props);\n};\nexport function TbHomeCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.58 0 1.103 .247 1.468 .642\"},\"child\":[]}]})(props);\n};\nexport function TbHomeCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13.488v-1.488h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h4.525\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbHomeCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h1.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11l-8 -8l-9 9h2v7a2 2 0 0 0 2 2h4.159\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.032 16.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.27 19l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.97 16.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.733 19l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbHomeDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10l-7 -7l-9 9h2v7a2 2 0 0 0 2 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.387 0 .748 .11 1.054 .3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbHomeDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.641 0 1.212 .302 1.578 .771\"},\"child\":[]}]})(props);\n};\nexport function TbHomeDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbHomeEco (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11l-8 -8l-9 9h2v7a2 2 0 0 0 2 2h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.325 0 .631 .077 .902 .215\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22s0 -2 3 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21a3 3 0 0 1 0 -6h3v3a3 3 0 0 1 -3 3z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.645 0 1.218 .305 1.584 .78\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11l-8 -8l-9 9h2v7a2 2 0 0 0 2 2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 1.857 1.257\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbHomeHand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9l-6 -6l-9 9h2v7a2 2 0 0 0 2 2h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17.5l-.585 -.578a1.516 1.516 0 0 0 -2 0c-.477 .433 -.551 1.112 -.177 1.622l1.762 2.456c.37 .506 1.331 1 2 1h3c1.009 0 1.497 -.683 1.622 -1.593c.252 -.938 .378 -1.74 .378 -2.407c0 -1 -.939 -1.843 -2 -2h-1v-2.636c0 -.754 -.672 -1.364 -1.5 -1.364s-1.5 .61 -1.5 1.364v4.136z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.39 0 .754 .112 1.061 .304\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21.5l2.518 -2.58a1.74 1.74 0 0 0 0 -2.413a1.627 1.627 0 0 0 -2.346 0l-.168 .172l-.168 -.172a1.627 1.627 0 0 0 -2.346 0a1.74 1.74 0 0 0 0 2.412l2.51 2.59z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeInfinity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14v-2h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 1.75 1.032\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 17.586a2.123 2.123 0 0 0 -2.929 0a1.951 1.951 0 0 0 0 2.828c.809 .781 2.12 .781 2.929 0c.809 -.781 -.805 .778 0 0l1.46 -1.41l1.46 -1.419\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.54 17.582l1.46 1.42l1.46 1.41c.809 .78 -.805 -.779 0 0s2.12 .781 2.929 0a1.951 1.951 0 0 0 0 -2.828a2.123 2.123 0 0 0 -2.929 0\"},\"child\":[]}]})(props);\n};\nexport function TbHomeLink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.085 11.085l-8.085 -8.085l-9 9h2v7a2 2 0 0 0 2 2h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 1.807 1.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16l-5 3l5 2\"},\"child\":[]}]})(props);\n};\nexport function TbHomeMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15v-3h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbHomeMove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbHomeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h-2l4.497 -4.497m2 -2l2.504 -2.504l9 9h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v7a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2m0 -4v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2m2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHomePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbHomeQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.136 11.136l-8.136 -8.136l-9 9h2v7a2 2 0 0 0 2 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.467 0 .896 .16 1.236 .428\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbHomeRibbon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15h5v7l-2.5 -1.5l-2.5 1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11l-8 -8l-9 9h2v7a2 2 0 0 0 2 2h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h1.5\"},\"child\":[]}]})(props);\n};\nexport function TbHomeSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h4.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbHomeShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.247 0 .484 .045 .702 .127\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbHomeShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h1.341\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.682 10.682l-7.682 -7.682l-9 9h2v7a2 2 0 0 0 2 2h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16c0 4 -2.5 6 -3.5 6s-3.5 -2 -3.5 -6c1 0 2.5 -.5 3.5 -1.5c1 1 2.5 1.5 3.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeSignal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 22v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12.494v-.494h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v.5\"},\"child\":[]}]})(props);\n};\nexport function TbHomeStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.258 10.258l-7.258 -7.258l-9 9h2v7a2 2 0 0 0 2 2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbHomeStats (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13v-1h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 22l3 -3l2 2l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17h3v3\"},\"child\":[]}]})(props);\n};\nexport function TbHomeUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.641 0 1.212 .302 1.578 .771\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.136 11.136l-8.136 -8.136l-9 9h2v7a2 2 0 0 0 2 2h6.344\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbHomeX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13.4v-1.4h2l-9 -9l-9 9h2v7a2 2 0 0 0 2 2h5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2c.402 0 .777 .119 1.091 .323\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 21.5l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 21.5l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l-2 0l9 -9l9 9l-2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v7a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-6a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v6\"},\"child\":[]}]})(props);\n};\nexport function TbHorseToy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 17.5c5.667 4.667 11.333 4.667 17 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18.5l-2 -8.5l1 -2l2 1l1.5 -1.5l-2.5 -4.5c-5.052 .218 -5.99 3.133 -7 6h-6a3 3 0 0 0 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18.5l2 -9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l2 -5h4l2 5\"},\"child\":[]}]})(props);\n};\nexport function TbHorse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l-.85 8.507a1.357 1.357 0 0 0 1.35 1.493h.146a2 2 0 0 0 1.857 -1.257l.994 -2.486a2 2 0 0 1 1.857 -1.257h1.292a2 2 0 0 1 1.857 1.257l.994 2.486a2 2 0 0 0 1.857 1.257h.146a1.37 1.37 0 0 0 1.364 -1.494l-.864 -9.506h-8c0 -3 -3 -5 -6 -5l-3 6l2 2l3 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 14v-2a3 3 0 0 0 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbHorseshoe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17c.5 -1.242 2 -2 2 -5s-1 -9 -9 -9s-9 6 -9 9s1.495 3.749 2 5l-2 1l2 3l2.406 -1.147c1.25 -.714 1.778 -2.08 1.203 -3.363c-1.078 -2.407 -1.609 -8.49 3.391 -8.49s4.469 6.083 3.39 8.49c-.574 1.284 -.045 2.649 1.204 3.363l2.406 1.147l2 -3l-2 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbHospitalCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbHospital (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbHotelService (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 10a1.5 1.5 0 0 1 -1.5 -1.5a5.5 5.5 0 0 1 11 0v10.5a2 2 0 0 1 -2 2h-7a2 2 0 0 1 -2 -2v-2c0 -1.38 .71 -2.444 1.88 -3.175l4.424 -2.765c1.055 -.66 1.696 -1.316 1.696 -2.56a2.5 2.5 0 1 0 -5 0a1.5 1.5 0 0 1 -1.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbHourglassEmpty (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v-2a6 6 0 1 1 12 0v2a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v2a6 6 0 1 0 12 0v-2a1 1 0 0 0 -1 -1h-10a1 1 0 0 0 -1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbHourglassHigh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 7h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v-2a6 6 0 1 1 12 0v2a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v2a6 6 0 1 0 12 0v-2a1 1 0 0 0 -1 -1h-10a1 1 0 0 0 -1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbHourglassLow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v-2a6 6 0 1 1 12 0v2a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v2a6 6 0 1 0 12 0v-2a1 1 0 0 0 -1 -1h-10a1 1 0 0 0 -1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbHourglassOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18v2a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1v-2a6 6 0 0 1 6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6a6 6 0 0 0 6 6m3.13 -.88a6 6 0 0 0 2.87 -5.12v-2a1 1 0 0 0 -1 -1h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbHourglass (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 7h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v-2a6 6 0 1 1 12 0v2a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v2a6 6 0 1 0 12 0v-2a1 1 0 0 0 -1 -1h-10a1 1 0 0 0 -1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbHours12 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -15.5 -2m-.5 -4v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13c.468 3.6 3.384 6.546 7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21v-6\"},\"child\":[]}]})(props);\n};\nexport function TbHours24 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13c.325 2.532 1.881 4.781 4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -15.5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v2a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbHtml (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16v-8l2 5l2 -5v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M1 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M1 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v8h3\"},\"child\":[]}]})(props);\n};\nexport function TbHttpConnect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10a2 2 0 1 0 -4 0v4a2 2 0 1 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16v-8l4 8v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbHttpDelete (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v8h4\"},\"child\":[]}]})(props);\n};\nexport function TbHttpGet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbHttpHead (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbHttpOptions (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbHttpPatch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbHttpPost (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]}]})(props);\n};\nexport function TbHttpPut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-6\"},\"child\":[]}]})(props);\n};\nexport function TbHttpQue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-6\"},\"child\":[]}]})(props);\n};\nexport function TbHttpTrace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8m4 0l-3 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbIceCream2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 11a6 6 0 1 0 -11.315 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.342 11l5.658 11l5.657 -11z\"},\"child\":[]}]})(props);\n};\nexport function TbIceCreamOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21.5v-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v9h8v-1m0 -4v-5a4 4 0 0 0 -7.277 -2.294\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10.5l1.74 -.76m2.79 -1.222l3.47 -1.518\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14.5l4.488 -1.964\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbIceCream (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21.5v-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17h8v-10a4 4 0 1 0 -8 0v10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10.5l8 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14.5l8 -3.5\"},\"child\":[]}]})(props);\n};\nexport function TbIceSkating (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.905 5h3.418a1 1 0 0 1 .928 .629l1.143 2.856a3 3 0 0 0 2.207 1.83l4.717 .926a2.084 2.084 0 0 1 1.682 2.045v.714a1 1 0 0 1 -1 1h-13.895a1 1 0 0 1 -1 -1.1l.8 -8a1 1 0 0 1 1 -.9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h17a1 1 0 0 0 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v4\"},\"child\":[]}]})(props);\n};\nexport function TbIconsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.01 4.041a3.5 3.5 0 0 0 2.49 5.959c.975 0 1.865 -.357 2.5 -1m.958 -3.044a3.503 3.503 0 0 0 -2.905 -2.912\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 21h8l-4 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14h3v3m0 4h-7v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbIcons (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 6.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 21h8l-4 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h7v7h-7z\"},\"child\":[]}]})(props);\n};\nexport function TbIdBadge2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h3v4h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h-6a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h16a1 1 0 0 0 1 -1v-12a1 1 0 0 0 -1 -1h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbIdBadgeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.141 3.125a3 3 0 0 1 .859 -.125h8a3 3 0 0 1 3 3v9m-.13 3.874a3 3 0 0 1 -2.87 2.126h-8a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 .128 -.869\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.179 11.176a2 2 0 1 0 2.635 2.667\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbIdBadge (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 3a3 3 0 0 1 3 -3h8a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-8a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]}]})(props);\n};\nexport function TbIdOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a3 3 0 0 1 3 3v10m-1.437 2.561c-.455 .279 -.99 .439 -1.563 .439h-12a3 3 0 0 1 -3 -3v-10c0 -1.083 .573 -2.031 1.433 -2.559\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.175 8.178a2 2 0 1 0 2.646 2.65\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbId (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l10 0\"},\"child\":[]}]})(props);\n};\nexport function TbImageInPicture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15c-2 0 -5 1 -5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11m0 2a2 2 0 0 1 2 -2h5a2 2 0 0 1 2 2v5a2 2 0 0 1 -2 2h-5a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7v-2a1 1 0 0 1 1 -1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h2a1 1 0 0 1 1 1v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17v2a1 1 0 0 1 -1 1h-2\"},\"child\":[]}]})(props);\n};\nexport function TbInboxOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.593 3.422a2 2 0 0 1 -1.407 .578h-12a2 2 0 0 1 -2 -2v-12c0 -.554 .225 -1.056 .59 -1.418\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h3l3 3h4l.987 -.987m2.013 -2.013h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbInbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h3l3 3h4l3 -3h3\"},\"child\":[]}]})(props);\n};\nexport function TbIndentDecrease (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18l-7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l-4 4l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbIndentIncrease (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-11 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18l-11 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l4 4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbInfinityOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.165 8.174a4 4 0 0 0 -5.166 3.826a4 4 0 0 0 6.829 2.828a10 10 0 0 0 2.172 -2.828m1.677 -2.347a10 10 0 0 1 .495 -.481a4 4 0 1 1 5.129 6.1m-3.521 .537a4 4 0 0 1 -1.608 -.981a10 10 0 0 1 -2.172 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbInfinity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.828 9.172a4 4 0 1 0 0 5.656a10 10 0 0 0 2.172 -2.828a10 10 0 0 1 2.172 -2.828a4 4 0 1 1 0 5.656a10 10 0 0 1 -2.172 -2.828a10 10 0 0 0 -2.172 -2.828\"},\"child\":[]}]})(props);\n};\nexport function TbInfoCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h1v4h1\"},\"child\":[]}]})(props);\n};\nexport function TbInfoHexagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h1v4h1\"},\"child\":[]}]})(props);\n};\nexport function TbInfoOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.802 2.165l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-2.389 5.575c-.206 .48 -.589 .863 -1.07 1.07l-5.574 2.388c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h1v4h1\"},\"child\":[]}]})(props);\n};\nexport function TbInfoSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h1v4h1\"},\"child\":[]}]})(props);\n};\nexport function TbInfoSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h1v4h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbInfoSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h1v4h1\"},\"child\":[]}]})(props);\n};\nexport function TbInfoTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 3.591l-8.106 13.534a1.914 1.914 0 0 0 1.636 2.871h16.214a1.914 1.914 0 0 0 1.636 -2.87l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h1v4h1\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowBottomLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a6 6 0 0 0 6 6\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowBottomRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12a6 6 0 0 1 -6 6\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 18.364a9 9 0 1 0 -12.728 -12.728a9 9 0 0 0 12.728 12.728z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.757 16.243a6 6 0 0 0 8.486 0\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636a9 9 0 1 1 12.728 12.728a9 9 0 0 1 -12.728 -12.728z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.757 16.243a6 6 0 0 1 0 -8.486\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 18.364a9 9 0 1 1 -12.728 -12.728a9 9 0 0 1 12.728 12.728z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.243 7.757a6 6 0 0 1 0 8.486\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowTopLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 1 0 18a9 9 0 0 1 0 -18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a6 6 0 0 1 6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowTopRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 0 18a9 9 0 0 0 0 -18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12a6 6 0 0 0 -6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbInnerShadowTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636a9 9 0 1 0 12.728 12.728a9 9 0 0 0 -12.728 -12.728z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.243 7.757a6 6 0 0 0 -8.486 0\"},\"child\":[]}]})(props);\n};\nexport function TbInputAi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v-2a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbInputCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13v-4a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbInputSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11v-2a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbInputX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13v-4a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2v5a2 2 0 0 0 2 2h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbInvoice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12v7a1.78 1.78 0 0 1 -3.1 1.4a1.65 1.65 0 0 0 -2.6 0a1.65 1.65 0 0 1 -2.6 0a1.65 1.65 0 0 0 -2.6 0a1.78 1.78 0 0 1 -3.1 -1.4v-14a2 2 0 0 1 2 -2h7l5 5v4.25\"},\"child\":[]}]})(props);\n};\nexport function TbIroning1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h7.459a3 3 0 0 1 2.959 2.507l.577 3.464l.81 4.865a1 1 0 0 1 -.985 1.164h-16.82a7 7 0 0 1 7 -7h9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h.01\"},\"child\":[]}]})(props);\n};\nexport function TbIroning2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h7.459a3 3 0 0 1 2.959 2.507l.577 3.464l.81 4.865a1 1 0 0 1 -.985 1.164h-16.82a7 7 0 0 1 7 -7h9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h.01\"},\"child\":[]}]})(props);\n};\nexport function TbIroning3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h7.459a3 3 0 0 1 2.959 2.507l.577 3.464l.81 4.865a1 1 0 0 1 -.985 1.164h-16.82a7 7 0 0 1 7 -7h9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h.01\"},\"child\":[]}]})(props);\n};\nexport function TbIroningOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h6.459a3 3 0 0 1 2.959 2.507l.577 3.464l.804 4.821l.007 .044m-2.806 1.164h-15a7 7 0 0 1 7 -7h1m4 0h4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbIroningSteamOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4h7.459a3 3 0 0 1 2.959 2.507l.577 3.464l.81 4.865a1 1 0 0 1 -.821 1.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h-13a7 7 0 0 1 6.056 -6.937\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h6.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19l-1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19l1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbIroningSteam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4h7.459a3 3 0 0 1 2.959 2.507l.577 3.464l.81 4.865a1 1 0 0 1 -.985 1.164h-16.82a7 7 0 0 1 7 -7h9.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 19l-1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19l1 2\"},\"child\":[]}]})(props);\n};\nexport function TbIroning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h7.459a3 3 0 0 1 2.959 2.507l.577 3.464l.81 4.865a1 1 0 0 1 -.985 1.164h-16.82a7 7 0 0 1 7 -7h9.8\"},\"child\":[]}]})(props);\n};\nexport function TbIrregularPolyhedronOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.706 4.73a1 1 0 0 0 -.458 1.14l1.752 6.13l-1.752 6.13a1 1 0 0 0 .592 1.205l6.282 2.503a2.46 2.46 0 0 0 1.756 0l6.282 -2.503c.04 -.016 .079 -.035 .116 -.055m-.474 -4.474l-.802 -2.806l1.752 -6.13a1 1 0 0 0 -.592 -1.205l-6.282 -2.503a2.46 2.46 0 0 0 -1.756 0l-3.544 1.412\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 5.5c.661 .214 1.161 .38 1.5 .5m6 2c.29 -.003 .603 -.06 .878 -.17l6.622 -2.33\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l5.21 1.862a2.34 2.34 0 0 0 1.58 0l.742 -.265m2.956 -1.057c.312 -.11 .816 -.291 1.512 -.54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbIrregularPolyhedronPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12l1.752 -6.13a1 1 0 0 0 -.592 -1.205l-6.282 -2.503a2.46 2.46 0 0 0 -1.756 0l-6.282 2.503a1 1 0 0 0 -.592 1.204l1.752 6.131l-1.752 6.13a1 1 0 0 0 .592 1.205l6.282 2.503a2.46 2.46 0 0 0 1.756 0l.221 -.088\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 5.5l6.622 2.33a2.35 2.35 0 0 0 1.756 0l6.622 -2.33\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l5.21 1.862a2.34 2.34 0 0 0 1.58 0l5.21 -1.862\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbIrregularPolyhedron (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l-1.752 6.13a1 1 0 0 0 .592 1.205l6.282 2.503a2.46 2.46 0 0 0 1.756 0l6.282 -2.503a1 1 0 0 0 .592 -1.204l-1.752 -6.131l1.752 -6.13a1 1 0 0 0 -.592 -1.205l-6.282 -2.503a2.46 2.46 0 0 0 -1.756 0l-6.282 2.503a1 1 0 0 0 -.592 1.204l1.752 6.131z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 5.5l6.622 2.33a2.35 2.35 0 0 0 1.756 0l6.622 -2.33\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l5.21 1.862a2.34 2.34 0 0 0 1.58 0l5.21 -1.862\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-14\"},\"child\":[]}]})(props);\n};\nexport function TbItalic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5l-4 14\"},\"child\":[]}]})(props);\n};\nexport function TbJacket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-4 5l-4 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2v-8.172a2 2 0 0 1 .586 -1.414l.828 -.828a2 2 0 0 0 .586 -1.414v-2.172a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v2.172a2 2 0 0 0 .586 1.414l.828 .828a2 2 0 0 1 .586 1.414v8.172a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13h-3a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h3a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19v-11\"},\"child\":[]}]})(props);\n};\nexport function TbJetpack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6a3 3 0 1 0 -6 0v7h6v-7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h6v-7a3 3 0 0 0 -6 0v7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16c0 2.333 .667 4 2 5c1.333 -1 2 -2.667 2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16c0 2.333 .667 4 2 5c1.333 -1 2 -2.667 2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h4\"},\"child\":[]}]})(props);\n};\nexport function TbJewishStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l3 5h6l-3 5l3 5h-6l-3 5l-3 -5h-6l3 -5l-3 -5h6z\"},\"child\":[]}]})(props);\n};\nexport function TbJpg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8h2a2 2 0 1 1 0 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h4v6a2 2 0 0 1 -2 2h-1.5a.5 .5 0 0 1 -.5 -.5v-.5\"},\"child\":[]}]})(props);\n};\nexport function TbJson (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v-8l3 8v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M1 8h3v6.5a1.5 1.5 0 0 1 -3 0v-.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1\"},\"child\":[]}]})(props);\n};\nexport function TbJumpRope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v-6a3 3 0 1 1 6 0v8a3 3 0 0 0 6 0v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3m0 2a2 2 0 0 1 2 -2h0a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h0a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 2a2 2 0 0 1 2 -2h0a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h0a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbKarate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l4.5 1l3 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21v-8l3 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4.5l4 2l4 1l4 3.5l-2 3.5\"},\"child\":[]}]})(props);\n};\nexport function TbKayak (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.414 6.414a2 2 0 0 0 0 -2.828l-1.414 -1.414l-2.828 2.828l1.414 1.414a2 2 0 0 0 2.828 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.586 17.586a2 2 0 0 0 0 2.828l1.414 1.414l2.828 -2.828l-1.414 -1.414a2 2 0 0 0 -2.828 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 6.5l11 11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 2.5c-9.983 2.601 -17.627 7.952 -20 19.5c9.983 -2.601 17.627 -7.952 20 -19.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 12.5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 6.5l5 5\"},\"child\":[]}]})(props);\n};\nexport function TbKerning (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15v-3.5a2.5 2.5 0 1 1 5 0v3.5m0 -2h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l3 6l3 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20l6 -16\"},\"child\":[]}]})(props);\n};\nexport function TbKeyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.17 6.159l2.316 -2.316a2.877 2.877 0 0 1 4.069 0l3.602 3.602a2.877 2.877 0 0 1 0 4.069l-2.33 2.33\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.931 14.948a2.863 2.863 0 0 1 -1.486 -.79l-.301 -.302l-6.558 6.558a2 2 0 0 1 -1.239 .578l-.175 .008h-1.172a1 1 0 0 1 -.993 -.883l-.007 -.117v-1.172a2 2 0 0 1 .467 -1.284l.119 -.13l.414 -.414h2v-2h2v-2l2.144 -2.144l-.301 -.301a2.863 2.863 0 0 1 -.794 -1.504\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.555 3.843l3.602 3.602a2.877 2.877 0 0 1 0 4.069l-2.643 2.643a2.877 2.877 0 0 1 -4.069 0l-.301 -.301l-6.558 6.558a2 2 0 0 1 -1.239 .578l-.175 .008h-1.172a1 1 0 0 1 -.993 -.883l-.007 -.117v-1.172a2 2 0 0 1 .467 -1.284l.119 -.13l.414 -.414h2v-2h2v-2l2.144 -2.144l-.301 -.301a2.877 2.877 0 0 1 0 -4.069l2.643 -2.643a2.877 2.877 0 0 1 4.069 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h.01\"},\"child\":[]}]})(props);\n};\nexport function TbKeyboardHide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3m0 2a2 2 0 0 1 2 -2h16a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-16a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21l2 -2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbKeyboardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-14a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2m4 0h10a2 2 0 0 1 2 2v8c0 .554 -.226 1.056 -.59 1.418\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbKeyboardShow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3m0 2a2 2 0 0 1 2 -2h16a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-16a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19l2 2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbKeyboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 6m0 2a2 2 0 0 1 2 -2h16a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-16a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l4 .01\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframeAlignCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.816 16.58c-.207 .267 -.504 .42 -.816 .42c-.312 0 -.61 -.153 -.816 -.42l-2.908 -3.748a1.39 1.39 0 0 1 0 -1.664l2.908 -3.748c.207 -.267 .504 -.42 .816 -.42c.312 0 .61 .153 .816 .42l2.908 3.748a1.39 1.39 0 0 1 0 1.664l-2.908 3.748z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframeAlignHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.816 16.58c-.207 .267 -.504 .42 -.816 .42c-.312 0 -.61 -.153 -.816 -.42l-2.908 -3.748a1.39 1.39 0 0 1 0 -1.664l2.908 -3.748c.207 -.267 .504 -.42 .816 -.42c.312 0 .61 .153 .816 .42l2.908 3.748a1.39 1.39 0 0 1 0 1.664l-2.908 3.748z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframeAlignVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.816 16.58c-.207 .267 -.504 .42 -.816 .42c-.312 0 -.61 -.153 -.816 -.42l-2.908 -3.748a1.39 1.39 0 0 1 0 -1.664l2.908 -3.748c.207 -.267 .504 -.42 .816 -.42c.312 0 .61 .153 .816 .42l2.908 3.748a1.39 1.39 0 0 1 0 1.664l-2.908 3.748z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.225 18.412a1.595 1.595 0 0 1 -1.225 .588c-.468 0 -.914 -.214 -1.225 -.588l-4.361 -5.248a1.844 1.844 0 0 1 0 -2.328l4.361 -5.248a1.595 1.595 0 0 1 1.225 -.588c.468 0 .914 .214 1.225 .588l4.361 5.248a1.844 1.844 0 0 1 0 2.328l-4.361 5.248z\"},\"child\":[]}]})(props);\n};\nexport function TbKeyframes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.225 18.412a1.595 1.595 0 0 1 -1.225 .588c-.468 0 -.914 -.214 -1.225 -.588l-4.361 -5.248a1.844 1.844 0 0 1 0 -2.328l4.361 -5.248a1.595 1.595 0 0 1 1.225 -.588c.468 0 .914 .214 1.225 .588l4.361 5.248a1.844 1.844 0 0 1 0 2.328l-4.361 5.248z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5l4.586 5.836a1.844 1.844 0 0 1 0 2.328l-4.586 5.836\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5l4.586 5.836a1.844 1.844 0 0 1 0 2.328l-4.586 5.836\"},\"child\":[]}]})(props);\n};\nexport function TbLadderOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v1m0 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v9m0 4v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h2m4 0h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLadder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h8\"},\"child\":[]}]})(props);\n};\nexport function TbLadle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14v1a6 6 0 1 0 12 0v-9a3 3 0 0 1 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16c-.663 0 -1.3 -.036 -1.896 -.102l-.5 -.064c-2.123 -.308 -3.604 -1.013 -3.604 -1.834c0 -.82 1.482 -1.526 3.603 -1.834l.5 -.064a17.27 17.27 0 0 1 1.897 -.102c.663 0 1.3 .036 1.896 .102l.5 .064c2.123 .308 3.604 1.013 3.604 1.834c0 .82 -1.482 1.526 -3.603 1.834l-.5 .064a17.27 17.27 0 0 1 -1.897 .102z\"},\"child\":[]}]})(props);\n};\nexport function TbLambda (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20l6.5 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20c-6 0 -6 -16 -12 -16\"},\"child\":[]}]})(props);\n};\nexport function TbLamp2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21l-7 -8l8.5 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14c-2.148 -2.148 -2.148 -5.852 0 -8c2.088 -2.088 5.842 -1.972 8 0l-8 8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.742 7.574l-1.156 -1.156a2 2 0 0 1 2.828 -2.829l1.144 1.144\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 12l.208 .274a2.527 2.527 0 0 0 3.556 0c.939 -.933 .98 -2.42 .122 -3.4l-.366 -.369\"},\"child\":[]}]})(props);\n};\nexport function TbLampOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.325 7.35l-2.325 4.65h7m4 0h3l-4 -8h-6l-.338 .676\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLamp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14l-4 -8h-6z\"},\"child\":[]}]})(props);\n};\nexport function TbLane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6v13\"},\"child\":[]}]})(props);\n};\nexport function TbLanguageHiragana (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4c0 4.846 0 7 .5 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8.5c0 2.286 -2 4.5 -3.5 4.5s-2.5 -1.135 -2.5 -2c0 -2 1 -3 3 -3s5 .57 5 2.857c0 1.524 -.667 2.571 -2 3.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l4 -9l4 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.1 18h-6.2\"},\"child\":[]}]})(props);\n};\nexport function TbLanguageKatakana (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h6.586a1 1 0 0 1 .707 1.707l-1.293 1.293\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8c0 1.5 .5 3 -2 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l4 -9l4 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.1 18h-6.2\"},\"child\":[]}]})(props);\n};\nexport function TbLanguageOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5h1m4 0h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3v2m-.508 3.517c-.814 2.655 -2.52 4.483 -4.492 4.483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9c0 2.144 2.952 3.908 6.7 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l2.463 -5.541m1.228 -2.764l.309 -.695l.8 1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-5.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLanguage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3v2c0 4.418 -2.239 8 -5 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9c0 2.144 2.952 3.908 6.7 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l4 -9l4 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.1 18h-6.2\"},\"child\":[]}]})(props);\n};\nexport function TbLassoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.028 13.252c-.657 -.972 -1.028 -2.078 -1.028 -3.252c0 -1.804 .878 -3.449 2.319 -4.69m2.49 -1.506a11.066 11.066 0 0 1 4.191 -.804c4.97 0 9 3.134 9 7c0 1.799 -.873 3.44 -2.307 4.68m-2.503 1.517a11.066 11.066 0 0 1 -4.19 .803c-1.913 0 -3.686 -.464 -5.144 -1.255\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17c0 1.42 .316 2.805 1 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLassoPolygon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.028 13.252l-1.028 -3.252l2 -7l7 5l8 -3l1 9l-9 3l-5.144 -1.255\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17c0 1.42 .316 2.805 1 4\"},\"child\":[]}]})(props);\n};\nexport function TbLasso (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.028 13.252c-.657 -.972 -1.028 -2.078 -1.028 -3.252c0 -3.866 4.03 -7 9 -7s9 3.134 9 7s-4.03 7 -9 7c-1.913 0 -3.686 -.464 -5.144 -1.255\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17c0 1.42 .316 2.805 1 4\"},\"child\":[]}]})(props);\n};\nexport function TbLayersDifference (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2v-2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l-2 0l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l0 2l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l2 0l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l0 2l-2 0\"},\"child\":[]}]})(props);\n};\nexport function TbLayersIntersect2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbLayersIntersect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayersLinked (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8.268a2 2 0 0 1 1 1.732v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15.734a2 2 0 0 1 -1 -1.734v-8a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-3\"},\"child\":[]}]})(props);\n};\nexport function TbLayersOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.59 4.581c.362 -.359 .86 -.581 1.41 -.581h8a2 2 0 0 1 2 2v8c0 .556 -.227 1.06 -.594 1.422m-3.406 .578h-6a2 2 0 0 1 -2 -2v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLayersSelectedBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14.5l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.496 20l4.004 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.586 19.414l3.914 -3.914\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbLayersSelected (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10.5l6.492 -6.492\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.496 16l6.504 -6.504z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.586 15.414l10.827 -10.827\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbLayersSubtract (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbLayersUnion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h2v-2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2\"},\"child\":[]}]})(props);\n};\nexport function TbLayout2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignCenter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l0 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignMiddle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutAlignTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBoardSplit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v16\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBoard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v16\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBottombarCollapse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l-2 2l-2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBottombarExpand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l-2 -2l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBottombarInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h1\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutBottombar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutCards (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutCollage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4l4 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutColumns (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutDashboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-6a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16h4a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h4a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-6a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4h4a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutDistributeHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutDistributeVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutGridAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h6m-3 -3v6\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutGridRemove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17h6\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutGrid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutKanban (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutList (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutNavbarCollapse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l2 -2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutNavbarExpand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-12a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l2 2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutNavbarInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h1\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutNavbar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4a2 2 0 0 1 2 2m-1.162 2.816a1.993 1.993 0 0 1 -.838 .184h-2a2 2 0 0 1 -2 -2v-1c0 -.549 .221 -1.046 .58 -1.407\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10v-4a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v10m-.595 3.423a2 2 0 0 1 -1.405 .577h-2a2 2 0 0 1 -2 -2v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutRows (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19v1\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarLeftCollapse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarLeftExpand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l2 2l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarRightCollapse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l2 2l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarRightExpand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarRightInactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19v1\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebarRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbLayoutSidebar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbLayout (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v1a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLeafOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21c.475 -4.27 2.3 -7.64 6.331 -9.683\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.618 6.623c-1.874 1.625 -2.625 3.877 -2.632 6.377c0 1 0 3 2 5h3.014c2.733 0 5.092 -.635 6.92 -2.087m1.899 -2.099c1.224 -1.872 1.987 -4.434 2.181 -7.814v-2h-4.014c-2.863 0 -5.118 .405 -6.861 1.118\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLeaf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21c.5 -4.5 2.5 -8 7 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18c6.218 0 10.5 -3.288 11 -12v-2h-4.014c-9 0 -11.986 4 -12 9c0 1 0 3 2 5h3z\"},\"child\":[]}]})(props);\n};\nexport function TbLegoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v-1h8v2h1a3 3 0 0 1 3 3v8m-.884 3.127a2.99 2.99 0 0 1 -2.116 .873v1h-10v-1a3 3 0 0 1 -3 -3v-9c0 -1.083 .574 -2.032 1.435 -2.56\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLego (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 11l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 11l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h1v-2h8v2h1a3 3 0 0 1 3 3v9a3 3 0 0 1 -3 3v1h-10v-1a3 3 0 0 1 -3 -3v-9a3 3 0 0 1 3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLemon2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a2 2 0 0 1 1.185 3.611c1.55 2.94 .873 6.917 -1.892 9.682c-2.765 2.765 -6.743 3.442 -9.682 1.892a2 2 0 1 1 -2.796 -2.796c-1.55 -2.94 -.873 -6.917 1.892 -9.682c2.765 -2.765 6.743 -3.442 9.682 -1.892a2 2 0 0 1 1.611 -.815z\"},\"child\":[]}]})(props);\n};\nexport function TbLemon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.536 3.393c3.905 3.906 3.905 10.237 0 14.143c-3.906 3.905 -10.237 3.905 -14.143 0l14.143 -14.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.868 15.06a6.5 6.5 0 0 0 9.193 -9.192\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.464 10.464l4.597 4.597\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.464 10.464v6.364\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.464 10.464h6.364\"},\"child\":[]}]})(props);\n};\nexport function TbLetterASmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-12a4 4 0 0 1 4 -4h2a4 4 0 0 1 4 4v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13l10 0\"},\"child\":[]}]})(props);\n};\nexport function TbLetterBSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h2a2 2 0 1 0 0 -4h-2h2a2 2 0 1 0 0 -4h-2v8z\"},\"child\":[]}]})(props);\n};\nexport function TbLetterB (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-16h6a4 4 0 0 1 0 8a4 4 0 0 1 0 8h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbLetterCSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a2 2 0 1 0 -4 0v4a2 2 0 1 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbLetterC (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a5 5 0 0 0 -5 -5h-2a5 5 0 0 0 -5 5v6a5 5 0 0 0 5 5h2a5 5 0 0 0 5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbLetterCaseLower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 15.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 15.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v7\"},\"child\":[]}]})(props);\n};\nexport function TbLetterCaseToggle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 15.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19v-10.5a3.5 3.5 0 0 1 7 0v10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v7\"},\"child\":[]}]})(props);\n};\nexport function TbLetterCaseUpper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19v-10.5a3.5 3.5 0 0 1 7 0v10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19v-10.5a3.5 3.5 0 0 1 7 0v10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h7\"},\"child\":[]}]})(props);\n};\nexport function TbLetterCase (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 15.5m-3.5 0a3.5 3.5 0 1 0 7 0a3.5 3.5 0 1 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19v-10.5a3.5 3.5 0 0 1 7 0v10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v7\"},\"child\":[]}]})(props);\n};\nexport function TbLetterDSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbLetterD (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4h6a5 5 0 0 1 5 5v6a5 5 0 0 1 -5 5h-6v-16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterESmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbLetterE (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h-10v16h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l8 0\"},\"child\":[]}]})(props);\n};\nexport function TbLetterFSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterF (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h-10v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l8 0\"},\"child\":[]}]})(props);\n};\nexport function TbLetterGSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbLetterG (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a5 5 0 0 0 -5 -5h-2a5 5 0 0 0 -5 5v6a5 5 0 0 0 5 5h2a5 5 0 0 0 5 -5v-2h-4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterHSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterH (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterISmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterI (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterJSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4v6a2 2 0 1 1 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbLetterJ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v12a4 4 0 0 1 -4 4h-2a4 4 0 0 1 -4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterKSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 8l-3 4l3 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 12h1\"},\"child\":[]}]})(props);\n};\nexport function TbLetterK (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h2l8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l8 8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterLSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterL (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16h10\"},\"child\":[]}]})(props);\n};\nexport function TbLetterMSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8l3 5l3 -5v8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterM (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20v-16l6 14l6 -14v16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterNSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8l4 8v-8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterN (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-16l10 16v-16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterOSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLetterO (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a5 5 0 0 0 -5 -5h-2a5 5 0 0 0 -5 5v6a5 5 0 0 0 5 5h2a5 5 0 0 0 5 -5v-6\"},\"child\":[]}]})(props);\n};\nexport function TbLetterPSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterP (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-16h5.5a4 4 0 0 1 0 9h-5.5\"},\"child\":[]}]})(props);\n};\nexport function TbLetterQSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbLetterQ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a5 5 0 0 0 -5 -5h-2a5 5 0 0 0 -5 5v6a5 5 0 0 0 5 5h2a5 5 0 0 0 5 -5v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l5 5\"},\"child\":[]}]})(props);\n};\nexport function TbLetterRSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8m4 0l-3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterR (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-16h5.5a4 4 0 0 1 0 9h-5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l5 7\"},\"child\":[]}]})(props);\n};\nexport function TbLetterSSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]}]})(props);\n};\nexport function TbLetterS (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8a4 4 0 0 0 -4 -4h-2a4 4 0 0 0 0 8h2a4 4 0 0 1 0 8h-2a4 4 0 0 1 -4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterSpacing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12v-5.5a2.5 2.5 0 0 1 5 0v5.5m0 -4h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4l3 8l3 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLetterTSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterT (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterUSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-6\"},\"child\":[]}]})(props);\n};\nexport function TbLetterU (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v11a5 5 0 0 0 5 5h2a5 5 0 0 0 5 -5v-11\"},\"child\":[]}]})(props);\n};\nexport function TbLetterVSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 8l2 -8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterV (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l6 16l6 -16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterWSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l1 8l2 -5l2 5l1 -8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterW (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4l4 16l4 -14l4 14l4 -16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterXSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -8\"},\"child\":[]}]})(props);\n};\nexport function TbLetterX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l10 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l-10 16\"},\"child\":[]}]})(props);\n};\nexport function TbLetterYSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 5l2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-3\"},\"child\":[]}]})(props);\n};\nexport function TbLetterY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l5 9l5 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l0 7\"},\"child\":[]}]})(props);\n};\nexport function TbLetterZSmall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-4 8h4\"},\"child\":[]}]})(props);\n};\nexport function TbLetterZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4h10l-10 16h10\"},\"child\":[]}]})(props);\n};\nexport function TbLibraryMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 7.26a2.005 2.005 0 0 0 -1.012 1.737v10c0 1.1 .9 2 2 2h10c.75 0 1.158 -.385 1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h6\"},\"child\":[]}]})(props);\n};\nexport function TbLibraryPhoto (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 7.26a2.005 2.005 0 0 0 -1.012 1.737v10c0 1.1 .9 2 2 2h10c.75 0 1.158 -.385 1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13l3.644 -3.644a1.21 1.21 0 0 1 1.712 0l3.644 3.644\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l1.644 -1.644a1.21 1.21 0 0 1 1.712 0l2.644 2.644\"},\"child\":[]}]})(props);\n};\nexport function TbLibraryPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 7.26a2.005 2.005 0 0 0 -1.012 1.737v10c0 1.1 .9 2 2 2h10c.75 0 1.158 -.385 1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7v6\"},\"child\":[]}]})(props);\n};\nexport function TbLibrary (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3m0 2.667a2.667 2.667 0 0 1 2.667 -2.667h8.666a2.667 2.667 0 0 1 2.667 2.667v8.666a2.667 2.667 0 0 1 -2.667 2.667h-8.666a2.667 2.667 0 0 1 -2.667 -2.667z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.012 7.26a2.005 2.005 0 0 0 -1.012 1.737v10c0 1.1 .9 2 2 2h10c.75 0 1.158 -.385 1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13h3\"},\"child\":[]}]})(props);\n};\nexport function TbLicenseOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a3 3 0 0 1 -3 -3v-1h10v2a2 2 0 1 0 4 0v-2m0 -4v-8a2 2 0 1 1 2 2h-2m2 -4h-11a3 3 0 0 0 -.864 .126m-2.014 2.025a3 3 0 0 0 -.122 .849v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLicense (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a3 3 0 0 1 -3 -3v-1h10v2a2 2 0 0 0 4 0v-14a2 2 0 1 1 2 2h-2m2 -4h-11a3 3 0 0 0 -3 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbLifebuoyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.171 9.172a4 4 0 0 0 5.65 5.663m1.179 -2.835a4 4 0 0 0 -4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.64 5.632a9 9 0 1 0 12.73 12.725m1.667 -2.301a9 9 0 0 0 -12.077 -12.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l3.35 3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-3.35 3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.65 5.65l3.35 3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.35 5.65l-3.35 3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLifebuoy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l3.35 3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-3.35 3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.65 5.65l3.35 3.35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.35 5.65l-3.35 3.35\"},\"child\":[]}]})(props);\n};\nexport function TbLighter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v16a2 2 0 0 0 2 2h5a2 2 0 0 0 2 -2v-7h-12a2 2 0 0 1 -2 -2v-5a2 2 0 0 1 2 -2h3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l1.465 1.638a2 2 0 1 1 -3.015 .099l1.55 -1.737z\"},\"child\":[]}]})(props);\n};\nexport function TbLineDashed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbLineDotted (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]}]})(props);\n};\nexport function TbLineHeight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l7 0\"},\"child\":[]}]})(props);\n};\nexport function TbLineScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]}]})(props);\n};\nexport function TbLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16.5l9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbLinkMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l.463 -.536a5 5 0 1 1 7.071 7.072l-.534 .464\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.603 18.534a5.07 5.07 0 0 1 -7.127 0a4.972 4.972 0 0 1 0 -7.071l.524 -.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbLinkOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -3m2 -2l1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l.463 -.536a5 5 0 0 1 7.071 7.072l-.534 .464\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-.397 .534a5.068 5.068 0 0 1 -7.127 0a4.972 4.972 0 0 1 0 -7.071l.524 -.463\"},\"child\":[]}]})(props);\n};\nexport function TbLinkPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l.463 -.536a5 5 0 0 1 7.072 0a4.993 4.993 0 0 1 -.001 7.072\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.603 18.534a5.07 5.07 0 0 1 -7.127 0a4.972 4.972 0 0 1 0 -7.071l.524 -.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbLink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l.463 -.536a5 5 0 0 1 7.071 7.072l-.534 .464\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-.397 .534a5.068 5.068 0 0 1 -7.127 0a4.972 4.972 0 0 1 0 -7.071l.524 -.463\"},\"child\":[]}]})(props);\n};\nexport function TbListCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 5.5l1.5 1.5l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 11.5l1.5 1.5l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 17.5l1.5 1.5l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18l9 0\"},\"child\":[]}]})(props);\n};\nexport function TbListDetails (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbListLetters (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10v-4.5a1.5 1.5 0 0 1 3 0v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h1.5a1.5 1.5 0 0 0 0 -3h-1.5h1.5a1.5 1.5 0 0 0 0 -3h-1.5v6z\"},\"child\":[]}]})(props);\n};\nexport function TbListNumbers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16a2 2 0 1 1 4 0c0 .591 -.5 1 -1 1.5l-3 2.5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v-6l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbListSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 18.5l2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h4\"},\"child\":[]}]})(props);\n};\nexport function TbListTree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbList (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l11 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l11 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l11 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbLivePhotoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.296 11.29a1 1 0 1 0 1.414 1.415\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.473 8.456a5 5 0 1 0 7.076 7.066m1.365 -2.591a5 5 0 0 0 -5.807 -5.851\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.9 20.11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.04 17.61v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.77 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.77 10v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.04 6.39v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.9 3.89v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.1 3.89v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.96 6.39v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.23 10v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.23 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.96 17.61v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.1 20.11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLivePhoto (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.9 20.11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.04 17.61l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.77 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.77 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.04 6.39l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.9 3.89l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.1 3.89l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.96 6.39l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.23 10l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.23 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.96 17.61l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.1 20.11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbLiveView (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-3.5 -5a4 4 0 1 1 7 0l-3.5 5\"},\"child\":[]}]})(props);\n};\nexport function TbLoadBalancer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.894 12.227l6.11 -2.224\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.159 8.21l3.845 1.793l-1.793 3.845\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.101 12.214l-6.075 -2.211\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.871 8.21l-3.845 1.793l1.793 3.845\"},\"child\":[]}]})(props);\n};\nexport function TbLoader2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a9 9 0 1 0 9 9\"},\"child\":[]}]})(props);\n};\nexport function TbLoader3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 9 9a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12a5 5 0 1 0 -5 5\"},\"child\":[]}]})(props);\n};\nexport function TbLoaderQuarter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l-3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.75 7.75l-2.15 -2.15\"},\"child\":[]}]})(props);\n};\nexport function TbLoader (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.25 7.75l2.15 -2.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.25 16.25l2.15 2.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.75 16.25l-2.15 2.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l-3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.75 7.75l-2.15 -2.15\"},\"child\":[]}]})(props);\n};\nexport function TbLocationBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.05 20.1l-3.05 -6.1l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.312 9.173\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbLocationBroken (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.896 19.792l-2.896 -5.792l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.487 9.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 21.5l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 21.5l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbLocationCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.305 9.151\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbLocationCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.512 17.023l-1.512 -3.023l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-4.45 12.324\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbLocationCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.505 17.01l-1.505 -3.01l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.677 10.184\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLocationCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.14 8.697\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbLocationDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.797 19.595l-2.797 -5.595l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.548 9.826\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbLocationDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.08 20.162l-3.08 -6.162l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-2.55 7.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbLocationDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.328 9.217\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLocationExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.024 19.55l-.524 1.45a.55 .55 0 0 1 -1 0l-3.5 -7l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.317 9.186\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbLocationHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.365 14.73l-.365 -.73l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.024 8.373\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbLocationMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-4.347 12.038\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbLocationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.72 6.712l10.28 -3.712l-3.724 10.313m-1.056 2.925l-1.72 4.762a.55 .55 0 0 1 -1 0l-3.5 -7l-7 -3.5a.55 .55 0 0 1 0 -1l4.775 -1.724\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLocationPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.02 20.04l-3.02 -6.04l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.634 10.062\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbLocationPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-2.901 8.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbLocationPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.361 9.308\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbLocationQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 21a.55 .55 0 0 1 -1 0l-3.5 -7l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-2.967 8.215\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbLocationSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16l-1 -2l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-2.916 8.076\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbLocationShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.616 10.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbLocationStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.336 14.672l-.336 -.672l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-2.565 7.104\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbLocationUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2 -4l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.251 9.003\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbLocationX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21l-.224 -.448l-3.276 -6.552l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5l-3.622 10.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbLocation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-6.5 18a.55 .55 0 0 1 -1 0l-3.5 -7l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5\"},\"child\":[]}]})(props);\n};\nexport function TbLockAccessOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2c0 -.554 .225 -1.055 .588 -1.417\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2c.55 0 1.05 -.222 1.41 -.582\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11a1 1 0 0 1 1 1m-.29 3.704a1 1 0 0 1 -.71 .296h-6a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v-1m1.182 -2.826a2 2 0 0 1 2.818 1.826v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLockAccess (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v-2a2 2 0 1 1 4 0v2\"},\"child\":[]}]})(props);\n};\nexport function TbLockBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h-6.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 1.74 1.012\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbLockCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-5.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 1.749 1.028\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbLockCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-4.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbLockCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-4.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbLockCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10c.564 0 1.074 .234 1.437 .61\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbLockDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-6a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbLockDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-5.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 1.74 1.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLockExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-8a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 1.734 1.002\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbLockHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-4.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10c.38 0 .734 .106 1.037 .29\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbLockMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-5.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbLockOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h2a2 2 0 0 1 2 2v2m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-3m.719 -3.289a4 4 0 0 1 7.281 2.289v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLockOpen2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]}]})(props);\n};\nexport function TbLockOpenOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h2a2 2 0 0 1 2 2v2m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-3m.347 -3.631a4 4 0 0 1 7.653 1.631\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLockOpen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-5a4 4 0 0 1 8 0\"},\"child\":[]}]})(props);\n};\nexport function TbLockPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-6a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbLockPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-5.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10c.24 0 .47 .042 .683 .12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbLockPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-5.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 1.74 1.012\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbLockQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-8a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10c.265 0 .518 .052 .75 .145\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbLockSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-4.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbLockShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbLockSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v-2a2 2 0 1 1 4 0v2\"},\"child\":[]}]})(props);\n};\nexport function TbLockSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v-2a2 2 0 1 1 4 0v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbLockStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h-4a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbLockUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-5.5a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 1.739 1.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbLockX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-6a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]}]})(props);\n};\nexport function TbLogicAnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5c6 0 8 3.5 8 7s-2 7 -8 7h-2v-14h2z\"},\"child\":[]}]})(props);\n};\nexport function TbLogicBuffer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5l10 7l-10 7z\"},\"child\":[]}]})(props);\n};\nexport function TbLogicNand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5c6 0 8 3.5 8 7s-2 7 -8 7h-2v-14h2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbLogicNor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5c10.667 2.1 10.667 12.6 0 14c1.806 -4.667 1.806 -9.333 0 -14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbLogicNot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5l10 7l-10 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbLogicOr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5c10.667 2.1 10.667 12.6 0 14c1.806 -4.667 1.806 -9.333 0 -14z\"},\"child\":[]}]})(props);\n};\nexport function TbLogicXnor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19c1.778 -4.667 1.778 -9.333 0 -14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5c10.667 2.1 10.667 12.6 0 14c1.806 -4.667 1.806 -9.333 0 -14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbLogicXor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19c1.778 -4.667 1.778 -9.333 0 -14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5c10.667 2.1 10.667 12.6 0 14c1.806 -4.667 1.806 -9.333 0 -14z\"},\"child\":[]}]})(props);\n};\nexport function TbLogin2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8v-2a2 2 0 0 1 2 -2h7a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-7a2 2 0 0 1 -2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h13l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLogin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8v-2a2 2 0 0 0 -2 -2h-7a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h7a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-13l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLogout2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v-2a2 2 0 0 1 2 -2h7a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-7a2 2 0 0 1 -2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-12l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLogout (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v-2a2 2 0 0 0 -2 -2h-7a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h7a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h12l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbLogs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h6\"},\"child\":[]}]})(props);\n};\nexport function TbLollipopOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.462 7.493a7 7 0 0 0 9.06 9.039m2.416 -1.57a7 7 0 1 0 -9.884 -9.915\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10a3.5 3.5 0 0 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.71 12.715a3.5 3.5 0 0 1 -5.71 -2.715\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17c.838 0 1.607 -.294 2.209 -.785m1.291 -2.715a3.5 3.5 0 0 0 -3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3a3.5 3.5 0 0 0 -3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLollipop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10a3.5 3.5 0 0 0 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a3.5 3.5 0 0 1 -7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17a3.5 3.5 0 0 0 0 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3a3.5 3.5 0 0 0 0 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbLuggageOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h6a2 2 0 0 1 2 2v6m0 4a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-10c0 -.546 .218 -1.04 .573 -1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h4m4 0h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLuggage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-1a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v1\"},\"child\":[]}]})(props);\n};\nexport function TbLungsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.583 6.608c-1.206 1.058 -2.07 2.626 -2.933 5.449c-.42 1.37 -.636 2.962 -.648 4.775c-.012 1.675 1.261 3.054 2.877 3.161l.203 .007c1.611 0 2.918 -1.335 2.918 -2.98v-8.02\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v-3.743c0 -.694 .552 -1.257 1.233 -1.257c.204 0 .405 .052 .584 .15l.13 .083c1.46 1.059 2.432 2.647 3.405 5.824c.42 1.37 .636 2.962 .648 4.775c0 .063 0 .125 0 .187m-1.455 2.51c-.417 .265 -.9 .43 -1.419 .464l-.202 .007c-1.613 0 -2.92 -1.335 -2.92 -2.98v-2.02\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a2.99 2.99 0 0 0 2.132 -.89\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbLungs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.081 20c1.612 0 2.919 -1.335 2.919 -2.98v-9.763c0 -.694 -.552 -1.257 -1.232 -1.257c-.205 0 -.405 .052 -.584 .15l-.13 .083c-1.46 1.059 -2.432 2.647 -3.404 5.824c-.42 1.37 -.636 2.962 -.648 4.775c-.012 1.675 1.261 3.054 2.877 3.161l.203 .007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.92 20c-1.613 0 -2.92 -1.335 -2.92 -2.98v-9.763c0 -.694 .552 -1.257 1.233 -1.257c.204 0 .405 .052 .584 .15l.13 .083c1.46 1.059 2.432 2.647 3.405 5.824c.42 1.37 .636 2.962 .648 4.775c.012 1.675 -1.261 3.054 -2.878 3.161l-.202 .007z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 0 0 3 -3a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v5\"},\"child\":[]}]})(props);\n};\nexport function TbMacroOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15a6 6 0 0 0 11.47 2.467\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.53 15.53a6 6 0 0 0 -3.53 5.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a6 6 0 0 0 -6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.866 10.87a5.007 5.007 0 0 1 -3.734 -3.723m-.132 -4.147l3 2l2 -2l2 2l3 -2v3a5 5 0 0 1 -2.604 4.389\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMacro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15a6 6 0 1 0 12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15a6 6 0 0 0 -6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a6 6 0 0 0 -6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11a5 5 0 0 1 -5 -5v-3l3 2l2 -2l2 2l3 -2v3a5 5 0 0 1 -5 5z\"},\"child\":[]}]})(props);\n};\nexport function TbMagnetOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3a2 2 0 0 1 2 2m0 4v4a3 3 0 0 0 5.552 1.578m.448 -3.578v-6a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v8a7.99 7.99 0 0 1 -.424 2.577m-1.463 2.584a8 8 0 0 1 -14.113 -5.161v-8c0 -.297 .065 -.58 .181 -.833\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMagnet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13v-8a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v8a2 2 0 0 0 6 0v-8a2 2 0 0 1 2 -2h1a2 2 0 0 1 2 2v8a8 8 0 0 1 -16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbMagnetic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7c-.633 -1.255 -1.538 -2 -2.5 -2c-1.933 0 -3.5 3.134 -3.5 7s1.567 7 3.5 7s3.5 -3.134 3.5 -7v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7c.633 -1.255 1.538 -2 2.5 -2c1.933 0 3.5 3.134 3.5 7s-1.567 7 -3.5 7s-3.5 -3.134 -3.5 -7v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13l2 -2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13l2 -2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMailAi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-5a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l8 5.345m4 -1.345l6 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbMailBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h-8a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbMailCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMailCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMailCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMailDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 19h-8.5a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMailFast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.02 8.801l-.6 6a2 2 0 0 0 1.99 2.199h7.98a2 2 0 0 0 1.99 -1.801l.6 -6a2 2 0 0 0 -1.99 -2.199h-7.98a2 2 0 0 0 -1.99 1.801z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.8 7.5l2.982 3.28a3 3 0 0 0 4.238 .202l3.28 -2.982\"},\"child\":[]}]})(props);\n};\nexport function TbMailForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMailHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 19h-5.5a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l2.983 -1.989l6.017 -4.011\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbMailMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h10a2 2 0 0 1 2 2v10m-2 2h-14a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l.565 -.377m2.435 -1.623l6 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMailOpened (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l9 6l9 -6l-9 -6l-9 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbMailPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h-8a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbMailPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h-10a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h-8a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMailStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-5a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbMailUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-7a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 19h-8.5a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l9 6l9 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMailboxOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-6.5a3.5 3.5 0 0 0 -7 0v6.5h18m0 -4v-2a4 4 0 0 0 -4 -4h-2m-4 0h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v-5h4l2 2l-2 2h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMailbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-6.5a3.5 3.5 0 0 0 -7 0v6.5h18v-6a4 4 0 0 0 -4 -4h-10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v-8h4l2 2l-2 2h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h1\"},\"child\":[]}]})(props);\n};\nexport function TbMan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6l-1 7h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11c1.333 -1.333 2.667 -2 4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11c-1.333 -1.333 -2.667 -2 -4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbManualGearbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v2a2 2 0 0 1 -2 2h-12\"},\"child\":[]}]})(props);\n};\nexport function TbMap2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMapBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-4 -2l-6 3v-13l6 -3l6 3l6 -3v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbMapCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMapCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18l-2 -1l-6 3v-13l6 -3l6 3l6 -3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMapCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18l-2 -1l-6 3v-13l6 -3l6 3l6 -3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMapCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMapDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-4 -2l-6 3v-13l6 -3l6 3l6 -3v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMapDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-4 -2l-6 3v-13l6 -3l6 3l6 -3v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbMapDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMapEast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h-4v6h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbMapExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20l-6 -3l-6 3v-13l6 -3l6 3l6 -3v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMapHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17.5l-1 -.5l-6 3v-13l6 -3l6 3l6 -3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbMapMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbMapNorth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-6l4 6v-6\"},\"child\":[]}]})(props);\n};\nexport function TbMapOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.32 4.34l.68 -.34l6 3l6 -3v13m-2.67 1.335l-3.33 1.665l-6 -3l-6 3v-13l2.665 -1.333\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v1m0 4v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v4m0 4v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMapPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-4 -2l-6 3v-13l6 -3l6 3l6 -3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbMapPin2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.414 20.9a2 2 0 0 1 -2.827 0l-4.244 -4.243a8 8 0 1 1 13.591 -4.629\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.463 21.431a1.999 1.999 0 0 1 -1.876 -.531l-4.244 -4.243a8 8 0 1 1 13.594 -4.655\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.87 21.48a1.992 1.992 0 0 1 -1.283 -.58l-4.244 -4.243a8 8 0 1 1 13.355 -3.474\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.85 21.48a1.992 1.992 0 0 1 -1.263 -.58l-4.244 -4.243a8 8 0 1 1 13.385 -3.585\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.005 21.485a1.994 1.994 0 0 1 -1.418 -.585l-4.244 -4.243a8 8 0 1 1 13.634 -5.05\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.02 21.206a2 2 0 0 1 -2.433 -.306l-4.244 -4.243a8 8 0 1 1 13.607 -6.555\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.736 21.345a2 2 0 0 1 -2.149 -.445l-4.244 -4.243a8 8 0 1 1 13.59 -4.624\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.005 19.31l-1.591 1.59a2 2 0 0 1 -2.827 0l-4.244 -4.243a8 8 0 1 1 13.592 -4.638\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11a3 3 0 1 0 -3.973 2.839\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.76 21.47a1.991 1.991 0 0 1 -1.173 -.57l-4.244 -4.243a8 8 0 1 1 13.657 -5.588\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.758 21.337a2 2 0 0 1 -2.171 -.437l-4.244 -4.243a8 8 0 1 1 12.585 -1.652\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.442 9.432a3 3 0 0 0 4.113 4.134m1.445 -2.566a3 3 0 0 0 -3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.152 17.162l-3.738 3.738a2 2 0 0 1 -2.827 0l-4.244 -4.243a8 8 0 0 1 -.476 -10.794m2.18 -1.82a8.003 8.003 0 0 1 10.91 10.912\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.414 20.9a2 2 0 0 1 -2.827 0l-4.244 -4.243a8 8 0 1 1 13.337 -3.413\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.783 21.326a2 2 0 0 1 -2.196 -.426l-4.244 -4.243a8 8 0 1 1 13.657 -5.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.794 21.322a2 2 0 0 1 -2.207 -.422l-4.244 -4.243a8 8 0 1 1 13.59 -4.616\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.997 19.317l-1.583 1.583a2 2 0 0 1 -2.827 0l-4.244 -4.243a8 8 0 1 1 13.657 -5.584\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.916 11.707a3 3 0 1 0 -2.916 2.293\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.991 21.485a1.994 1.994 0 0 1 -1.404 -.585l-4.244 -4.243a8 8 0 1 1 13.651 -5.351\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.02 21.485a1.996 1.996 0 0 1 -1.433 -.585l-4.244 -4.243a8 8 0 1 1 13.403 -3.651\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11a3 3 0 1 0 -3.908 2.86\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.059 21.25a2 2 0 0 1 -.472 -.35l-4.244 -4.243a8 8 0 1 1 13.646 -6.079\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.789 21.324a2 2 0 0 1 -2.202 -.424l-4.244 -4.243a8 8 0 1 1 13.59 -4.626\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMapPinX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.024 21.204a2 2 0 0 1 -2.437 -.304l-4.244 -4.243a8 8 0 1 1 13.119 -2.766\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMapPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 16.657l-4.243 4.243a2 2 0 0 1 -2.827 0l-4.244 -4.243a8 8 0 1 1 11.314 0z\"},\"child\":[]}]})(props);\n};\nexport function TbMapPins (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.828 9.828a4 4 0 1 0 -5.656 0l2.828 2.829l2.828 -2.829z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.828 17.828a4 4 0 1 0 -5.656 0l2.828 2.829l2.828 -2.829z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbMapPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbMapQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20l-6 -3l-6 3v-13l6 -3l6 3l6 -3v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbMapRoute (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l6 -3l6 3l6 -3v13l-6 3l-6 -3l-6 3v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMapSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 18l-2 -1l-6 3v-13l6 -3l6 3l6 -3v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbMapShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-4 -2l-6 3v-13l6 -3l6 3l6 -3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMapSouth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14.25c0 .414 .336 .75 .75 .75h2.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h2.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMapStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.718 17.359l-.718 -.359l-6 3v-13l6 -3l6 3l6 -3v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbMapUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 -1.5l-6 3v-13l6 -3l6 3l6 -3v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMapWest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l1 6l2 -3.75l2 3.75l1 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMapX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19.5l-5 -2.5l-6 3v-13l6 -3l6 3l6 -3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l6 -3l6 3l6 -3v13l-6 3l-6 -3l-6 3v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7v13\"},\"child\":[]}]})(props);\n};\nexport function TbMarkdownOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h10a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19h-14a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 1.85 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-6l2 2l1 -1m1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 13.5l.5 -.5m-2 -1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMarkdown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-6l2 2l2 -2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13l2 2l2 -2m-2 2v-6\"},\"child\":[]}]})(props);\n};\nexport function TbMarquee2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v-1a1 1 0 0 1 1 -1h1m5 0h2m5 0h1a1 1 0 0 1 1 1v1m0 5v2m0 5v1a1 1 0 0 1 -1 1h-1m-5 0h-2m-5 0h-1a1 1 0 0 1 -1 -1v-1m0 -5v-2\"},\"child\":[]}]})(props);\n};\nexport function TbMarqueeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6c0 -.556 .227 -1.059 .593 -1.421\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4h1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 4h1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.402 19.426a1.993 1.993 0 0 1 -1.402 .574\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 20h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20a2 2 0 0 1 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15v-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10.5v-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMarquee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6a2 2 0 0 1 2 -2m3 0h1.5m3 0h1.5m3 0a2 2 0 0 1 2 2m0 3v1.5m0 3v1.5m0 3a2 2 0 0 1 -2 2m-3 0h-1.5m-3 0h-1.5m-3 0a2 2 0 0 1 -2 -2m0 -3v-1.5m0 -3v-1.5m0 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMars (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5l-5.4 5.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5l-5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5l0 5\"},\"child\":[]}]})(props);\n};\nexport function TbMaskOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.42 19.41a2 2 0 0 1 -1.42 .59h-12a2 2 0 0 1 -2 -2v-12c0 -.554 .225 -1.055 .588 -1.417m3.412 -.583h10a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.885 9.872a3 3 0 1 0 4.245 4.24m.582 -3.396a3.012 3.012 0 0 0 -1.438 -1.433\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbMasksTheaterOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9c.058 0 .133 0 .192 0h6.616a2 2 0 0 1 1.992 2.183l-.554 6.041m-1.286 2.718a3.99 3.99 0 0 1 -2.71 1.058h-1.5a4 4 0 0 1 -3.983 -3.635l-.567 -6.182\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16.5c.657 .438 1.313 .588 1.97 .451\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.632 15.982a4.05 4.05 0 0 1 -.382 .018h-1.5a4 4 0 0 1 -3.983 -3.635l-.567 -6.182a2 2 0 0 1 .514 -1.531a1.99 1.99 0 0 1 1.286 -.652m4 0h2.808a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12c.764 -.51 1.528 -.63 2.291 -.36\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMasksTheater (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.192 9h6.616a2 2 0 0 1 1.992 2.183l-.567 6.182a4 4 0 0 1 -3.983 3.635h-1.5a4 4 0 0 1 -3.983 -3.635l-.567 -6.182a2 2 0 0 1 1.992 -2.183z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16.5c1 .667 2 .667 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.632 15.982a4.037 4.037 0 0 1 -.382 .018h-1.5a4 4 0 0 1 -3.983 -3.635l-.567 -6.182a2 2 0 0 1 1.992 -2.183h6.616a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12c.764 -.51 1.528 -.63 2.291 -.36\"},\"child\":[]}]})(props);\n};\nexport function TbMassage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 22l4 -2v-3h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l3 -2l1 -4c3 1 3 4 3 6\"},\"child\":[]}]})(props);\n};\nexport function TbMatchstick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l14 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l3.62 7.29a4.007 4.007 0 0 1 -.764 4.51a4 4 0 0 1 -6.493 -4.464l3.637 -7.336z\"},\"child\":[]}]})(props);\n};\nexport function TbMath1Divide2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h3a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5l2 -2v6\"},\"child\":[]}]})(props);\n};\nexport function TbMath1Divide3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15.5a.5 .5 0 0 1 .5 -.5h2a1.5 1.5 0 0 1 0 3h-1.167h1.167a1.5 1.5 0 0 1 0 3h-2a.5 .5 0 0 1 -.5 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5l2 -2v6\"},\"child\":[]}]})(props);\n};\nexport function TbMathAvg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-8 0a8 8 0 1 0 16 0a8 8 0 1 0 -16 0\"},\"child\":[]}]})(props);\n};\nexport function TbMathEqualGreater (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18l14 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14l14 -4l-14 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMathEqualLower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18l-14 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14l-14 -4l14 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMathFunctionOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h1c.882 0 .986 .777 1.694 2.692\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17c.864 0 1.727 -.663 2.495 -1.512m1.717 -2.302c.993 -1.45 2.39 -3.186 3.788 -3.186\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19c0 1.5 .5 2 2 2s2 -4 3 -9c.237 -1.186 .446 -2.317 .647 -3.35m.727 -3.248c.423 -1.492 .91 -2.402 1.626 -2.402c1.5 0 2 .5 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMathFunctionY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a2 2 0 0 0 2 2c2 0 2 -4 3 -9s1 -9 3 -9a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-4.8 9\"},\"child\":[]}]})(props);\n};\nexport function TbMathFunction (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a2 2 0 0 0 2 2c2 0 2 -4 3 -9s1 -9 3 -9a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMathGreater (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18l14 -6l-14 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMathIntegralX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a2 2 0 0 0 2 2c2 0 2 -4 3 -9s1 -9 3 -9a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMathIntegral (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19a2 2 0 0 0 2 2c2 0 2 -4 3 -9s1 -9 3 -9a2 2 0 0 1 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMathIntegrals (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a2 2 0 0 0 2 2c2 0 2 -4 3 -9s1 -9 3 -9a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19a2 2 0 0 0 2 2c2 0 2 -4 3 -9s1 -9 3 -9a2 2 0 0 1 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMathLower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18l-14 -6l14 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMathMaxMin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14s.605 -5.44 2.284 -7.862m3.395 .026c2.137 2.652 4.547 9.113 6.68 11.719\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.748 18.038c.702 -.88 1.452 -3.56 2.252 -8.038\"},\"child\":[]}]})(props);\n};\nexport function TbMathMax (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15s.616 -5.544 2.332 -7.93\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.637 7.112c2.717 3.313 5.882 12.888 8.363 12.888c2 0 3.333 -3 4 -9\"},\"child\":[]}]})(props);\n};\nexport function TbMathMin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13s1 -9 4 -9c2.48 0 5.643 9.565 8.36 12.883\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.748 17.038c.702 -.88 1.452 -3.56 2.252 -8.038\"},\"child\":[]}]})(props);\n};\nexport function TbMathNot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14v4\"},\"child\":[]}]})(props);\n};\nexport function TbMathOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 14.5l1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5h-7l-.646 2.262\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.448 10.431l-2.448 8.569l-3 -6h-2\"},\"child\":[]}]})(props);\n};\nexport function TbMathPiDivide2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h3a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h-6\"},\"child\":[]}]})(props);\n};\nexport function TbMathPi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4h-16\"},\"child\":[]}]})(props);\n};\nexport function TbMathSymbols (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 4.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 4.5l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbMathXDivide2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h3a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMathXDivideY2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14l-4.5 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMathXDivideY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l-4.5 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14\"},\"child\":[]}]})(props);\n};\nexport function TbMathXMinusX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbMathXMinusY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9l-4.8 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbMathXPlusX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4\"},\"child\":[]}]})(props);\n};\nexport function TbMathXPlusY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9l-4.8 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4\"},\"child\":[]}]})(props);\n};\nexport function TbMathXy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9l-4.8 9\"},\"child\":[]}]})(props);\n};\nexport function TbMathYMinusY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l-4.8 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9l-4.8 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbMathYPlusY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l-4.8 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l3 5.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9l-4.8 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4\"},\"child\":[]}]})(props);\n};\nexport function TbMath (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5h-7l-4 14l-3 -6h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbMaximizeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2c0 -.551 .223 -1.05 .584 -1.412\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2c.545 0 1.04 -.218 1.4 -.572\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMaximize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]}]})(props);\n};\nexport function TbMeatOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.62 8.382l1.966 -1.967a2 2 0 1 1 3.414 -1.415a2 2 0 1 1 -1.413 3.414l-1.82 1.821\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.904 18.596c2.733 2.734 5.9 4 7.07 2.829c1.172 -1.172 -.094 -4.338 -2.828 -7.071c-2.733 -2.734 -5.9 -4 -7.07 -2.829c-1.172 1.172 .094 4.338 2.828 7.071z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.975 21.425c1.582 -1.582 2.679 -3.407 3.242 -5.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.6 12.6c-.16 -1.238 -.653 -2.345 -1.504 -3.195c-.85 -.85 -1.955 -1.344 -3.192 -1.503\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.274 8.284c-1.792 .563 -3.616 1.66 -5.198 3.242\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMeat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.62 8.382l1.966 -1.967a2 2 0 1 1 3.414 -1.415a2 2 0 1 1 -1.413 3.414l-1.82 1.821\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.904 18.596c2.733 2.734 5.9 4 7.07 2.829c1.172 -1.172 -.094 -4.338 -2.828 -7.071c-2.733 -2.734 -5.9 -4 -7.07 -2.829c-1.172 1.172 .094 4.338 2.828 7.071z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.975 21.425c3.905 -3.906 4.855 -9.288 2.121 -12.021c-2.733 -2.734 -8.115 -1.784 -12.02 2.121\"},\"child\":[]}]})(props);\n};\nexport function TbMedal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h6l3 7l-6 2l-6 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-3 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l-3 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19.5l-3 1.5l.5 -3.5l-2 -2l3 -.5l1.5 -3l1.5 3l3 .5l-2 2l.5 3.5z\"},\"child\":[]}]})(props);\n};\nexport function TbMedal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v3m-4 -3v6m8 -6v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l-3 1.5l.5 -3.5l-2 -2l3 -.5l1.5 -3l1.5 3l3 .5l-2 2l.5 3.5z\"},\"child\":[]}]})(props);\n};\nexport function TbMedicalCrossCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 10l-7 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 14l-7 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMedicalCrossOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.928 17.733l-.574 -.331l-3.354 -1.938v4.536a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-4.536l-3.928 2.268a1 1 0 0 1 -1.366 -.366l-1 -1.732a1 1 0 0 1 .366 -1.366l3.927 -2.268l-3.927 -2.268a1 1 0 0 1 -.366 -1.366l1 -1.732a1 1 0 0 1 1.366 -.366l.333 .192m3.595 -.46v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v4.535l3.928 -2.267a1 1 0 0 1 1.366 .366l1 1.732a1 1 0 0 1 -.366 1.366l-3.927 2.268l3.927 2.269a1 1 0 0 1 .366 1.366l-.24 .416\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMedicalCross (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3a1 1 0 0 1 1 1v4.535l3.928 -2.267a1 1 0 0 1 1.366 .366l1 1.732a1 1 0 0 1 -.366 1.366l-3.927 2.268l3.927 2.269a1 1 0 0 1 .366 1.366l-1 1.732a1 1 0 0 1 -1.366 .366l-3.928 -2.269v4.536a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-4.536l-3.928 2.268a1 1 0 0 1 -1.366 -.366l-1 -1.732a1 1 0 0 1 .366 -1.366l3.927 -2.268l-3.927 -2.268a1 1 0 0 1 -.366 -1.366l1 -1.732a1 1 0 0 1 1.366 -.366l3.928 2.267v-4.535a1 1 0 0 1 1 -1h2z\"},\"child\":[]}]})(props);\n};\nexport function TbMedicineSyrup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8a1 1 0 0 0 1 -1v-10a3 3 0 0 0 -3 -3h-4a3 3 0 0 0 -3 3v10a1 1 0 0 0 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v-3a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v3\"},\"child\":[]}]})(props);\n};\nexport function TbMeeple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h-5a1 1 0 0 1 -1 -1c0 -2 3.378 -4.907 4 -6c-1 0 -4 -.5 -4 -2c0 -2 4 -3.5 6 -4c0 -1.5 .5 -4 3 -4s3 2.5 3 4c2 .5 6 2 6 4c0 1.5 -3 2 -4 2c.622 1.093 4 4 4 6a1 1 0 0 1 -1 1h-5c-1 0 -2 -4 -3 -4s-2 4 -3 4z\"},\"child\":[]}]})(props);\n};\nexport function TbMelon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10c0 5.523 -4.477 10 -10 10a9.967 9.967 0 0 1 -6.984 -2.842l4.343 -4.153a4 4 0 0 0 5.76 -5.51l4.342 -4.153a9.963 9.963 0 0 1 2.539 6.658z\"},\"child\":[]}]})(props);\n};\nexport function TbMenorah (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v2a4 4 0 1 0 8 0v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v2a8 8 0 1 0 16 0v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h4\"},\"child\":[]}]})(props);\n};\nexport function TbMenu2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbMenuDeep (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18h10\"},\"child\":[]}]})(props);\n};\nexport function TbMenuOrder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMenu (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l16 0\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Bolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20l-1 1l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Cancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Check (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-1 -1l-2 -2h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Code (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-1 -1l-2 -2h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Cog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Dollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 19.5l-1.5 1.5l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Down (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20.5l-.5 .5l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Exclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18l-3 3l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Heart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 19.5l-1.5 -1.5h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Minus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h1m4 0h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a3 3 0 0 1 3 3v8c0 .57 -.16 1.104 -.436 1.558m-2.564 1.442h-3l-3 3l-3 -3h-3a3 3 0 0 1 -3 -3v-8c0 -1.084 .575 -2.034 1.437 -2.561\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Pause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20l-1 1l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Pin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20.5l-.5 .5l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Plus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 20.5l-.5 .5l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Question (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 18.5l-2.5 2.5l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Search (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-.5 -.5l-2.5 -2.5h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Share (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Star (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19l-1 -1h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2Up (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.354 20.646l-.354 .354l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2X (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 19.5l-1.5 1.5l-3 -3h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMessage2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h-3a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-3l-3 3l-3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbMessageBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.995 18.603l-3.995 2.397v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMessageChatbot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-5l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 13a3.5 3.5 0 0 0 5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.99 19.206l-2.99 1.794v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircle2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l1.3 -3.9a9 8 0 1 1 3.4 2.9l-4.7 1\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.038 19.927a9.933 9.933 0 0 1 -5.338 -.927l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.993 1.7 2.93 4.043 2.746 6.346\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.015 19.98a9.87 9.87 0 0 1 -4.315 -.98l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.927 1.644 2.867 3.887 2.761 6.114\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.042 19.933a9.798 9.798 0 0 1 -3.342 -.933l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c2.127 1.814 3.052 4.36 2.694 6.808\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.036 19.933a9.798 9.798 0 0 1 -3.336 -.933l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c2.128 1.815 3.053 4.361 2.694 6.81\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.996 19.98a9.868 9.868 0 0 1 -4.296 -.98l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.842 1.572 2.783 3.691 2.77 5.821\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.16 19.914a9.94 9.94 0 0 1 -5.46 -.914l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.384 1.181 2.26 2.672 2.603 4.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.006 19.98a9.869 9.869 0 0 1 -4.306 -.98l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.993 1.7 2.93 4.041 2.746 6.344\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.02 19.52c-2.34 .736 -5 .606 -7.32 -.52l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.96 1.671 2.898 3.963 2.755 6.227\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.59 19.88a9.763 9.763 0 0 1 -2.89 -.88l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.565 1.335 2.479 3.065 2.71 4.861\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.023 19.98a9.87 9.87 0 0 1 -4.323 -.98l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c2.718 2.319 3.473 5.832 2.096 8.811\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.595 4.577c3.223 -1.176 7.025 -.61 9.65 1.63c2.982 2.543 3.601 6.523 1.636 9.66m-1.908 2.109c-2.787 2.19 -6.89 2.666 -10.273 1.024l-4.7 1l1.3 -3.9c-2.229 -3.296 -1.494 -7.511 1.68 -10.057\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCirclePause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.989 19.932a9.93 9.93 0 0 1 -5.289 -.932l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c2.131 1.818 3.056 4.37 2.692 6.824\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCirclePin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.337 19.974a9.891 9.891 0 0 1 -4.637 -.974l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.63 1.39 2.554 3.21 2.736 5.085\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCirclePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.007 19.98a9.869 9.869 0 0 1 -4.307 -.98l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.992 1.7 2.93 4.04 2.747 6.34\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.02 19.52c-2.341 .736 -5 .606 -7.32 -.52l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.649 1.407 2.575 3.253 2.742 5.152\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.303 19.955a9.818 9.818 0 0 1 -3.603 -.955l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.73 1.476 2.665 3.435 2.76 5.433\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.58 19.963a9.906 9.906 0 0 1 -4.88 -.963l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c2.13 1.817 3.055 4.368 2.692 6.82\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.517 19.869a9.757 9.757 0 0 1 -2.817 -.869l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.666 1.421 2.594 3.29 2.747 5.21\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.004 19.98a9.869 9.869 0 0 1 -4.304 -.98l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c1.994 1.701 2.932 4.045 2.746 6.349\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircleX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.593 19.855a9.96 9.96 0 0 1 -5.893 -.855l-4.7 1l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c2.128 1.816 3.053 4.363 2.693 6.813\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l1.3 -3.9c-2.324 -3.437 -1.426 -7.872 2.1 -10.374c3.526 -2.501 8.59 -2.296 11.845 .48c3.255 2.777 3.695 7.266 1.029 10.501c-2.666 3.235 -7.615 4.215 -11.574 2.293l-4.7 1\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.012 19.193l-3.012 1.807v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMessageCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.031 18.581l-4.031 2.419v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMessageDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbMessageDots (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-5l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbMessageDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.998 18.601l-3.998 2.399v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMessageExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h-2l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMessageForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-5l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11h-8\"},\"child\":[]}]})(props);\n};\nexport function TbMessageHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.48 19.512l-2.48 1.488v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbMessageLanguage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21v-13a3 3 0 0 1 3 -3h10a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-9l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h-4\"},\"child\":[]}]})(props);\n};\nexport function TbMessageMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.976 18.614l-3.976 2.386v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbMessageOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h1m4 0h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a3 3 0 0 1 3 3v8c0 .577 -.163 1.116 -.445 1.573m-2.555 1.427h-5l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8c0 -1.085 .576 -2.036 1.439 -2.562\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMessagePause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbMessagePin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.007 18.596l-4.007 2.404v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMessagePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.01 18.594l-4.01 2.406v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbMessageQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18h-1l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbMessageReply (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-5l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8l-3 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11h-8\"},\"child\":[]}]})(props);\n};\nexport function TbMessageReport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-5l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMessageSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.008 19.195l-3.008 1.805v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbMessageShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMessageStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.325 19.605l-2.325 1.395v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbMessageUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.99 18.606l-3.99 2.394v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMessageX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMessage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-5l-5 3v-3h-2a3 3 0 0 1 -3 -3v-8a3 3 0 0 1 3 -3h12z\"},\"child\":[]}]})(props);\n};\nexport function TbMessagesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11a1 1 0 0 1 -1 -1m0 -3.968v-2.032a1 1 0 0 1 1 -1h9a1 1 0 0 1 1 1v10l-3 -3h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15v2a1 1 0 0 1 -1 1h-7l-3 3v-10a1 1 0 0 1 1 -1h2\"},\"child\":[]}]})(props);\n};\nexport function TbMessages (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14l-3 -3h-7a1 1 0 0 1 -1 -1v-6a1 1 0 0 1 1 -1h9a1 1 0 0 1 1 1v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15v2a1 1 0 0 1 -1 1h-7l-3 3v-10a1 1 0 0 1 1 -1h2\"},\"child\":[]}]})(props);\n};\nexport function TbMeteorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.75 5.761l3.25 -2.761l-1 5l9 -5l-5 9h5l-2.467 2.536m-1.983 2.04l-2.441 2.51a6.5 6.5 0 1 1 -8.855 -9.506l2.322 -1.972\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMeteor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-5 9h5l-6.891 7.086a6.5 6.5 0 1 1 -8.855 -9.506l7.746 -6.58l-1 5l9 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMeterCube (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h1.5a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a2 2 0 0 1 2 -2h.5a2.5 2.5 0 0 1 2.5 2.5v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.5v-1a2.5 2.5 0 1 1 5 0v3.5\"},\"child\":[]}]})(props);\n};\nexport function TbMeterSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14a2 2 0 0 1 2 -2h.5a2.5 2.5 0 0 1 2.5 2.5v3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.5v-1a2.5 2.5 0 1 1 5 0v3.5\"},\"child\":[]}]})(props);\n};\nexport function TbMetronome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.153 8.188l-.72 -3.236a2.493 2.493 0 0 0 -4.867 0l-3.025 13.614a2 2 0 0 0 1.952 2.434h7.014a2 2 0 0 0 1.952 -2.434l-.524 -2.357m-4.935 1.791l9 -13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbMichelinBibGourmand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.97 20c-2.395 -1.947 -4.763 -5.245 -1.005 -8c-.52 -4 3.442 -7.5 5.524 -7.5c.347 -1 1.499 -1.5 2.54 -1.5c1.04 0 2.135 .5 2.482 1.5c2.082 0 6.044 3.5 5.524 7.5c3.758 2.755 1.39 6.053 -1.005 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11m-1 0a1 2 0 1 0 2 0a1 2 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11m-1 0a1 2 0 1 0 2 0a1 2 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17.085c3.5 2.712 6.5 2.712 9 -1.085\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18.5c.815 -2.337 1.881 -1.472 2 -.55\"},\"child\":[]}]})(props);\n};\nexport function TbMichelinStarGreen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.432 17.949c.863 1.544 2.589 1.976 4.13 1.112c1.54 -.865 1.972 -2.594 1.048 -4.138c-.185 -.309 -.309 -.556 -.494 -.74c.247 .06 .555 .06 .925 .06c1.726 0 2.959 -1.234 2.959 -2.963c0 -1.73 -1.233 -2.965 -3.02 -2.965c-.37 0 -.617 0 -.925 .062c.185 -.185 .308 -.432 .493 -.74c.863 -1.545 .431 -3.274 -1.048 -4.138c-1.541 -.865 -3.205 -.433 -4.13 1.111c-.185 .309 -.308 .556 -.432 .803c-.123 -.247 -.246 -.494 -.431 -.803c-.802 -1.605 -2.528 -2.038 -4.007 -1.173c-1.541 .865 -1.973 2.594 -1.048 4.137c.185 .31 .308 .556 .493 .741c-.246 -.061 -.555 -.061 -.924 -.061c-1.788 0 -3.021 1.235 -3.021 2.964c0 1.729 1.233 2.964 3.02 2.964\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.073 21c4.286 -2.756 5.9 -5.254 7.927 -9\"},\"child\":[]}]})(props);\n};\nexport function TbMichelinStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.792 17.063c0 .337 .057 .618 .057 .9c0 1.8 -1.238 3.037 -2.982 3.037c-1.8 0 -2.98 -1.238 -2.98 -3.206v-.731c-.957 .675 -1.576 .9 -2.42 .9c-1.518 0 -2.925 -1.463 -2.925 -3.094c0 -1.181 .844 -2.194 2.082 -2.756l.28 -.113c-1.574 -.787 -2.362 -1.688 -2.362 -2.925c0 -1.687 1.294 -3.094 2.925 -3.094c.675 0 1.52 .338 2.138 .788l.281 .112c0 -.337 -.056 -.619 -.056 -.844c0 -1.8 1.237 -3.037 2.98 -3.037c1.8 0 2.981 1.237 2.981 3.206v.394l-.056 .281c.956 -.675 1.575 -.9 2.419 -.9c1.519 0 2.925 1.463 2.925 3.094c0 1.181 -.844 2.194 -2.081 2.756l-.282 .169c1.575 .787 2.363 1.688 2.363 2.925c0 1.688 -1.294 3.094 -2.925 3.094c-.675 0 -1.575 -.281 -2.138 -.788l-.225 -.169z\"},\"child\":[]}]})(props);\n};\nexport function TbMickey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 3a3.5 3.5 0 0 1 3.25 4.8a7.017 7.017 0 0 0 -2.424 2.1a3.5 3.5 0 1 1 -.826 -6.9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 3a3.5 3.5 0 1 1 -.826 6.902a7.013 7.013 0 0 0 -2.424 -2.103a3.5 3.5 0 0 1 3.25 -4.799z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]}]})(props);\n};\nexport function TbMicrophone2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.908 12.917a5 5 0 1 0 -5.827 -5.819\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.116 10.125l-6.529 7.46a2 2 0 1 0 2.827 2.83l7.461 -6.529\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMicrophone2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12.9a5 5 0 1 0 -3.902 -3.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12.9l-3.902 -3.899l-7.513 8.584a2 2 0 1 0 2.827 2.83l8.588 -7.515z\"},\"child\":[]}]})(props);\n};\nexport function TbMicrophoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a3 3 0 0 1 6 0v5a3 3 0 0 1 -.13 .874m-2 2a3 3 0 0 1 -3.87 -2.872v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10a7 7 0 0 0 10.846 5.85m2 -2a6.967 6.967 0 0 0 1.152 -3.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbMicrophone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 2m0 3a3 3 0 0 1 3 -3h0a3 3 0 0 1 3 3v5a3 3 0 0 1 -3 3h0a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10a7 7 0 0 0 14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbMicroscopeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l-1 1l3 3l1 -1m2 -2l3 -3l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 12.5l-1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a6 6 0 0 0 5.457 -3.505m.441 -3.599a6 6 0 0 0 -2.183 -3.608\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMicroscope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l3 3l6 -6l-3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 12.5l-1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a6 6 0 0 0 3.715 -10.712\"},\"child\":[]}]})(props);\n};\nexport function TbMicrowaveOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-14a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h2m4 0h10a1 1 0 0 1 1 1v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6v5m0 4v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 10.5c1 -.667 1.5 -.667 2.5 0c.636 .265 1.272 .665 1.907 .428\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 13.5c1 -.667 1.5 -.667 2.5 0c.833 .347 1.667 .926 2.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMicrowave (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 10.5c1 -.667 1.5 -.667 2.5 0c.833 .347 1.667 .926 2.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 13.5c1 -.667 1.5 -.667 2.5 0c.833 .347 1.667 .926 2.5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMilitaryAward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 10.5l-1 -2.5h-5.5l2.48 5.788a2 2 0 0 0 1.84 1.212h2.18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 10.5l1 -2.5h5.5l-2.48 5.788a2 2 0 0 1 -1.84 1.212h-2.18\"},\"child\":[]}]})(props);\n};\nexport function TbMilitaryRank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v13h-10v-13l5 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l2 -1l2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l2 -1l2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l2 -1l2 1\"},\"child\":[]}]})(props);\n};\nexport function TbMilkOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h6v-2a1 1 0 0 0 -1 -1h-6a1 1 0 0 0 -1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6l1.094 1.759a6 6 0 0 1 .906 3.17v3.071m0 4v1a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8.071a6 6 0 0 1 .906 -3.17l.327 -.525\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMilk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h8v-2a1 1 0 0 0 -1 -1h-6a1 1 0 0 0 -1 1v2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6l1.094 1.759a6 6 0 0 1 .906 3.17v8.071a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8.071a6 6 0 0 1 .906 -3.17l1.094 -1.759\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h4\"},\"child\":[]}]})(props);\n};\nexport function TbMilkshake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10a5 5 0 0 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10m0 1a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13l1.81 7.243a1 1 0 0 0 .97 .757h4.44a1 1 0 0 0 .97 -.757l1.81 -7.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v-2\"},\"child\":[]}]})(props);\n};\nexport function TbMinimize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]}]})(props);\n};\nexport function TbMinusVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v14\"},\"child\":[]}]})(props);\n};\nexport function TbMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbMistOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 10h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h1m4 0h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h9m4 0h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMist (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h3m4 0h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h11m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15h5m4 0h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h9m4 0h3\"},\"child\":[]}]})(props);\n};\nexport function TbMobiledataOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMobiledata (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 7l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMoneybag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 3h5a1.5 1.5 0 0 1 1.5 1.5a3.5 3.5 0 0 1 -3.5 3.5h-1a3.5 3.5 0 0 1 -3.5 -3.5a1.5 1.5 0 0 1 1.5 -1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v-1a8 8 0 1 1 16 0v1a4 4 0 0 1 -4 4h-8a4 4 0 0 1 -4 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbMonkeybar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-15l5 -3l5 3v15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10a2 2 0 1 1 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13c6 0 3 8 8 8\"},\"child\":[]}]})(props);\n};\nexport function TbMoodAngry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l-2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 16.05a3.5 3.5 0 0 0 -5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodAnnoyed2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14c-2 0 -3 1 -3.5 2.05\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.25c-.5 1 -2.5 1 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9.25c-.5 1 -2.5 1 -3 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodAnnoyed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14c-2 0 -3 1 -3.5 2.05\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbMoodBoy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4.5a9 9 0 0 1 3.864 5.89a2.5 2.5 0 0 1 -.29 4.36a9 9 0 0 1 -17.137 0a2.5 2.5 0 0 1 -.29 -4.36a9 9 0 0 1 3.746 -5.81\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 16a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 2c1.5 1 2.5 3.5 2.5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 2c1.5 2 2 3.5 2 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.925 13.163a8.998 8.998 0 0 0 -8.925 -10.163a9 9 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .64 1.56 1 2.5 1s1.842 -.36 2.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMoodCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -8.983 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.001 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.001 14.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.001 20v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.032 16.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.27 19l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.97 16.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.733 19l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .64 1.56 1 2.5 1\"},\"child\":[]}]})(props);\n};\nexport function TbMoodConfuzed (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 16a10 10 0 0 1 6 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbMoodCrazyHappy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11.5l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11.5l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodCry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15.25a3.5 3.5 0 0 1 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.566 17.606a2 2 0 1 0 2.897 .03l-1.463 -1.636l-1.434 1.606z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.865 13.517a8.937 8.937 0 0 0 .135 -1.517a9 9 0 1 0 -9 9c.69 0 1.36 -.076 2 -.222\"},\"child\":[]}]})(props);\n};\nexport function TbMoodDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.87 10.48a9 9 0 1 0 -7.876 10.465\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .64 1.56 1 2.5 1c.357 0 .709 -.052 1.043 -.151\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbMoodEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.955 11.104a9 9 0 1 0 -9.895 9.847\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .672 1.56 1 2.5 1c.126 0 .251 -.006 .376 -.018\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodEmpty (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodHappy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13a4 4 0 1 0 8 0h-8\"},\"child\":[]}]})(props);\n};\nexport function TbMoodHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -8.012 8.946\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.59 3.59 0 0 0 2.774 .99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.994 21.5l2.518 -2.58a1.74 1.74 0 0 0 .004 -2.413a1.627 1.627 0 0 0 -2.346 -.005l-.168 .172l-.168 -.172a1.627 1.627 0 0 0 -2.346 -.004a1.74 1.74 0 0 0 -.004 2.412l2.51 2.59z\"},\"child\":[]}]})(props);\n};\nexport function TbMoodKid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a2 2 0 0 0 0 4\"},\"child\":[]}]})(props);\n};\nexport function TbMoodLookDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h2\"},\"child\":[]}]})(props);\n};\nexport function TbMoodLookLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h4\"},\"child\":[]}]})(props);\n};\nexport function TbMoodLookRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15h-4\"},\"child\":[]}]})(props);\n};\nexport function TbMoodLookUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbMoodMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.48 15.014a9 9 0 1 0 -7.956 5.97\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .64 1.56 1 2.5 1s1.842 -.36 2.5 -1\"},\"child\":[]}]})(props);\n};\nexport function TbMoodNerd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 9h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.5c1.333 -1.333 2.667 -1.333 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodNervous (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l2 -2l2 2l2 -2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMoodNeutral (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.634 5.638a9 9 0 0 0 12.732 12.724m1.679 -2.322a9 9 0 0 0 -12.08 -12.086\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMoodPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -8.352 8.977\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .672 1.56 1 2.5 1c.102 0 .203 -.004 .304 -.012\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMoodPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.985 12.528a9 9 0 1 0 -8.45 8.456\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .64 1.56 1 2.5 1s1.842 -.36 2.5 -1\"},\"child\":[]}]})(props);\n};\nexport function TbMoodPuzzled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.986 3.51a9 9 0 1 0 1.514 16.284c2.489 -1.437 4.181 -3.978 4.5 -6.794\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15c1 -1.333 2 -2 3 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSad2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 16.05a3.5 3.5 0 0 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9.25c-.5 1 -2.5 1 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9.25c-.5 1 -2.5 1 -3 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSadDizzy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 16.05a3.5 3.5 0 0 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSadSquint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 16.05a3.5 3.5 0 0 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 11.5l1.5 -1.5l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 11.5l-1.5 -1.5l1.5 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15.25a3.5 3.5 0 0 1 5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .672 1.56 1 2.5 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbMoodShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.942 13.018a9 9 0 1 0 -8.942 7.982\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .672 1.56 1 2.5 1c.213 0 .424 -.017 .63 -.05\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l1 -1l1.5 1l1.5 -1l1.5 1l1.5 -1l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSilence (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v2\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSmileBeam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10c-.5 -1 -2.5 -1 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10c-.5 -1 -2.5 -1 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 15a3.5 3.5 0 0 1 -5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSmileDizzy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 15a3.5 3.5 0 0 1 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSmile (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodSuprised (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodTongueWink2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14v2a2 2 0 1 0 4 0v-2m1.5 0h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10c.5 -1 2.5 -1 3 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodTongueWink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14v2a2 2 0 0 0 4 0v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 14h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10c-.5 -1 -2.5 -1 -3 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodTongue (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14v2a2 2 0 0 0 4 0v-2m1.5 0h-7\"},\"child\":[]}]})(props);\n};\nexport function TbMoodUnamused (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16l4 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10c-.5 -1 -2.5 -1 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10c-.5 -1 -2.5 -1 -3 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoodUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.984 12.536a9 9 0 1 0 -8.463 8.449\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .64 1.56 1 2.5 1s1.842 -.36 2.5 -1\"},\"child\":[]}]})(props);\n};\nexport function TbMoodWink2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 15a3.5 3.5 0 0 1 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 8.5l-1.5 1.5l1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbMoodWink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15a3.5 3.5 0 0 0 5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8.5l1.5 1.5l-1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbMoodWrrr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 1 1 0 -18a9 9 0 0 1 0 18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l1 -1l1.5 1l1.5 -1l1.5 1l1.5 -1l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 11.5l1.5 -1.5l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 11.5l-1.5 -1.5l1.5 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbMoodX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.983 12.556a9 9 0 1 0 -8.433 8.427\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 15c.658 .64 1.56 1 2.5 1c.194 0 .386 -.015 .574 -.045\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 21.5l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 21.5l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMoodXd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h6a3 3 0 0 1 -6 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l6 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l6 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMoon2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.418 4.157a8 8 0 0 0 0 15.686\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbMoonOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.962 3.949a8.97 8.97 0 0 1 4.038 -.957v.008h.393a7.478 7.478 0 0 0 -2.07 3.308m-.141 3.84c.186 .823 .514 1.626 .989 2.373a7.49 7.49 0 0 0 4.586 3.268m3.893 -.11c.223 -.067 .444 -.144 .663 -.233a9.088 9.088 0 0 1 -.274 .597m-1.695 2.337a9 9 0 0 1 -12.71 -12.749\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMoonStars (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c.132 0 .263 0 .393 0a7.5 7.5 0 0 0 7.92 12.446a9 9 0 1 1 -8.313 -12.454z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4a2 2 0 0 0 2 2a2 2 0 0 0 -2 2a2 2 0 0 0 -2 -2a2 2 0 0 0 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11h2m-1 -1v2\"},\"child\":[]}]})(props);\n};\nexport function TbMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c.132 0 .263 0 .393 0a7.5 7.5 0 0 0 7.92 12.446a9 9 0 1 1 -8.313 -12.454z\"},\"child\":[]}]})(props);\n};\nexport function TbMoped (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16v1a2 2 0 0 0 4 0v-5h-3a3 3 0 0 0 -3 3v1h10a6 6 0 0 1 5 -4v-5a2 2 0 0 0 -2 -2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l3 0\"},\"child\":[]}]})(props);\n};\nexport function TbMotorbike (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 14h5l4 -4h-10.5m1.5 4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h2l1.5 3l2 4\"},\"child\":[]}]})(props);\n};\nexport function TbMountainOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.281 14.26l-4.201 -8.872a2.3 2.3 0 0 0 -4.158 0l-.165 .349m-1.289 2.719l-5.468 11.544h17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 11l2 2.5l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMountain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h18l-6.921 -14.612a2.3 2.3 0 0 0 -4.158 0l-6.921 14.612z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 11l2 2.5l2.5 -2.5l2 3l2.5 -2\"},\"child\":[]}]})(props);\n};\nexport function TbMouse2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3m0 4a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v10a4 4 0 0 1 -4 4h-4a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h12\"},\"child\":[]}]})(props);\n};\nexport function TbMouseOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.733 3.704a3.982 3.982 0 0 1 2.267 -.704h4a4 4 0 0 1 4 4v7m-.1 3.895a4 4 0 0 1 -3.9 3.105h-4a4 4 0 0 1 -4 -4v-10c0 -.3 .033 -.593 .096 -.874\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMouse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3m0 4a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v10a4 4 0 0 1 -4 4h-4a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbMoustache (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9a3 3 0 0 1 2.599 1.5h0c.933 1.333 2.133 1.556 3.126 1.556l.291 0l.77 -.044l.213 0c-.963 1.926 -3.163 2.925 -6.6 3l-.4 0l-.165 0a3 3 0 0 1 .165 -6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9a3 3 0 0 0 -2.599 1.5h0c-.933 1.333 -2.133 1.556 -3.126 1.556l-.291 0l-.77 -.044l-.213 0c.963 1.926 3.163 2.925 6.6 3l.4 0l.165 0a3 3 0 0 0 -.165 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbMovieOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.592 3.42c-.362 .359 -.859 .58 -1.408 .58h-12a2 2 0 0 1 -2 -2v-12c0 -.539 .213 -1.028 .56 -1.388\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v8m0 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8m4 0h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMovie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbMugOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h5.917a1.08 1.08 0 0 1 1.083 1.077v5.923m-.167 3.88a4.33 4.33 0 0 1 -4.166 3.12h-4.334c-2.393 0 -4.333 -1.929 -4.333 -4.308v-8.615a1.08 1.08 0 0 1 1.083 -1.077h.917\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h2.5c1.38 0 2.5 1.045 2.5 2.333v2.334c0 1.148 -.89 2.103 -2.06 2.297\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.083 5h10.834a1.08 1.08 0 0 1 1.083 1.077v8.615c0 2.38 -1.94 4.308 -4.333 4.308h-4.334c-2.393 0 -4.333 -1.929 -4.333 -4.308v-8.615a1.08 1.08 0 0 1 1.083 -1.077\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h2.5c1.38 0 2.5 1.045 2.5 2.333v2.334c0 1.288 -1.12 2.333 -2.5 2.333h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbMultiplier05X (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h2a2 2 0 1 0 0 -4h-2v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMultiplier15X (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v-8l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h2a2 2 0 1 0 0 -4h-2v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMultiplier1X (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMultiplier2X (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10a2 2 0 1 1 4 0c0 .591 -.417 1.318 -.816 1.858l-3.184 4.143l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbMushroomOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.874 5.89a8.128 8.128 0 0 0 -1.874 5.21a.9 .9 0 0 0 .9 .9h7.1m4 0h3.1a.9 .9 0 0 0 .9 -.9c0 -4.474 -3.582 -8.1 -8 -8.1c-1.43 0 -2.774 .38 -3.936 1.047\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v7a2 2 0 1 0 4 0v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMushroom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11.1c0 -4.474 -3.582 -8.1 -8 -8.1s-8 3.626 -8 8.1a.9 .9 0 0 0 .9 .9h14.2a.9 .9 0 0 0 .9 -.9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12v7a2 2 0 1 0 4 0v-7\"},\"child\":[]}]})(props);\n};\nexport function TbMusicBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbMusicCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMusicCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbMusicCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbMusicCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbMusicDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMusicDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbMusicDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbMusicExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMusicHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbMusicMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbMusicOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.42 14.45a3 3 0 1 0 4.138 4.119\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-8m0 -4v-1h10v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbMusicPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbMusicPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbMusicPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbMusicQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbMusicSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbMusicShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbMusicStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbMusicUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbMusicX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbMusic (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-13h10v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h10\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.559 12.882l-4.559 -9.882l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463l1.036 .351\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.371 12.476l-4.371 -9.476l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.487 14.894l-5.487 -11.894l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l6.275 -2.127\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.653 13.086l-4.653 -10.086l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l6.246 -2.117\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.387 12.51l-4.387 -9.51l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.43 12.603l-4.43 -9.603l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463l1.272 .431\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.945 11.551l-3.945 -8.551l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463l1.594 .54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.528 12.815l-4.528 -9.815l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationEast (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3h-4v6h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-4 -8l-4 8l4 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.535 12.832l-4.535 -9.832l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463c1.38 .468 2.416 .82 3.107 1.053\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.721 11.067l-3.721 -8.067l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l5.614 -1.903\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 15c-1.232 -2.67 -3.065 -6.67 -5.5 -12l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationNorth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-4 -8l-4 8l4 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v-6l4 6v-6\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.28 12.28c-.95 -2.064 -2.377 -5.157 -4.28 -9.28c-.7 1.515 -1.223 2.652 -1.573 3.41m-1.27 2.75c-.882 1.913 -2.59 5.618 -5.127 11.115c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463l7.265 2.463c.196 .077 .42 .032 .57 -.116a.548 .548 0 0 0 .134 -.572l-.26 -.563\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.666 13.114l-4.666 -10.114l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463l1.056 .358\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.002 11.676l-4.002 -8.676l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.573 12.914l-4.573 -9.914l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.081 11.847l-4.081 -8.847l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463c1.35 .458 2.362 .8 3.037 1.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.876 11.403l-3.876 -8.403l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l6.29 -2.132\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.633 13.043l-4.633 -10.043l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463l.955 .324\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationSouth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8.25c0 .414 .336 .75 .75 .75h2.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h2.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-4 -8l-4 8l4 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.574 10.747l-3.574 -7.747l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l5.454 -1.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.54 19.977a.34 .34 0 0 0 .357 -.07a.33 .33 0 0 0 .084 -.35l-4.981 -10.557l-4.982 10.557a.33 .33 0 0 0 .084 .35a.34 .34 0 0 0 .357 .07l4.541 -1.477l4.54 1.477z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v2\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.54 12.843l-4.54 -9.843l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationWest (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l1 6l2 -3.75l2 3.75l1 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-4 -8l-4 8l4 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbNavigationX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.622 13.02l-4.622 -10.02l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463l1.563 .53\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbNavigation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18.5l7.265 2.463c.196 .077 .42 .032 .57 -.116a.548 .548 0 0 0 .134 -.572l-7.969 -17.275l-7.97 17.275c-.07 .2 -.017 .424 .135 .572c.15 .148 .374 .193 .57 .116l7.265 -2.463\"},\"child\":[]}]})(props);\n};\nexport function TbNeedleThread (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21c-.667 -.667 3.262 -6.236 11.785 -16.709a3.5 3.5 0 1 1 5.078 4.791c-10.575 8.612 -16.196 12.585 -16.863 11.918z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 6.5l-1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7c-2.333 -2.667 -3.5 -4 -5 -4s-2 1 -2 2c0 4 8.161 8.406 6 11c-1.056 1.268 -3.363 1.285 -5.75 .808\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.739 15.425c-1.393 -.565 -3.739 -1.925 -3.739 -3.425\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 9.5l1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbNeedle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21c-.667 -.667 3.262 -6.236 11.785 -16.709a3.5 3.5 0 1 1 5.078 4.791c-10.575 8.612 -16.196 12.585 -16.863 11.918z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 6.5l-1 1\"},\"child\":[]}]})(props);\n};\nexport function TbNetworkOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.528 6.536a6 6 0 0 0 7.942 7.933m2.247 -1.76a6 6 0 0 0 -8.427 -8.425\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c1.333 .333 2 2.333 2 6c0 .337 -.006 .66 -.017 .968m-.55 3.473c-.333 .884 -.81 1.403 -1.433 1.559\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-.936 .234 -1.544 1.29 -1.822 3.167m-.16 3.838c.116 3.029 .776 4.695 1.982 4.995\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h3m4 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNetwork (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9a6 6 0 1 0 12 0a6 6 0 0 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c1.333 .333 2 2.333 2 6s-.667 5.667 -2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-1.333 .333 -2 2.333 -2 6s.667 5.667 2 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v3\"},\"child\":[]}]})(props);\n};\nexport function TbNewSection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6v-1a1 1 0 0 1 1 -1h1m5 0h2m5 0h1a1 1 0 0 1 1 1v1m0 5v2m0 5v1a1 1 0 0 1 -1 1h-1m-5 0h-2m-5 0h-1a1 1 0 0 1 -1 -1v-1m0 -5v-2m0 -5\"},\"child\":[]}]})(props);\n};\nexport function TbNewsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6h3a1 1 0 0 1 1 1v9m-.606 3.435a2 2 0 0 1 -3.394 -1.435v-2m0 -4v-7a1 1 0 0 0 -1 -1h-7m-3.735 .321a1 1 0 0 0 -.265 .679v12a3 3 0 0 0 3 3h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNews (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6h3a1 1 0 0 1 1 1v11a2 2 0 0 1 -4 0v-13a1 1 0 0 0 -1 -1h-10a1 1 0 0 0 -1 1v12a3 3 0 0 0 3 3h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbNfcOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20a3 3 0 0 1 -3 -3v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4a3 3 0 0 1 3 3v5m0 4v2l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h9a3 3 0 0 1 3 3v9m-.873 3.116a2.99 2.99 0 0 1 -2.127 .884h-10a3 3 0 0 1 -3 -3v-10c0 -.83 .337 -1.582 .882 -2.125\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNfc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20a3 3 0 0 1 -3 -3v-11l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4a3 3 0 0 1 3 3v11l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 3a3 3 0 0 1 3 -3h10a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-10a3 3 0 0 1 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbNoCopyright (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9.75a3.016 3.016 0 0 0 -4.163 .173a2.993 2.993 0 0 0 0 4.154a3.016 3.016 0 0 0 4.163 .173\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 16.5l1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbNoCreativeCommons (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 10.5c-.847 -.71 -2.132 -.658 -2.914 .116a1.928 1.928 0 0 0 0 2.768c.782 .774 2.067 .825 2.914 .116\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10.5c-.847 -.71 -2.132 -.658 -2.914 .116a1.928 1.928 0 0 0 0 2.768c.782 .774 2.067 .825 2.914 .116\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 16.5l1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbNoDerivatives (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h6\"},\"child\":[]}]})(props);\n};\nexport function TbNorthStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5l9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16.5l9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbNoteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20l3.505 -3.505m2 -2l1.501 -1.501\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h3v-7a2 2 0 0 0 -2 -2h-10m-3.427 .6c-.355 .36 -.573 .853 -.573 1.4v12a2 2 0 0 0 2 2h7v-6c0 -.272 .109 -.519 .285 -.699\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20l7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20v-6a1 1 0 0 1 1 -1h6v-7a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h7\"},\"child\":[]}]})(props);\n};\nexport function TbNotebookOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h9a2 2 0 0 1 2 2v9m-.179 3.828a2 2 0 0 1 -1.821 1.172h-11a1 1 0 0 1 -1 -1v-14m4 -1v1m0 4v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNotebook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h11a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-11a1 1 0 0 1 -1 -1v-14a1 1 0 0 1 1 -1m3 0v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12l2 0\"},\"child\":[]}]})(props);\n};\nexport function TbNotesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10a2 2 0 0 1 2 2v10m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNotes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbNotificationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.154 6.187a2 2 0 0 0 -1.154 1.813v9a2 2 0 0 0 2 2h9a2 2 0 0 0 1.811 -1.151\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbNotification (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h-3a2 2 0 0 0 -2 2v9a2 2 0 0 0 2 2h9a2 2 0 0 0 2 -2v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbNumber0Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20a4 4 0 0 0 4 -4v-8a4 4 0 1 0 -8 0v8a4 4 0 0 0 4 4z\"},\"child\":[]}]})(props);\n};\nexport function TbNumber1Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20v-16l-5 5\"},\"child\":[]}]})(props);\n};\nexport function TbNumber10Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbNumber11Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber12Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber123 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbNumber13Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbNumber14Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber15Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbNumber16Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber17Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h4l-2 8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber18Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbNumber19Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber2Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8a4 4 0 1 1 8 0c0 1.098 -.564 2.025 -1.159 2.815l-6.841 9.185h8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber20Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber21Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber22Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber23Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber24Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber25Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber26Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber27Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h4l-2 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber28Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber29Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber3Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12a4 4 0 1 0 -4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16a4 4 0 1 0 4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbNumber4Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20v-15l-8 11h10\"},\"child\":[]}]})(props);\n};\nexport function TbNumber5Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h4a4 4 0 1 0 0 -8h-4v-8h8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber6Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16a4 4 0 1 0 8 0v-1a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8a4 4 0 1 0 -8 0v8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber7Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h8l-4 16\"},\"child\":[]}]})(props);\n};\nexport function TbNumber8Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbNumber9Small (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8a4 4 0 1 0 -8 0v1a4 4 0 1 0 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16a4 4 0 1 0 8 0v-8\"},\"child\":[]}]})(props);\n};\nexport function TbNumber (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v-10l7 10v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 10m-2.5 0a2.5 3 0 1 0 5 0a2.5 3 0 1 0 -5 0\"},\"child\":[]}]})(props);\n};\nexport function TbNumbers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10v-7l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16a2 2 0 1 1 4 0c0 .591 -.601 1.46 -1 2l-3 3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14a2 2 0 1 0 2 -2a2 2 0 1 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 10h3\"},\"child\":[]}]})(props);\n};\nexport function TbNurse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c2.941 0 5.685 .847 8 2.31l-2 9.69h-12l-2 -9.691a14.93 14.93 0 0 1 8 -2.309z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4\"},\"child\":[]}]})(props);\n};\nexport function TbNut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6.84a2.007 2.007 0 0 1 1 1.754v6.555c0 .728 -.394 1.4 -1.03 1.753l-6 3.844a1.995 1.995 0 0 1 -1.94 0l-6 -3.844a2.006 2.006 0 0 1 -1.03 -1.752v-6.557c0 -.728 .394 -1.399 1.03 -1.753l6 -3.582a2.049 2.049 0 0 1 2 0l6 3.582h-.03z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbOctagonMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.039 21.734l-.237 .101c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-.94 2.196\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbOctagonMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.802 2.165l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-2.389 5.575c-.206 .48 -.589 .863 -1.07 1.07l-5.574 2.388c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbOctagonOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.027 19.002a2.03 2.03 0 0 1 -.65 .444l-5.575 2.39a2.04 2.04 0 0 1 -1.604 0l-5.575 -2.39a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.103 -.24 .25 -.457 .433 -.639m2.689 -1.31l3.522 -1.51a2.036 2.036 0 0 1 1.604 0l5.575 2.39c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-1.509 3.522\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbOctagonPlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.023 21.74l-.221 .095c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-.081 .19\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbOctagonPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.802 2.165l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-2.389 5.575c-.206 .48 -.589 .863 -1.07 1.07l-5.574 2.388c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbOctagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.802 2.165l5.575 2.389c.48 .206 .863 .589 1.07 1.07l2.388 5.574c.22 .512 .22 1.092 0 1.604l-2.389 5.575c-.206 .48 -.589 .863 -1.07 1.07l-5.574 2.388c-.512 .22 -1.092 .22 -1.604 0l-5.575 -2.389a2.036 2.036 0 0 1 -1.07 -1.07l-2.388 -5.574a2.036 2.036 0 0 1 0 -1.604l2.389 -5.575c.206 -.48 .589 -.863 1.07 -1.07l5.574 -2.388a2.036 2.036 0 0 1 1.604 0z\"},\"child\":[]}]})(props);\n};\nexport function TbOctahedronOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.771 6.77l-4.475 4.527a.984 .984 0 0 0 0 1.407l8.845 8.949a1.234 1.234 0 0 0 1.718 -.001l4.36 -4.412m2.002 -2.025l2.483 -2.512a.984 .984 0 0 0 0 -1.407l-8.845 -8.948a1.233 1.233 0 0 0 -1.718 0l-2.375 2.403\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12c.004 .086 .103 .178 .296 .246l8.845 2.632c.459 .163 1.259 .163 1.718 0l1.544 -.46m3.094 -.92l4.207 -1.252c.195 -.07 .294 -.156 .296 -.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2.12v5.88m0 4v9.88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbOctahedronPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.498 12.911l.206 -.208a.984 .984 0 0 0 0 -1.407l-8.845 -8.948a1.233 1.233 0 0 0 -1.718 0l-8.845 8.949a.984 .984 0 0 0 0 1.407l8.845 8.949a1.234 1.234 0 0 0 1.718 -.001l.08 -.081\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12c.004 .086 .103 .178 .296 .246l8.845 2.632c.459 .163 1.259 .163 1.718 0l2.634 -.784m5.41 -1.61l.801 -.238c.195 -.07 .294 -.156 .296 -.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2.12v19.76\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbOctahedron (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.859 21.652l8.845 -8.949a.984 .984 0 0 0 0 -1.407l-8.845 -8.948a1.233 1.233 0 0 0 -1.718 0l-8.845 8.949a.984 .984 0 0 0 0 1.407l8.845 8.949a1.234 1.234 0 0 0 1.718 -.001z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12c.004 .086 .103 .178 .296 .246l8.845 2.632c.459 .163 1.259 .163 1.718 0l8.845 -2.632c.195 -.07 .294 -.156 .296 -.243\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2.12v19.76\"},\"child\":[]}]})(props);\n};\nexport function TbOld (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21l-1 -4l-2 -3v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14l-1 -3l4 -3l3 2l3 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l-2 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21v-8.5a1.5 1.5 0 0 1 3 0v.5\"},\"child\":[]}]})(props);\n};\nexport function TbOlympicsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6a3 3 0 1 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9a3 3 0 0 0 3 3m2.566 -1.445a3 3 0 0 0 -4.135 -4.113\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.878 12.88a3 3 0 0 0 4.239 4.247m.586 -3.431a3.012 3.012 0 0 0 -1.43 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbOlympics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbOm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12c2.21 0 4 -1.567 4 -3.5s-1.79 -3.5 -4 -3.5c-1.594 0 -2.97 .816 -3.613 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.423 14.483a4.944 4.944 0 0 0 -.423 2.017c0 2.485 1.79 4.5 4 4.5s4 -2.015 4 -4.5s-1.79 -4.5 -4 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.071 17.01c.327 2.277 1.739 3.99 3.429 3.99c1.933 0 3.5 -2.239 3.5 -5s-1.567 -5 -3.5 -5c-.96 0 -1.868 .606 -2.5 1.5c-.717 1.049 -1.76 1.7 -2.936 1.7c-.92 0 -1.766 -.406 -2.434 -1.087\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c1.667 3.667 4.667 5.333 9 5\"},\"child\":[]}]})(props);\n};\nexport function TbOmega (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19h5v-1a7.35 7.35 0 1 1 6 0v1h5\"},\"child\":[]}]})(props);\n};\nexport function TbOutbound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h4v4\"},\"child\":[]}]})(props);\n};\nexport function TbOutlet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"15\",\"cy\":\"12\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbOvalVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c0 -3.314 4.03 -6 9 -6s9 2.686 9 6s-4.03 6 -9 6s-9 -2.686 -9 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbOval (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 9 0 1 0 12 0a6 9 0 1 0 -12 0\"},\"child\":[]}]})(props);\n};\nexport function TbOverline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9v5a5 5 0 0 0 10 0v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h14\"},\"child\":[]}]})(props);\n};\nexport function TbPackageExport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-8 -4.5v-9l8 -4.5l8 4.5v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPackageImport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l-8 -4.5v-9l8 -4.5l8 4.5v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 18h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPackageOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.812 4.793l3.188 -1.793l8 4.5v8.5m-2.282 1.784l-5.718 3.216l-8 -4.5v-9l2.223 -1.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.543 10.57l5.457 -3.07\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5.25l-4.35 2.447m-2.564 1.442l-1.086 .611\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPackage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l8 4.5l0 9l-8 4.5l-8 -4.5l0 -9l8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-8 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5.25l-8 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbPackages (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.5l-5 -3l5 -3l5 3v5.5l-5 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 13.5v5.5l5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16.545l5 -3.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16.5l-5 -3l5 -3l5 3v5.5l-5 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16.5l5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5v-5.5l-5 -3l5 -3l5 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5.03v5.455\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l5 -3\"},\"child\":[]}]})(props);\n};\nexport function TbPacman (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636a9 9 0 0 1 13.397 .747l-5.619 5.617l5.619 5.617a9 9 0 1 1 -13.397 -11.981z\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"11.5\",\"cy\":\"7.5\",\"r\":\"1\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbPageBreak (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3v4a1 1 0 0 0 1 1h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v1a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14h3m4.5 0h3m4.5 0h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10v-5a2 2 0 0 1 2 -2h7l5 5v2\"},\"child\":[]}]})(props);\n};\nexport function TbPaintOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-4m-4 0h-2a2 2 0 0 1 -2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6h1a2 2 0 0 1 2 2a5 5 0 0 1 -5 5m-4 0h-1v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPaint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6h1a2 2 0 0 1 2 2a5 5 0 0 1 -5 5l-5 0v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPaletteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h-1a2 2 0 0 0 -1 3.75a1.3 1.3 0 0 1 -1 2.25a9 9 0 0 1 -6.372 -15.356\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4c1.236 -.623 2.569 -1 4 -1c4.97 0 9 3.582 9 8c0 1.06 -.474 2.078 -1.318 2.828a4.516 4.516 0 0 1 -1.127 .73\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 10.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 7.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPalette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 1 0 -18c4.97 0 9 3.582 9 8c0 1.06 -.474 2.078 -1.318 2.828c-.844 .75 -1.989 1.172 -3.182 1.172h-2.5a2 2 0 0 0 -1 3.75a1.3 1.3 0 0 1 -1 2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 10.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 7.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 10.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbPanoramaHorizontalOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.95 6.952c2.901 .15 5.803 -.323 8.705 -1.42a1 1 0 0 1 1.345 .934v10.534m-3.212 .806c-4.483 -1.281 -8.966 -1.074 -13.449 .622a.993 .993 0 0 1 -1.339 -.935v-11.027a1 1 0 0 1 1.338 -.935c.588 .221 1.176 .418 1.764 .59\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPanoramaHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.338 5.53c5.106 1.932 10.211 1.932 15.317 0a1 1 0 0 1 1.345 .934v11c0 .692 -.692 1.2 -1.34 .962c-5.107 -1.932 -10.214 -1.932 -15.321 0c-.648 .246 -1.339 -.242 -1.339 -.935v-11.027a1 1 0 0 1 1.338 -.935z\"},\"child\":[]}]})(props);\n};\nexport function TbPanoramaVerticalOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10.53c.693 0 1.18 .691 .935 1.338c-1.098 2.898 -1.573 5.795 -1.425 8.692m.828 4.847c.172 .592 .37 1.185 .595 1.778a1 1 0 0 1 -.934 1.345h-11c-.692 0 -1.208 -.692 -.962 -1.34c1.697 -4.486 1.903 -8.973 .619 -13.46\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPanoramaVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.463 4.338c-1.932 5.106 -1.932 10.211 0 15.317a1 1 0 0 1 -.934 1.345h-11c-.692 0 -1.208 -.692 -.962 -1.34c1.932 -5.107 1.932 -10.214 0 -15.321c-.246 -.648 .243 -1.339 .935 -1.339h11.028c.693 0 1.18 .691 .935 1.338z\"},\"child\":[]}]})(props);\n};\nexport function TbPaperBagOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.158 3.185c.256 -.119 .542 -.185 .842 -.185h8a2 2 0 0 1 2 2v1.82a5 5 0 0 0 .528 2.236l.944 1.888a5 5 0 0 1 .528 2.236v2.82m-.177 3.824a2 2 0 0 1 -1.823 1.176h-12a2 2 0 0 1 -2 -2v-5.82a5 5 0 0 1 .528 -2.236l1.472 -2.944v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.185 13.173a2 2 0 1 0 2.64 2.647\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21a2 2 0 0 0 2 -2v-5.82a5 5 0 0 0 -.528 -2.236l-1.472 -2.944\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPaperBag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h8a2 2 0 0 1 2 2v1.82a5 5 0 0 0 .528 2.236l.944 1.888a5 5 0 0 1 .528 2.236v5.82a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-5.82a5 5 0 0 1 .528 -2.236l1.472 -2.944v-3a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21a2 2 0 0 0 2 -2v-5.82a5 5 0 0 0 -.528 -2.236l-1.472 -2.944\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h2\"},\"child\":[]}]})(props);\n};\nexport function TbPaperclip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l-6.5 6.5a1.5 1.5 0 0 0 3 3l6.5 -6.5a3 3 0 0 0 -6 -6l-6.5 6.5a4.5 4.5 0 0 0 9 9l6.5 -6.5\"},\"child\":[]}]})(props);\n};\nexport function TbParachuteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12c0 -5.523 -4.477 -10 -10 -10c-1.737 0 -3.37 .443 -4.794 1.222m-2.28 1.71a9.969 9.969 0 0 0 -2.926 7.068\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12c0 -1.66 -1.46 -3 -3.25 -3c-1.63 0 -2.973 1.099 -3.212 2.54m-.097 -.09c-.23 -1.067 -1.12 -1.935 -2.29 -2.284m-3.445 .568c-.739 .55 -1.206 1.36 -1.206 2.266c0 -1.66 -1.46 -3 -3.25 -3c-1.8 0 -3.25 1.34 -3.25 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12l10 10l-3.5 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.582 14.624l-2.582 7.376l4.992 -4.992m2.014 -2.014l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbParachute (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a10 10 0 1 0 -20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12c0 -1.66 -1.46 -3 -3.25 -3c-1.8 0 -3.25 1.34 -3.25 3c0 -1.66 -1.57 -3 -3.5 -3s-3.5 1.34 -3.5 3c0 -1.66 -1.46 -3 -3.25 -3c-1.8 0 -3.25 1.34 -3.25 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12l10 10l-3.5 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 12l-3.5 10l10 -10\"},\"child\":[]}]})(props);\n};\nexport function TbParenthesesOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.743 5.745a12.253 12.253 0 0 0 1.257 14.255\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4a12.25 12.25 0 0 1 2.474 11.467m-1.22 2.794a12.291 12.291 0 0 1 -1.254 1.739\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbParentheses (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4a12.25 12.25 0 0 0 0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4a12.25 12.25 0 0 1 0 16\"},\"child\":[]}]})(props);\n};\nexport function TbParkingCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8h2.667c.736 0 1.333 .895 1.333 2s-.597 2 -1.333 2h-2.667\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbParkingOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.582 3.41c-.362 .365 -.864 .59 -1.418 .59h-12a2 2 0 0 1 -2 -2v-12c0 -.554 .225 -1.056 .59 -1.418\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-7m3 -1h1a2 2 0 0 1 1.817 2.836m-2.817 1.164h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbParking (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8h2.667c.736 0 1.333 .895 1.333 2s-.597 2 -1.333 2h-2.667\"},\"child\":[]}]})(props);\n};\nexport function TbPasswordFingerprint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8c.788 1 1 2 1 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11c0 -1.578 1.343 -3 3 -3s3 1.422 3 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v-1.397c-.006 -1.999 1.136 -3.849 2.993 -4.85a6.385 6.385 0 0 1 6.007 -.005\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18l4 2\"},\"child\":[]}]})(props);\n};\nexport function TbPasswordMobilePhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14v-8a2 2 0 0 1 2 -2h6a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPasswordUser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14a2 2 0 0 1 2 -2h6a2 2 0 0 1 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbPassword (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11l4 2\"},\"child\":[]}]})(props);\n};\nexport function TbPawOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.168 11.154c-.71 .31 -1.184 1.107 -2 2.593c-.942 1.703 -2.846 1.845 -3.321 3.291c-.097 .265 -.145 .677 -.143 .962c0 1.176 .787 2 1.8 2c1.259 0 3 -1 4.5 -1s3.241 1 4.5 1c.927 0 1.664 -.689 1.783 -1.708\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.188 8.082a1.039 1.039 0 0 0 -.406 -.082h-.015c-.735 .012 -1.56 .75 -1.993 1.866c-.519 1.335 -.28 2.7 .538 3.052c.129 .055 .267 .082 .406 .082c.739 0 1.575 -.742 2.011 -1.866c.516 -1.335 .273 -2.7 -.54 -3.052h0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6.992a3.608 3.608 0 0 0 -.04 -.725c-.203 -1.297 -1.047 -2.267 -1.932 -2.267a1.237 1.237 0 0 0 -.758 .265\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.456 6.733c.214 -1.376 -.375 -2.594 -1.32 -2.722a1.164 1.164 0 0 0 -.162 -.011c-.885 0 -1.728 .97 -1.93 2.267c-.214 1.376 .375 2.594 1.32 2.722c.054 .007 .108 .011 .162 .011c.885 0 1.73 -.974 1.93 -2.267z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.69 12.918c.816 -.352 1.054 -1.719 .536 -3.052c-.436 -1.124 -1.271 -1.866 -2.009 -1.866c-.14 0 -.277 .027 -.407 .082c-.816 .352 -1.054 1.719 -.536 3.052c.436 1.124 1.271 1.866 2.009 1.866c.14 0 .277 -.027 .407 -.082z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPaw (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.7 13.5c-1.1 -2 -1.441 -2.5 -2.7 -2.5c-1.259 0 -1.736 .755 -2.836 2.747c-.942 1.703 -2.846 1.845 -3.321 3.291c-.097 .265 -.145 .677 -.143 .962c0 1.176 .787 2 1.8 2c1.259 0 3 -1 4.5 -1s3.241 1 4.5 1c1.013 0 1.8 -.823 1.8 -2c0 -.285 -.049 -.697 -.146 -.962c-.475 -1.451 -2.512 -1.835 -3.454 -3.538z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.188 8.082a1.039 1.039 0 0 0 -.406 -.082h-.015c-.735 .012 -1.56 .75 -1.993 1.866c-.519 1.335 -.28 2.7 .538 3.052c.129 .055 .267 .082 .406 .082c.739 0 1.575 -.742 2.011 -1.866c.516 -1.335 .273 -2.7 -.54 -3.052z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.474 9c.055 0 .109 0 .163 -.011c.944 -.128 1.533 -1.346 1.32 -2.722c-.203 -1.297 -1.047 -2.267 -1.932 -2.267c-.055 0 -.109 0 -.163 .011c-.944 .128 -1.533 1.346 -1.32 2.722c.204 1.293 1.048 2.267 1.933 2.267z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.456 6.733c.214 -1.376 -.375 -2.594 -1.32 -2.722a1.164 1.164 0 0 0 -.162 -.011c-.885 0 -1.728 .97 -1.93 2.267c-.214 1.376 .375 2.594 1.32 2.722c.054 .007 .108 .011 .162 .011c.885 0 1.73 -.974 1.93 -2.267z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.69 12.918c.816 -.352 1.054 -1.719 .536 -3.052c-.436 -1.124 -1.271 -1.866 -2.009 -1.866c-.14 0 -.277 .027 -.407 .082c-.816 .352 -1.054 1.719 -.536 3.052c.436 1.124 1.271 1.866 2.009 1.866c.14 0 .277 -.027 .407 -.082z\"},\"child\":[]}]})(props);\n};\nexport function TbPaywall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-6a2 2 0 0 1 -2 -2v-6a2 2 0 0 1 2 -2h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11v-4a4 4 0 1 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14v1\"},\"child\":[]}]})(props);\n};\nexport function TbPdf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8h-4v8\"},\"child\":[]}]})(props);\n};\nexport function TbPeace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l6.3 6.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-6.3 6.3\"},\"child\":[]}]})(props);\n};\nexport function TbPencilBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbPencilCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPencilCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPencilCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbPencilCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbPencilDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPencilDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbPencilDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbPencilExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPencilHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11l1.5 -1.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4h4l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbPencilMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbPencilOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l-6 6v4h4l6 -6m1.99 -1.99l2.504 -2.504a2.828 2.828 0 1 0 -4 -4l-2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPencilPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbPencilPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPencilPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbPencilQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20l6 -6l3 -3l1.5 -1.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4h4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbPencilSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11l1.5 -1.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4h4l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbPencilShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbPencilStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 10.5l1 -1a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4h4l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbPencilUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPencilX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbPencil (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l10.5 -10.5a2.828 2.828 0 1 0 -4 -4l-10.5 10.5v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 6.5l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbPennant2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4l-9 4l9 4\"},\"child\":[]}]})(props);\n};\nexport function TbPennantOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-11m0 -4v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4l9 4l-4.858 2.16m-2.764 1.227l-1.378 .613\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPennant (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21l0 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4l9 4l-9 4\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21q -1.82 0 -5.458 .005a1.98 1.98 0 0 1 -1.881 -1.367l-3.064 -9.43a1.98 1.98 0 0 1 .719 -2.212l8.021 -5.828a1.98 1.98 0 0 1 2.326 0l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-1.559 4.792\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.133 4.133l2.704 -1.965a1.978 1.978 0 0 1 2.326 0l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-1.887 5.808m-.981 3.02l-.196 .602a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l2.994 -2.176\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21.005h-5.458a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-.78 2.401\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbPentagonX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPentagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]}]})(props);\n};\nexport function TbPentagram (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636a9 9 0 1 1 12.728 12.728a9 9 0 0 1 -12.728 -12.728z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.236 11l5.264 4h-6.5l-2 6l-2 -6h-6.5l5.276 -4l-2.056 -6.28l5.28 3.78l5.28 -3.78z\"},\"child\":[]}]})(props);\n};\nexport function TbPepperOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.59 12.59c-.77 1.418 -2.535 2.41 -4.59 2.41c-2.761 0 -5 -1.79 -5 -4a8 8 0 0 0 13.643 5.67m1.64 -2.357a7.97 7.97 0 0 0 .717 -3.313a3 3 0 0 0 -5.545 -1.59\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8c0 -2 2 -4 4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPepper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11c0 2.21 -2.239 4 -5 4s-5 -1.79 -5 -4a8 8 0 1 0 16 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8c0 -2 2 -4 4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPercentage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18l12 -12\"},\"child\":[]}]})(props);\n};\nexport function TbPerfume (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h6v3h-6z\"},\"child\":[]}]})(props);\n};\nexport function TbPerspectiveOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.511 4.502l9.63 1.375a1 1 0 0 1 .859 .99v8.133m-.859 3.123l-12 1.714a1 1 0 0 1 -1.141 -.99v-13.694a1 1 0 0 1 .01 -.137\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPerspective (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.141 4.163l12 1.714a1 1 0 0 1 .859 .99v10.266a1 1 0 0 1 -.859 .99l-12 1.714a1 1 0 0 1 -1.141 -.99v-13.694a1 1 0 0 1 1.141 -.99z\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneCall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a6 6 0 0 1 6 6\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneCalling (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneIncoming (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5l0 4l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 -18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.831 14.161a15.946 15.946 0 0 1 -2.831 -8.161a2 2 0 0 1 2 -2h4l2 5l-2.5 1.5c.108 .22 .223 .435 .345 .645m1.751 2.277c.843 .84 1.822 1.544 2.904 2.078l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a15.963 15.963 0 0 1 -10.344 -4.657\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneOutgoing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l4 0l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbPhonePause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbPhonePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6h6m-3 -3v6\"},\"child\":[]}]})(props);\n};\nexport function TbPhoneX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbPhone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h4l2 5l-2.5 1.5a11 11 0 0 0 5 5l1.5 -2.5l5 2v4a2 2 0 0 1 -2 2a16 16 0 0 1 -15 -15a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoAi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21h-4a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-4a2 2 0 1 1 4 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v6\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 21h-7.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.669 -.643 1.45 -.823 2.18 -.54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.616 -.593 1.328 -.792 2.008 -.598\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l.5 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoCircleMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.475 15.035a9 9 0 0 0 -8.475 -12.035a9 9 0 0 0 -9 9a9 9 0 0 0 9.525 8.985\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -4c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoCirclePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.964 12.806a9 9 0 0 0 -8.964 -9.806a9 9 0 0 0 -9 9a9 9 0 0 0 9.397 8.991\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -4c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19.33h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16.33v6\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -4c.928 -.893 2.072 -.893 3 0l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 0 0 9 9a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.48 -.461 1.016 -.684 1.551 -.67\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.653 -.629 1.413 -.815 2.13 -.559\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20h-4a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3h10a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -4c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.31 -.298 .644 -.497 .987 -.596\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.665 -.64 1.44 -.821 2.167 -.545\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoHexagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 15.5l4.5 -4.5c.928 -.893 2.072 -.893 3 0l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l2.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h11a3 3 0 0 1 3 3v11m-.856 3.099a2.991 2.991 0 0 1 -2.144 .901h-12a3 3 0 0 1 -3 -3v-12c0 -.845 .349 -1.608 .91 -2.153\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.33 12.338c.574 -.054 1.155 .166 1.67 .662l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoPentagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -4c.928 -.893 2.072 -.893 3 0l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l2.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.67 -.644 1.45 -.824 2.182 -.54\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-9a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13l2.644 -2.644a1.21 1.21 0 0 1 1.712 0l3.644 3.644\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l1.644 -1.644a1.21 1.21 0 0 1 1.712 0l1.644 1.644\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-5.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoSensor2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h2a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h-2a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoSensor3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h1a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17v1a2 2 0 0 1 -2 2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h-1a2 2 0 0 1 -2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7v-1a2 2 0 0 1 2 -2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h-2\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoSensor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15v2a2 2 0 0 1 -2 2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h-2a2 2 0 0 1 -2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9m0 1a1 1 0 0 1 1 -1h8a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-6a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 20h-4.5a3 3 0 0 1 -3 -3v-10a3 3 0 0 1 3 -3h10a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l4 -4c.928 -.893 2.072 -.893 3 0l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16c0 4 -2.5 6 -3.5 6s-3.5 -2 -3.5 -6c1 0 2.5 -.5 3.5 -1.5c1 1 2.5 1.5 3.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 15.5l4.5 -4.5c.928 -.893 2.072 -.893 3 0l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l2.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h-5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-6.5a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.679 -.653 1.473 -.829 2.214 -.526\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoVideo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h-3a3 3 0 0 1 -3 -3v-6a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9m0 3a3 3 0 0 1 3 -3h6a3 3 0 0 1 3 3v6a3 3 0 0 1 -3 3h-6a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l2.296 -2.296a2.41 2.41 0 0 1 3.408 0l.296 .296\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13.5v3l2.5 -1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPhotoX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-7a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbPhoto (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l5 -5c.928 -.893 2.072 -.893 3 0l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l1 -1c.928 -.893 2.072 -.893 3 0l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPhysotherapist (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-1 -3l4 -2l4 1h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h7l1 -4l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20h3\"},\"child\":[]}]})(props);\n};\nexport function TbPiano (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5v8h2v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5v8h2v-8\"},\"child\":[]}]})(props);\n};\nexport function TbPick (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8l-9.383 9.418a2.091 2.091 0 0 0 0 2.967a2.11 2.11 0 0 0 2.976 0l9.407 -9.385\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3h4.586a1 1 0 0 1 .707 .293l6.414 6.414a1 1 0 0 1 .293 .707v4.586a2 2 0 1 1 -4 0v-3l-5 -5h-3a2 2 0 1 1 0 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbPictureInPictureOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14m0 1a1 1 0 0 1 1 -1h5a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-5a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v-3h3\"},\"child\":[]}]})(props);\n};\nexport function TbPictureInPictureOn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14m0 1a1 1 0 0 1 1 -1h5a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-5a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13h3v-3\"},\"child\":[]}]})(props);\n};\nexport function TbPictureInPictureTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h-6a2 2 0 0 0 -2 2v10a2 2 0 0 0 2 2h14a2 2 0 0 0 2 -2v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h5a1 1 0 0 0 1 -1v-3a1 1 0 0 0 -1 -1h-5a1 1 0 0 0 -1 1v3a1 1 0 0 0 1 1z\"},\"child\":[]}]})(props);\n};\nexport function TbPictureInPicture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h-6a2 2 0 0 1 -2 -2v-10a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14m0 1a1 1 0 0 1 1 -1h5a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-5a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPigMoney (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.173 8.378a3 3 0 1 1 4.656 -1.377\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v3.803a6.019 6.019 0 0 1 2.658 3.197h1.341a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-1.342c-.336 .95 -.907 1.8 -1.658 2.473v2.027a1.5 1.5 0 0 1 -3 0v-.583a6.04 6.04 0 0 1 -1 .083h-4a6.04 6.04 0 0 1 -1 -.083v.583a1.5 1.5 0 0 1 -3 0v-2l0 -.027a6 6 0 0 1 4 -10.473h2.5l4.5 -3h0z\"},\"child\":[]}]})(props);\n};\nexport function TbPigOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h1.499l4.5 -3l0 3.803a6.019 6.019 0 0 1 2.658 3.197h1.341a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-1.342c-.057 .16 -.12 .318 -.19 .472m-1.467 2.528v1.5a1.5 1.5 0 0 1 -3 0v-.583a6.04 6.04 0 0 1 -1 .083h-4a6.04 6.04 0 0 1 -1 -.083v.583a1.5 1.5 0 0 1 -3 0v-2l0 -.027a6 6 0 0 1 1.5 -9.928\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPig (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l0 3.803a6.019 6.019 0 0 1 2.658 3.197h1.341a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-1.342a6.008 6.008 0 0 1 -1.658 2.473v2.027a1.5 1.5 0 0 1 -3 0v-.583a6.04 6.04 0 0 1 -1 .083h-4a6.04 6.04 0 0 1 -1 -.083v.583a1.5 1.5 0 0 1 -3 0v-2l0 -.027a6 6 0 0 1 4 -10.473h2.5l4.5 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbPilcrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h-2a3 3 0 1 1 0 -6h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPilcrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h-2a3 3 0 1 1 0 -6h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18h-18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPilcrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4h-9.5a4.5 4.5 0 0 0 0 9h3.5\"},\"child\":[]}]})(props);\n};\nexport function TbPillOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.495 6.505l2 -2a4.95 4.95 0 0 1 7 7l-2 2m-2 2l-4 4a4.95 4.95 0 0 1 -7 -7l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8.5l7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 12.5l8 -8a4.94 4.94 0 0 1 7 7l-8 8a4.94 4.94 0 0 1 -7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8.5l7 7\"},\"child\":[]}]})(props);\n};\nexport function TbPills (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 4.5l7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 14.5l-5 5\"},\"child\":[]}]})(props);\n};\nexport function TbPinEnd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v-5a1 1 0 0 0 -1 -1h-16a1 1 0 0 0 -1 1v12a1 1 0 0 0 1 1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPinInvoke (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13v5a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1v-12a1 1 0 0 1 1 -1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4.5l-4 4l-4 1.5l-1.5 1.5l7 7l1.5 -1.5l1.5 -4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 4l5.5 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbPingPong (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.718 20.713a7.64 7.64 0 0 1 -7.48 -12.755l.72 -.72a7.643 7.643 0 0 1 9.105 -1.283l2.387 -2.345a2.08 2.08 0 0 1 3.057 2.815l-.116 .126l-2.346 2.387a7.644 7.644 0 0 1 -1.052 8.864\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.3 5.3l9.4 9.4\"},\"child\":[]}]})(props);\n};\nexport function TbPinnedOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4.5l-3.249 3.249m-2.57 1.433l-2.181 .818l-1.5 1.5l7 7l1.5 -1.5l.82 -2.186m1.43 -2.563l3.25 -3.251\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 4l5.5 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbPinned (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v6l-2 4v2h10v-2l-2 -4v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l8 0\"},\"child\":[]}]})(props);\n};\nexport function TbPizzaOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.313 6.277l1.687 -3.277l5.34 10.376m2.477 6.463a19.093 19.093 0 0 1 -7.817 1.661c-3.04 0 -5.952 -.714 -8.5 -1.983l5.434 -10.559\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.38 15.866a14.94 14.94 0 0 0 6.815 1.634c1.56 0 3.105 -.24 4.582 -.713\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPizza (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21.5c-3.04 0 -5.952 -.714 -8.5 -1.983l8.5 -16.517l8.5 16.517a19.09 19.09 0 0 1 -8.5 1.983z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.38 15.866a14.94 14.94 0 0 0 6.815 1.634a14.944 14.944 0 0 0 6.502 -1.479\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14v-.01\"},\"child\":[]}]})(props);\n};\nexport function TbPlaceholder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.415a8 8 0 1 0 3 -15.415h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l4 -4l-4 -4l-4 4z\"},\"child\":[]}]})(props);\n};\nexport function TbPlaneArrival (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.157 11.81l4.83 1.295a2 2 0 1 1 -1.036 3.863l-14.489 -3.882l-1.345 -6.572l2.898 .776l1.414 2.45l2.898 .776l-.12 -7.279l2.898 .777l2.052 7.797z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]}]})(props);\n};\nexport function TbPlaneDeparture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.639 10.258l4.83 -1.294a2 2 0 1 1 1.035 3.863l-14.489 3.883l-4.45 -5.02l2.897 -.776l2.45 1.414l2.897 -.776l-3.743 -6.244l2.898 -.777l5.675 5.727z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]}]})(props);\n};\nexport function TbPlaneInflight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11.085h5a2 2 0 1 1 0 4h-15l-3 -6h3l2 2h3l-2 -7h3l4 7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h18\"},\"child\":[]}]})(props);\n};\nexport function TbPlaneOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.788 5.758l-.788 -2.758h3l4 7h4a2 2 0 1 1 0 4h-2m-2.718 1.256l-3.282 5.744h-3l2 -7h-4l-2 2h-3l2 -4l-2 -4h3l2 2h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPlaneTilt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 6.5l3 -2.9a2.05 2.05 0 0 1 2.9 2.9l-2.9 3l2.5 7.5l-2.5 2.55l-3.5 -6.55l-3 3v3l-2 2l-1.5 -4.5l-4.5 -1.5l2 -2h3l3 -3l-6.5 -3.5l2.5 -2.5l7.5 2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbPlane (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10h4a2 2 0 0 1 0 4h-4l-4 7h-3l2 -7h-4l-2 2h-3l2 -4l-2 -4h3l2 2h4l-2 -7h3z\"},\"child\":[]}]})(props);\n};\nexport function TbPlanetOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.816 13.58c1.956 1.825 3.157 3.449 3.184 4.445m-3.428 .593c-2.098 -.634 -4.944 -2.03 -7.919 -3.976c-5.47 -3.579 -9.304 -7.664 -8.56 -9.123c.32 -.628 1.591 -.6 3.294 -.113\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.042 7.059a7 7 0 0 0 9.908 9.89m1.581 -2.425a7 7 0 0 0 -9.057 -9.054\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPlanet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.816 13.58c2.292 2.138 3.546 4 3.092 4.9c-.745 1.46 -5.783 -.259 -11.255 -3.838c-5.47 -3.579 -9.304 -7.664 -8.56 -9.123c.464 -.91 2.926 -.444 5.803 .805\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]}]})(props);\n};\nexport function TbPlant2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9c0 5.523 4.477 10 10 10a9.953 9.953 0 0 0 5.418 -1.593m2.137 -1.855a9.961 9.961 0 0 0 2.445 -6.552\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19c0 -1.988 .58 -3.84 1.58 -5.397m1.878 -2.167a9.961 9.961 0 0 1 6.542 -2.436\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9a10 10 0 0 1 10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a9.7 9.7 0 0 1 3 7.013\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.01 11.5a9.696 9.696 0 0 1 .163 -2.318m1.082 -2.942a9.696 9.696 0 0 1 1.745 -2.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPlant2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9a10 10 0 1 0 20 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19a10 10 0 0 1 10 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 9a10 10 0 0 1 10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a9.7 9.7 0 0 1 2.99 7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.01 11.5a9.7 9.7 0 0 1 2.99 -7.5\"},\"child\":[]}]})(props);\n};\nexport function TbPlantOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2v-4h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.9 7.908a6 6 0 0 0 -4.79 -4.806m-4.11 -.102v2a6 6 0 0 0 6 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.531 8.528a6 6 0 0 1 5.469 -3.528h3v1a6 6 0 0 1 -5.037 5.923\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPlant (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15h10v4a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a6 6 0 0 0 -6 -6h-3v2a6 6 0 0 0 6 6h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11a6 6 0 0 1 6 -6h3v1a6 6 0 0 1 -6 6h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l0 -6\"},\"child\":[]}]})(props);\n};\nexport function TbPlayBasketball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21l3 -3l.75 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-4l-4 -3l.5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l1 -3l4.5 -1l3.5 3l4 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 16a.5 .5 0 1 0 0 -1a.5 .5 0 0 0 0 1z\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbPlayCardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10a2 2 0 0 1 2 2v10m0 4a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.716 13.712l-1.716 2.288l-3 -4l1.29 -1.72\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPlayCard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5v14a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l-3 -4l3 -4l3 4z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayFootball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l5 1l.75 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-4l-4 -3l1 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v-3l5 -1l3 3l3 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 20a.5 .5 0 1 0 0 -1a.5 .5 0 0 0 0 1z\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbPlayHandball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21l3.5 -2l-4.5 -4l2 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6l2 4l5 .5l4 2.5l2.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l5 -1l1.5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 5a.5 .5 0 1 0 0 -1a.5 .5 0 0 0 0 1z\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbPlayVolleyball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.5 10a.5 .5 0 1 0 0 -1a.5 .5 0 0 0 0 1z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16l5 1l.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21l2.5 -5.5l-5.5 -3.5l3.5 -4l3 4l4 2\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerEject (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h14l-7 -8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16m0 1a1 1 0 0 1 1 -1h12a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-12a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v12a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v12a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerPlay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v16l13 -8z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerRecord (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerSkipBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5v14l-12 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5l0 14\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerSkipForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5v14l12 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5l0 14\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerStop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerTrackNext (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5v14l8 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5v14l8 -7z\"},\"child\":[]}]})(props);\n};\nexport function TbPlayerTrackPrev (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 5v14l-8 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5v14l-8 -7z\"},\"child\":[]}]})(props);\n};\nexport function TbPlaylistAdd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8h-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 13v6\"},\"child\":[]}]})(props);\n};\nexport function TbPlaylistOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14a3 3 0 1 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13v-9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5h-4m-4 0h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPlaylistX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8h-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 14l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbPlaylist (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v-13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 5h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h-6\"},\"child\":[]}]})(props);\n};\nexport function TbPlaystationCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4.5 0a4.5 4.5 0 1 0 9 0a4.5 4.5 0 1 0 -9 0\"},\"child\":[]}]})(props);\n};\nexport function TbPlaystationSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbPlaystationTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 15h9l-4.5 -8z\"},\"child\":[]}]})(props);\n};\nexport function TbPlaystationX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8.5l7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 15.5l7 -7\"},\"child\":[]}]})(props);\n};\nexport function TbPlugConnectedX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l5 5l-1.5 1.5a3.536 3.536 0 1 1 -5 -5l1.5 -1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12l-5 -5l1.5 -1.5a3.536 3.536 0 1 1 5 5l-1.5 1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 5.5l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbPlugConnected (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l5 5l-1.5 1.5a3.536 3.536 0 1 1 -5 -5l1.5 -1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12l-5 -5l1.5 -1.5a3.536 3.536 0 1 1 5 5l-1.5 1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 5.5l2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbPlugOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.123 16.092l-.177 .177a5.81 5.81 0 1 1 -8.215 -8.215l.159 -.159\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l-3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9l-3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPlugX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.55 17.733a5.806 5.806 0 0 1 -7.356 -4.052a5.81 5.81 0 0 1 1.537 -5.627l2.054 -2.054l7.165 7.165\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l-3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9l-3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbPlug (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.785 6l8.215 8.215l-2.054 2.054a5.81 5.81 0 1 1 -8.215 -8.215l2.054 -2.054z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l3.5 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l-3.5 3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9l-3.5 3.5\"},\"child\":[]}]})(props);\n};\nexport function TbPlusEqual (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19l14 -14\"},\"child\":[]}]})(props);\n};\nexport function TbPlusMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19l14 -14\"},\"child\":[]}]})(props);\n};\nexport function TbPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbPng (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v-8h2a2 2 0 1 1 0 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8l4 8v-8\"},\"child\":[]}]})(props);\n};\nexport function TbPodiumOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h7l-.621 2.485a2 2 0 0 1 -1.94 1.515h-.439m-4 0h-4.439a2 2 0 0 1 -1.94 -1.515l-.621 -2.485h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v-1m.864 -3.106a2.99 2.99 0 0 1 2.136 -.894\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l1 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.599 15.613l-.599 5.387\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPodium (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h14l-.621 2.485a2 2 0 0 1 -1.94 1.515h-8.878a2 2 0 0 1 -1.94 -1.515l-.621 -2.485z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v-2a3 3 0 0 1 3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l1 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l-1 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21h10\"},\"child\":[]}]})(props);\n};\nexport function TbPointOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.15 9.194a4 4 0 0 0 5.697 5.617m1.153 -2.811a4 4 0 0 0 -4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPoint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbPointerBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.044 13.488l-1.266 -1.266l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l1.678 1.678\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbPointerCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.526 12.97l-.748 -.748l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.714 .714\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPointerCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.487 14.93l-2.709 -2.708l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.785 .785\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbPointerCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.76 13.203l-.982 -.981l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.67 .67\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbPointerCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.774 13.218l-.996 -.996l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.343 .343\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbPointerDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.778 12.222l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.787 .787\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbPointerDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.992 13.436l-1.214 -1.214l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l1.171 1.171\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbPointerExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 13.414l-1.192 -1.192l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l2.778 2.778\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPointerHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.571 11.018l1.32 -.886a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbPointerMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.6 15.043l-2.822 -2.821l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l1.188 1.188\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbPointerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.662 11.628l2.229 -1.496a1.2 1.2 0 0 0 -.309 -2.228l-8.013 -2.303m-5.569 -1.601l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l4.907 4.907a1.067 1.067 0 0 0 1.509 0l.524 -.524\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPointerPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.72 13.163l-.942 -.941l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.969 .969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbPointerPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.778 12.222l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.381 .381\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbPointerPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.941 13.385l-1.163 -1.163l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l1.23 1.23\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbPointerQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.062 12.506l-.284 -.284l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l1.278 1.278\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbPointerSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.778 12.222l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbPointerShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.646 13.09l-.868 -.868l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.607 .607\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbPointerStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.891 10.132a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbPointerUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.984 13.428l-1.206 -1.206l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l1.217 1.217\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbPointerX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.768 13.212l-.99 -.99l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l.908 .908\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbPointer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.904 17.563a1.2 1.2 0 0 0 2.228 .308l2.09 -3.093l4.907 4.907a1.067 1.067 0 0 0 1.509 0l1.047 -1.047a1.067 1.067 0 0 0 0 -1.509l-4.907 -4.907l3.113 -2.09a1.2 1.2 0 0 0 -.309 -2.228l-13.582 -3.904l3.904 13.563z\"},\"child\":[]}]})(props);\n};\nexport function TbPokeballOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.04 16.048a9 9 0 0 0 -12.083 -12.09m-2.32 1.678a9 9 0 1 0 12.737 12.719\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.884 9.874a3 3 0 1 0 4.24 4.246m.57 -3.441a3.012 3.012 0 0 0 -1.41 -1.39\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6m7 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPokeball (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h6\"},\"child\":[]}]})(props);\n};\nexport function TbPokerChip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 5.636l-2.828 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.464 15.536l-2.828 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636l2.828 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 15.536l2.828 2.828\"},\"child\":[]}]})(props);\n};\nexport function TbPolaroid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l3 -3c.928 -.893 2.072 -.893 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12l2 -2c.928 -.893 2.072 -.893 3 0l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbPolygonOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 9.5l1.546 -1.311\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5.5l3 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 10l-1.185 3.318m-1.062 2.972l-.253 .71\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 17.5l-7 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPolygon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 9.5l3.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5.5l3 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 10l-2.5 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 17.5l-7 -5\"},\"child\":[]}]})(props);\n};\nexport function TbPoo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16a3.5 3.5 0 0 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4c2 0 3.5 1.5 3.5 4l.164 0a2.5 2.5 0 0 1 2.196 3.32a3 3 0 0 1 1.615 3.063a3 3 0 0 1 -1.299 5.607l-.176 0h-10a3 3 0 0 1 -1.474 -5.613a3 3 0 0 1 1.615 -3.062a2.5 2.5 0 0 1 2.195 -3.32l.164 0c1.5 0 2.5 -2 1.5 -4z\"},\"child\":[]}]})(props);\n};\nexport function TbPoolOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1c.303 0 .6 -.045 .876 -.146\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 1.13 -.856m5.727 1.717a2.4 2.4 0 0 0 1.143 -.861\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v-6.5a1.5 1.5 0 0 1 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-3m0 -4v-.5a1.5 1.5 0 0 0 -1.936 -1.436\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h1m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v-7.5a1.5 1.5 0 0 1 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-7.5a1.5 1.5 0 0 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5l-6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbPower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6a7.75 7.75 0 1 0 10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 8\"},\"child\":[]}]})(props);\n};\nexport function TbPray (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h8l-4 -4v-7l4 3l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbPremiumRights (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.867 9.75c-.246 -.48 -.708 -.769 -1.2 -.75h-1.334c-.736 0 -1.333 .67 -1.333 1.5c0 .827 .597 1.499 1.333 1.499h1.334c.736 0 1.333 .671 1.333 1.5c0 .828 -.597 1.499 -1.333 1.499h-1.334c-.492 .019 -.954 -.27 -1.2 -.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v2\"},\"child\":[]}]})(props);\n};\nexport function TbPrescription (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19v-16h4.5a4.5 4.5 0 1 1 0 9h-4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21l-9 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbPresentationAnalytics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v10a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6\"},\"child\":[]}]})(props);\n};\nexport function TbPresentationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4h1m4 0h13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v10a2 2 0 0 0 2 2h10m3.42 -.592c.359 -.362 .58 -.859 .58 -1.408v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l2 -2m4 0l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPresentation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v10a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l3 -3l2 2l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbPrinterOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.412 16.416c.363 -.362 .588 -.863 .588 -1.416v-4a2 2 0 0 0 -2 -2h-6m-4 0h-4a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v-4a2 2 0 0 0 -2 -2h-6c-.551 0 -1.05 .223 -1.412 .584m-.588 3.416v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v2a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2v-4a2 2 0 0 1 2 -2h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPrinter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-14a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9v-4a2 2 0 0 0 -2 -2h-6a2 2 0 0 0 -2 2v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13m0 2a2 2 0 0 1 2 -2h6a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbPrismLight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.731 19h11.539a1 1 0 0 0 .866 -1.5l-5.769 -10a1 1 0 0 0 -1.732 0l-5.769 10a1 1 0 0 0 .865 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 13h4.45\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5l-4.5 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9l-7.75 3.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 15l-7 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbPrismOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.957 17.952l-4.937 3.703a1.7 1.7 0 0 1 -2.04 0l-5.98 -4.485a2.5 2.5 0 0 1 -1 -2v-11.17m3 -1h12a1 1 0 0 1 1 1v11.17c0 .25 -.037 .495 -.109 .729\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.688 8.7a1.7 1.7 0 0 0 .357 -.214l6.655 -5.186\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPrismPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.02 21.655a1.7 1.7 0 0 1 -2.04 0l-5.98 -4.485a2.5 2.5 0 0 1 -1 -2v-11.17a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.3 3.3l6.655 5.186a1.7 1.7 0 0 0 2.09 0l6.655 -5.186\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbPrism (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17.17l-5.98 4.485a1.7 1.7 0 0 1 -2.04 0l-5.98 -4.485a2.5 2.5 0 0 1 -1 -2v-11.17a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v11.17a2.5 2.5 0 0 1 -1 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.3 3.3l6.655 5.186a1.7 1.7 0 0 0 2.09 0l6.655 -5.186\"},\"child\":[]}]})(props);\n};\nexport function TbPrison (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h-6v6h6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbProgressAlert (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbProgressBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbProgressCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbProgressDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbProgressHelp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a2 2 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]}]})(props);\n};\nexport function TbProgressX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbProgress (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20.777a8.942 8.942 0 0 1 -2.48 -.969\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3.223a9.003 9.003 0 0 1 0 17.554\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.579 17.093a8.961 8.961 0 0 1 -1.227 -2.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.124 10.5c.16 -.95 .468 -1.85 .9 -2.675l.169 -.305\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.907 4.579a8.954 8.954 0 0 1 3.093 -1.356\"},\"child\":[]}]})(props);\n};\nexport function TbPrompt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l5 5l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbProng (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.2 10.2l6.3 6.3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.347 16.575l1.08 1.079a1.96 1.96 0 0 1 -2.773 2.772l-1.08 -1.079a1.96 1.96 0 0 1 2.773 -2.772z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l3.05 3.15a2.9 2.9 0 0 0 4.1 -4.1l-3.15 -3.05\"},\"child\":[]}]})(props);\n};\nexport function TbPropellerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.448 10.432a3 3 0 1 0 4.106 4.143\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.272 10.272c.66 -1.459 1.058 -2.888 1.198 -4.286c.22 -1.63 -.762 -2.986 -3.47 -2.986c-1.94 0 -3 .696 -3.355 1.69m.697 4.653c.145 .384 .309 .77 .491 1.157\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.169 16.751c.97 1.395 2.057 2.523 3.257 3.386c1.02 .789 2.265 .853 3.408 -.288m1.479 -2.493c.492 -1.634 -.19 -2.726 -1.416 -3.229c-.82 -.37 -1.703 -.654 -2.65 -.852\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.664 13c-1.693 .143 -3.213 .52 -4.56 1.128c-1.522 .623 -2.206 2.153 -.852 4.498s3.02 2.517 4.321 1.512c1.2 -.863 2.287 -1.991 3.258 -3.386\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPropeller (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.167 10.5c.722 -1.538 1.156 -3.043 1.303 -4.514c.22 -1.63 -.762 -2.986 -3.47 -2.986s-3.69 1.357 -3.47 2.986c.147 1.471 .581 2.976 1.303 4.514\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.169 16.751c.97 1.395 2.057 2.523 3.257 3.386c1.3 1 2.967 .833 4.321 -1.512c1.354 -2.345 .67 -3.874 -.85 -4.498c-1.348 -.608 -2.868 -.985 -4.562 -1.128\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.664 13c-1.693 .143 -3.213 .52 -4.56 1.128c-1.522 .623 -2.206 2.153 -.852 4.498s3.02 2.517 4.321 1.512c1.2 -.863 2.287 -1.991 3.258 -3.386\"},\"child\":[]}]})(props);\n};\nexport function TbProtocol (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l-7 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6l-7 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10.015v.015\"},\"child\":[]}]})(props);\n};\nexport function TbPumpkinScary (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l1.5 1l1.5 -1l1.5 1l1.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6.082c2.609 .588 3.627 4.162 2.723 7.983c-.903 3.82 -2.75 6.44 -5.359 5.853a3.355 3.355 0 0 1 -.774 -.279a3.728 3.728 0 0 1 -1.59 .361c-.556 0 -1.09 -.127 -1.59 -.362a3.296 3.296 0 0 1 -.774 .28c-2.609 .588 -4.456 -2.033 -5.36 -5.853c-.903 -3.82 .115 -7.395 2.724 -7.983c1.085 -.244 1.575 .066 2.585 .787c.716 -.554 1.54 -.869 2.415 -.869c.876 0 1.699 .315 2.415 .87c1.01 -.722 1.5 -1.032 2.585 -.788z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6c0 -1.226 .693 -2.346 1.789 -2.894l.211 -.106\"},\"child\":[]}]})(props);\n};\nexport function TbPuzzle2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v2.5a.5 .5 0 0 1 -.5 .5a1.5 1.5 0 0 0 0 3a.5 .5 0 0 1 .5 .5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v1.5a.5 .5 0 0 0 .5 .5a1.5 1.5 0 0 1 0 3a.5 .5 0 0 0 -.5 .5v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h-2.5a.5 .5 0 0 1 -.5 -.5a1.5 1.5 0 0 0 -3 0a.5 .5 0 0 1 -.5 .5h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1.5a.5 .5 0 0 0 -.5 .5a1.5 1.5 0 0 1 -3 0a.5 .5 0 0 0 -.5 -.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbPuzzleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.18 4.171a2 2 0 0 1 3.82 .829v1a1 1 0 0 0 1 1h3a1 1 0 0 1 1 1v3a1 1 0 0 0 1 1h1a2 2 0 0 1 .819 3.825m-2.819 1.175v3a1 1 0 0 1 -1 1h-3a1 1 0 0 1 -1 -1v-1a2 2 0 1 0 -4 0v1a1 1 0 0 1 -1 1h-3a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1h1a2 2 0 1 0 0 -4h-1a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPuzzle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h3a1 1 0 0 0 1 -1v-1a2 2 0 0 1 4 0v1a1 1 0 0 0 1 1h3a1 1 0 0 1 1 1v3a1 1 0 0 0 1 1h1a2 2 0 0 1 0 4h-1a1 1 0 0 0 -1 1v3a1 1 0 0 1 -1 1h-3a1 1 0 0 1 -1 -1v-1a2 2 0 0 0 -4 0v1a1 1 0 0 1 -1 1h-3a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1h1a2 2 0 0 0 0 -4h-1a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbPyramidOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21.384 17.373a1.004 1.004 0 0 0 -.013 -1.091l-8.54 -13.836a.999 .999 0 0 0 -1.664 0l-1.8 2.917m-1.531 2.48l-5.209 8.439a1.005 1.005 0 0 0 .386 1.452l8.092 4.054a1.994 1.994 0 0 0 1.789 0l5.903 -2.958\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v6m0 4v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbPyramidPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.719 11.985l-5.889 -9.539a.999 .999 0 0 0 -1.664 0l-8.54 13.836a1.005 1.005 0 0 0 .386 1.452l8.092 4.054a1.994 1.994 0 0 0 1.789 0l.149 -.074\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbPyramid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.105 21.788a1.994 1.994 0 0 0 1.789 0l8.092 -4.054c.538 -.27 .718 -.951 .385 -1.452l-8.54 -13.836a.999 .999 0 0 0 -1.664 0l-8.54 13.836a1.005 1.005 0 0 0 .386 1.452l8.092 4.054z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v20\"},\"child\":[]}]})(props);\n};\nexport function TbQrcodeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h1a1 1 0 0 1 1 1v1m-.297 3.711a1 1 0 0 1 -.703 .289h-4a1 1 0 0 1 -1 -1v-4c0 -.275 .11 -.524 .29 -.705\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbQrcode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 14l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17l0 3\"},\"child\":[]}]})(props);\n};\nexport function TbQuestionMark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8a3.5 3 0 0 1 3.5 -3h1a3.5 3 0 0 1 3.5 3a3 3 0 0 1 -2 3a3 4 0 0 0 -2 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbQuoteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h-4a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1m4 4v3c0 2.667 -1.333 4.333 -4 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11h-4m-1 -1v-3a1 1 0 0 1 1 -1h3a1 1 0 0 1 1 1v6c0 .66 -.082 1.26 -.245 1.798m-1.653 2.29c-.571 .4 -1.272 .704 -2.102 .912\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbQuote (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h-4a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1h3a1 1 0 0 1 1 1v6c0 2.667 -1.333 4.333 -4 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11h-4a1 1 0 0 1 -1 -1v-3a1 1 0 0 1 1 -1h3a1 1 0 0 1 1 1v6c0 2.667 -1.333 4.333 -4 5\"},\"child\":[]}]})(props);\n};\nexport function TbQuotes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12c-1.333 -1.854 -1.333 -4.146 0 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12c-1.333 -1.854 -1.333 -4.146 0 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18c1.333 -1.854 1.333 -4.146 0 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18c1.333 -1.854 1.333 -4.146 0 -6\"},\"child\":[]}]})(props);\n};\nexport function TbRadar2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.51 15.56a5 5 0 1 0 -3.51 1.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.832 17.86a9 9 0 1 0 -6.832 3.14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v9\"},\"child\":[]}]})(props);\n};\nexport function TbRadarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.291 11.295a1 1 0 0 0 .709 1.705v8c2.488 0 4.74 -1.01 6.37 -2.642m1.675 -2.319a8.962 8.962 0 0 0 .955 -4.039h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9a5 5 0 0 0 -5.063 -1.88m-2.466 1.347a5 5 0 0 0 .53 7.535\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.486 9a9 9 0 0 0 -12.525 -5.032m-2.317 1.675a9 9 0 0 0 3.36 14.852\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRadar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-8a1 1 0 1 0 -1 1v8a9 9 0 0 0 9 -9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9a5 5 0 1 0 -7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.486 9a9 9 0 1 0 -11.482 11.495\"},\"child\":[]}]})(props);\n};\nexport function TbRadioOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l-4.986 2m-2.875 1.15l-1.51 .604a1 1 0 0 0 -.629 .928v11.323a1 1 0 0 0 1 1h14a1 1 0 0 0 .708 -.294m.292 -3.706v-8a1 1 0 0 0 -1 -1h-8m-4 0h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h8m4 0h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRadio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l-9.371 3.749a1 1 0 0 0 -.629 .928v11.323a1 1 0 0 0 1 1h14a1 1 0 0 0 1 -1v-11a1 1 0 0 0 -1 -1h-14.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbRadioactiveOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.118 14.127c-.182 .181 -.39 .341 -.618 .473l3 5.19a9 9 0 0 0 1.856 -1.423m1.68 -2.32a8.993 8.993 0 0 0 .964 -4.047h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 9.4l3 -5.19a9 9 0 0 0 -8.536 -.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 14.6l-3 5.19a9 9 0 0 1 -4.5 -7.79h6a3 3 0 0 0 1.5 2.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRadioactive (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 14.6l3 5.19a9 9 0 0 0 4.5 -7.79h-6a3 3 0 0 1 -1.5 2.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 9.4l3 -5.19a9 9 0 0 0 -9 0l3 5.19a3 3 0 0 1 3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 14.6l-3 5.19a9 9 0 0 1 -4.5 -7.79h6a3 3 0 0 0 1.5 2.6\"},\"child\":[]}]})(props);\n};\nexport function TbRadiusBottomLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19h-6a8 8 0 0 1 -8 -8v-6\"},\"child\":[]}]})(props);\n};\nexport function TbRadiusBottomRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5v6a8 8 0 0 1 -8 8h-6\"},\"child\":[]}]})(props);\n};\nexport function TbRadiusTopLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19v-6a8 8 0 0 1 8 -8h6\"},\"child\":[]}]})(props);\n};\nexport function TbRadiusTopRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h6a8 8 0 0 1 8 8v6\"},\"child\":[]}]})(props);\n};\nexport function TbRainbowOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17c0 -5.523 -4.477 -10 -10 -10c-.308 0 -.613 .014 -.914 .041m-3.208 .845a10 10 0 0 0 -5.878 9.114\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.088 11.069a6 6 0 0 0 -5.088 5.931\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRainbow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 17c0 -5.523 -4.477 -10 -10 -10s-10 4.477 -10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbRating12Plus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10.5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10.5a1.5 1.5 0 0 1 3 0c0 .443 -.313 .989 -.612 1.393l-2.388 3.107h3\"},\"child\":[]}]})(props);\n};\nexport function TbRating14Plus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10.5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 15v-6m-2.5 0v4h3\"},\"child\":[]}]})(props);\n};\nexport function TbRating16Plus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 13.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10.5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13.5v-3a1.5 1.5 0 0 1 1.5 -1.5h1\"},\"child\":[]}]})(props);\n};\nexport function TbRating18Plus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 10.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 13.5m-1.5 0a1.5 1.5 0 1 0 3 0a1.5 1.5 0 1 0 -3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10.5v3\"},\"child\":[]}]})(props);\n};\nexport function TbRating21Plus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10.5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10.5a1.5 1.5 0 0 1 3 0c0 .443 -.313 .989 -.612 1.393l-2.388 3.107h3\"},\"child\":[]}]})(props);\n};\nexport function TbRazorElectric (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v6a3 3 0 0 0 6 0v-6h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5h8l-1 4h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v1\"},\"child\":[]}]})(props);\n};\nexport function TbRazor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10v4h-10z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11a2 2 0 0 1 2 2v6a2 2 0 1 1 -4 0v-6a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbReceipt2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5m2 0v1.5m0 -9v1.5\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptBitcoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7h4.09c1.055 0 1.91 .895 1.91 2s-.855 2 -1.91 2c1.055 0 1.91 .895 1.91 2s-.855 2 -1.91 2h-4.09\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v10v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v1\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.8 8a2 2 0 0 0 -1.8 -1h-2a2 2 0 1 0 0 4h2a2 2 0 1 1 0 4h-2a2 2 0 0 1 -1.8 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v10\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptEuro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7.8c-.523 -.502 -1.172 -.8 -1.875 -.8c-1.727 0 -3.125 1.791 -3.125 4s1.398 4 3.125 4c.703 0 1.352 -.298 1.874 -.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h4\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16m2 -2h10a2 2 0 0 1 2 2v10m0 4.01v1.99l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptPound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9a2 2 0 1 0 -4 0v4a2 2 0 0 1 -2 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptRefund (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v-2a2 2 0 0 0 -2 -2h-4l2 -2m0 4l-2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptRupee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h-6h1a3 3 0 0 1 0 6h-1l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10h6\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptTax (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l6 -6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.5\",\"cy\":\"8.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"13.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptYen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l-3 4.5v4.5\"},\"child\":[]}]})(props);\n};\nexport function TbReceiptYuan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l-3 4.5v4.5\"},\"child\":[]}]})(props);\n};\nexport function TbReceipt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21v-16a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v16l-3 -2l-2 2l-2 -2l-2 2l-2 -2l-3 2m4 -14h6m-6 4h6m-2 4h2\"},\"child\":[]}]})(props);\n};\nexport function TbRecharging (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.038 4.5a9 9 0 0 0 -2.495 2.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.186 10.209a9 9 0 0 0 0 3.508\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 16.962a9 9 0 0 0 2.47 2.495\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.209 20.814a9 9 0 0 0 3.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.962 19.5a9 9 0 0 0 2.495 -2.47\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.814 13.791a9 9 0 0 0 0 -3.508\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 7.038a9 9 0 0 0 -2.47 -2.495\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.791 3.186a9 9 0 0 0 -3.508 -.02\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-2 4h4l-2 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 0 -18\"},\"child\":[]}]})(props);\n};\nexport function TbRecordMailOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.569 14.557a3 3 0 1 0 -4.113 -4.149\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRecordMail (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15l10 0\"},\"child\":[]}]})(props);\n};\nexport function TbRectangleRoundedBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6a6 6 0 0 0 6 -6v-5a1 1 0 0 0 -1 -1h-16a1 1 0 0 0 -1 1v5a6 6 0 0 0 6 6z\"},\"child\":[]}]})(props);\n};\nexport function TbRectangleRoundedTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h6a6 6 0 0 1 6 6v5a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1v-5a6 6 0 0 1 6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbRectangleVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbRectangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbRectangularPrismOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.18 8.18l-4.18 2.093c-.619 .355 -1 1.01 -1 1.718v5.018c0 .709 .381 1.363 1 1.717l4 2.008a2.016 2.016 0 0 0 2 0l7.146 -3.578m2.67 -1.337l.184 -.093c.619 -.355 1 -1.01 1 -1.718v-5.018a1.98 1.98 0 0 0 -1 -1.717l-4 -2.008a2.016 2.016 0 0 0 -2 0l-3.146 1.575\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13.5l3.048 -1.458m2.71 -1.296l5.742 -2.746\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 11l5.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRectangularPrismPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12.5v-3.509a1.98 1.98 0 0 0 -1 -1.717l-4 -2.008a2.016 2.016 0 0 0 -2 0l-10 5.007c-.619 .355 -1 1.01 -1 1.718v5.018c0 .709 .381 1.363 1 1.717l4 2.008a2.016 2.016 0 0 0 2 0l2.062 -1.032\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13.5l11.5 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 11l5.5 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbRectangularPrism (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14.008v-5.018a1.98 1.98 0 0 0 -1 -1.717l-4 -2.008a2.016 2.016 0 0 0 -2 0l-10 5.008c-.619 .355 -1 1.01 -1 1.718v5.018c0 .709 .381 1.363 1 1.717l4 2.008a2.016 2.016 0 0 0 2 0l10 -5.008c.619 -.355 1 -1.01 1 -1.718z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 21v-7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13.5l11.5 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 11l5.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbRecycleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l-2 2l2 2m-2 -2h9m1.896 -2.071a2 2 0 0 0 -.146 -.679l-.55 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.536 11l-.732 -2.732l-2.732 .732m2.732 -.732l-4.5 7.794a2 2 0 0 0 1.506 2.89l1.141 .024\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.464 11l2.732 .732l.732 -2.732m-.732 2.732l-4.5 -7.794a2 2 0 0 0 -3.256 -.14l-.591 .976\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRecycle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h9a2 2 0 0 0 1.75 -2.75l-.55 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.536 11l-.732 -2.732l-2.732 .732\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.804 8.268l-4.5 7.794a2 2 0 0 0 1.506 2.89l1.141 .024\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.464 11l2.732 .732l.732 -2.732\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.196 11.732l-4.5 -7.794a2 2 0 0 0 -3.256 -.14l-.591 .976\"},\"child\":[]}]})(props);\n};\nexport function TbRefreshAlert (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -15.5 -2m-.5 -4v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8.1 8.1 0 0 0 15.5 2m.5 4v-4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbRefreshDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -15.5 -2m-.5 -4v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8.1 8.1 0 0 0 15.5 2m.5 4v-4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbRefreshOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -11.271 -6.305m-2.41 1.624a8.083 8.083 0 0 0 -1.819 2.681m-.5 -4v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8.1 8.1 0 0 0 13.671 4.691m2.329 -1.691v-1h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRefresh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 11a8.1 8.1 0 0 0 -15.5 -2m-.5 -4v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8.1 8.1 0 0 0 15.5 2m.5 4v-4h-4\"},\"child\":[]}]})(props);\n};\nexport function TbRegexOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 15a2.5 2.5 0 1 1 0 5a2.5 2.5 0 0 1 0 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l3 -1.687\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875v3.375\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l-3 -1.687\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l3 1.688\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4.5v3.375\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l-3 1.688\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRegex (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 15a2.5 2.5 0 1 1 0 5a2.5 2.5 0 0 1 0 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l3 -1.687\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875v3.375\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l-3 -1.687\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l3 1.688\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4.5v3.375\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7.875l-3 1.688\"},\"child\":[]}]})(props);\n};\nexport function TbRegistered (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-6h2a2 2 0 1 1 0 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15l-2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbRelationManyToMany (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14v-4l3 4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v-4l3 4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10.5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbRelationOneToMany (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14v-4l3 4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 10.5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13.5l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbRelationOneToOne (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10h1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10.5l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13.5l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbReload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.933 13.041a8 8 0 1 1 -9.925 -8.788c3.899 -1 7.935 1.007 9.425 4.747\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v5h-5\"},\"child\":[]}]})(props);\n};\nexport function TbReorder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-3a3 3 0 0 1 3 -3h8a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 8.5l2.5 2.5l2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbRepeatOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-3c0 -1.336 .873 -2.468 2.08 -2.856m3.92 -.144h10m-3 -3l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v3a3 3 0 0 1 -.133 .886m-1.99 1.984a3 3 0 0 1 -.877 .13h-13m3 3l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRepeatOnce (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-3a3 3 0 0 1 3 -3h13m-3 -3l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v3a3 3 0 0 1 -3 3h-13m3 3l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11l1 -1v4\"},\"child\":[]}]})(props);\n};\nexport function TbRepeat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-3a3 3 0 0 1 3 -3h13m-3 -3l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v3a3 3 0 0 1 -3 3h-13m3 3l-3 -3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbReplaceOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h1a1 1 0 0 1 1 1v1m-.303 3.717a1 1 0 0 1 -.697 .283h-4a1 1 0 0 1 -1 -1v-4c0 -.28 .115 -.532 .3 -.714\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15h1a1 1 0 0 1 1 1v1m-.303 3.717a1 1 0 0 1 -.697 .283h-4a1 1 0 0 1 -1 -1v-4c0 -.28 .115 -.532 .3 -.714\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v-3a2 2 0 0 0 -2 -2h-6l3 3m0 -6l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v3a2 2 0 0 0 2 2h6l-3 -3m0 6l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbReplace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v-3a2 2 0 0 0 -2 -2h-6l3 3m0 -6l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v3a2 2 0 0 0 2 2h6l-3 -3m0 6l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbReportAnalytics (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17v-3\"},\"child\":[]}]})(props);\n};\nexport function TbReportMedical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbReportMoney (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbReportOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.576 5.595a2 2 0 0 0 -.576 1.405v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2m0 -4v-8a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a2 2 0 0 1 2 -2h2a2 2 0 1 1 0 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbReportSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h5.697\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12v-5a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 17.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 19.5l2.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbReport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h5.697\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14v4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v-4a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v0a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h3\"},\"child\":[]}]})(props);\n};\nexport function TbReservedLine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v6a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6\"},\"child\":[]}]})(props);\n};\nexport function TbResize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11v8a1 1 0 0 0 1 1h8m-9 -14v-1a1 1 0 0 1 1 -1h1m5 0h2m5 0h1a1 1 0 0 1 1 1v1m0 5v2m0 5v1a1 1 0 0 1 -1 1h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h7a1 1 0 0 1 1 1v7\"},\"child\":[]}]})(props);\n};\nexport function TbRestore (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.06 13a9 9 0 1 0 .49 -4.087\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4.001v5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward10 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.997 17.918a6.002 6.002 0 0 0 -.997 -11.918h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward15 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h2a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18a6 6 0 1 0 0 -12h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward20 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.007 16.466a6 6 0 0 0 -4.007 -10.466h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward30 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.007 16.466a6 6 0 0 0 -4.007 -10.466h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14h1.5a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward40 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.007 16.466a6 6 0 0 0 -4.007 -10.466h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 14v2a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18a6 6 0 1 0 0 -12h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h2a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2v-3h3\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward50 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.007 16.466a6 6 0 0 0 -4.007 -10.466h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20h1.5a1.5 1.5 0 0 0 0 -3h-1.5v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbRewindBackward60 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.007 16.466a6 6 0 0 0 -4.007 -10.466h-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h-2a1 1 0 0 0 -1 1v4a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward10 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17.918a5.997 5.997 0 0 1 -5 -5.918a6 6 0 0 1 6 -6h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward15 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18a6 6 0 1 1 0 -12h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14v6\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward20 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.007 16.478a6 6 0 0 1 3.993 -10.478h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward30 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.007 16.478a6 6 0 0 1 3.993 -10.478h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14h1.5a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1.5\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward40 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.007 16.478a6 6 0 0 1 3.993 -10.478h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v2a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v6\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18a6 6 0 1 1 0 -12h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20h2a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward50 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.007 16.478a6 6 0 0 1 3.993 -10.478h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h1.5a1.5 1.5 0 0 0 0 -3h-1.5v-3h3\"},\"child\":[]}]})(props);\n};\nexport function TbRewindForward60 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.007 16.478a6 6 0 0 1 3.993 -10.478h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l3 -3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h-2a1 1 0 0 0 -1 1v4a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2\"},\"child\":[]}]})(props);\n};\nexport function TbRibbonHealth (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21s9.286 -9.841 9.286 -13.841a3.864 3.864 0 0 0 -1.182 -3.008a4.13 4.13 0 0 0 -3.104 -1.144a4.13 4.13 0 0 0 -3.104 1.143a3.864 3.864 0 0 0 -1.182 3.01c0 4 9.286 13.84 9.286 13.84\"},\"child\":[]}]})(props);\n};\nexport function TbRings (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4h18\"},\"child\":[]}]})(props);\n};\nexport function TbRippleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7c.915 -.61 1.83 -1.034 2.746 -1.272m4.212 .22c.68 .247 1.361 .598 2.042 1.052c3 2 6 2 9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17c3 -2 6 -2 9 0c2.092 1.395 4.184 1.817 6.276 1.266\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c3 -2 6 -2 9 0m5.482 1.429c1.173 -.171 2.345 -.647 3.518 -1.429\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRipple (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7c3 -2 6 -2 9 0s6 2 9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17c3 -2 6 -2 9 0s6 2 9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c3 -2 6 -2 9 0s6 2 9 0\"},\"child\":[]}]})(props);\n};\nexport function TbRoadOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l3.332 -11.661\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l2.806 9.823\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRoadSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.446 2.6l7.955 7.954a2.045 2.045 0 0 1 0 2.892l-7.955 7.955a2.045 2.045 0 0 1 -2.892 0l-7.955 -7.955a2.045 2.045 0 0 1 0 -2.892l7.955 -7.955a2.045 2.045 0 0 1 2.892 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14v-2c0 -.59 .414 -1 1 -1h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l2 2l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbRoad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19l4 -14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l4 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-2\"},\"child\":[]}]})(props);\n};\nexport function TbRobotFace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16c1 .667 2 1 3 1s2 -.333 3 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l-1 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l1 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRobotOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h8a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2m-4 0h-4a2 2 0 0 1 -2 -2v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRobot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 16l4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v.01\"},\"child\":[]}]})(props);\n};\nexport function TbRocketOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.29 9.275a9.03 9.03 0 0 0 -.29 .725a6 6 0 0 0 -5 3a8 8 0 0 1 7 7a6 6 0 0 0 3 -5c.241 -.085 .478 -.18 .708 -.283m2.428 -1.61a9 9 0 0 0 2.864 -6.107a3 3 0 0 0 -3 -3a9 9 0 0 0 -6.107 2.864\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14a6 6 0 0 0 -3 6a6 6 0 0 0 6 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRocket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13a8 8 0 0 1 7 7a6 6 0 0 0 3 -5a9 9 0 0 0 6 -8a3 3 0 0 0 -3 -3a9 9 0 0 0 -8 6a6 6 0 0 0 -5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 14a6 6 0 0 0 -3 6a6 6 0 0 0 6 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbRollerSkating (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.905 5h3.418a1 1 0 0 1 .928 .629l1.143 2.856a3 3 0 0 0 2.207 1.83l4.717 .926a2.084 2.084 0 0 1 1.682 2.045v.714a1 1 0 0 1 -1 1h-13.895a1 1 0 0 1 -1 -1.1l.8 -8a1 1 0 0 1 1 -.9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbRollercoasterOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21a5.55 5.55 0 0 0 5.265 -3.795l.735 -2.205a8.759 8.759 0 0 1 2.35 -3.652m2.403 -1.589a8.76 8.76 0 0 1 3.572 -.759h3.675\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v7m0 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9.5v2.5m0 4v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h5v3h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.446 5.415l.554 -.415l2 2.5l-.285 .213m-2.268 1.702l-1.447 1.085l-1.8 -.5l-.2 -2l1.139 -.854\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRollercoaster (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21a5.55 5.55 0 0 0 5.265 -3.795l.735 -2.205a8.775 8.775 0 0 1 8.325 -6h3.675\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9.5v11.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h5v3h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8l4 -3l2 2.5l-4 3l-1.8 -.5z\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteDiscountCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteDiscountOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -3m2 -2l1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.148 9.145a.498 .498 0 0 0 .352 .855a.5 .5 0 0 0 .35 -.142\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.148 14.145a.498 .498 0 0 0 .352 .855a.5 .5 0 0 0 .35 -.142\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.887 4.89a2.2 2.2 0 0 0 .863 -.53l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.528 .858m-.757 3.248a2.193 2.193 0 0 1 -1.555 .644h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1c0 -.604 .244 -1.152 .638 -1.55\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"9.5\",\"cy\":\"9.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"14.5\",\"cy\":\"14.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7a2.2 2.2 0 0 0 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1a2.2 2.2 0 0 0 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosetteNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRosette (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7.2a2.2 2.2 0 0 1 2.2 -2.2h1a2.2 2.2 0 0 0 1.55 -.64l.7 -.7a2.2 2.2 0 0 1 3.12 0l.7 .7c.412 .41 .97 .64 1.55 .64h1a2.2 2.2 0 0 1 2.2 2.2v1c0 .58 .23 1.138 .64 1.55l.7 .7a2.2 2.2 0 0 1 0 3.12l-.7 .7a2.2 2.2 0 0 0 -.64 1.55v1a2.2 2.2 0 0 1 -2.2 2.2h-1a2.2 2.2 0 0 0 -1.55 .64l-.7 .7a2.2 2.2 0 0 1 -3.12 0l-.7 -.7a2.2 2.2 0 0 0 -1.55 -.64h-1a2.2 2.2 0 0 1 -2.2 -2.2v-1a2.2 2.2 0 0 0 -.64 -1.55l-.7 -.7a2.2 2.2 0 0 1 0 -3.12l.7 -.7a2.2 2.2 0 0 0 .64 -1.55v-1\"},\"child\":[]}]})(props);\n};\nexport function TbRotate2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4.55a8 8 0 0 0 -6 14.9m0 -4.45v5h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.37 7.16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19.94l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.84 18.37l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.37 15.1l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.94 11l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbRotate360 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.458 11.042c.86 -2.366 .722 -4.58 -.6 -5.9c-2.272 -2.274 -7.185 -1.045 -10.973 2.743c-3.788 3.788 -5.017 8.701 -2.744 10.974c2.227 2.226 6.987 1.093 10.74 -2.515\"},\"child\":[]}]})(props);\n};\nexport function TbRotate3D (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a7 7 0 0 1 7 7v4l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 11l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15.5l-5 -3l5 -3l5 3v5.5l-5 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12.5v5.5l5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15.545l5 -3.03\"},\"child\":[]}]})(props);\n};\nexport function TbRotateClockwise2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4.55a8 8 0 0 1 6 14.9m0 -4.45v5h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.63 7.16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.06 11l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.63 15.1l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.16 18.37l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19.94l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbRotateClockwise (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.05 11a8 8 0 1 1 .5 4m-.5 5v-5h5\"},\"child\":[]}]})(props);\n};\nexport function TbRotateDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.95 11a8 8 0 1 0 -.5 4m.5 5v-5h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbRotateRectangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.09 4.01l.496 -.495a2 2 0 0 1 2.828 0l7.071 7.07a2 2 0 0 1 0 2.83l-7.07 7.07a2 2 0 0 1 -2.83 0l-7.07 -7.07a2 2 0 0 1 0 -2.83l3.535 -3.535h-3.988\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.05 11.038v-3.988\"},\"child\":[]}]})(props);\n};\nexport function TbRotate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.95 11a8 8 0 1 0 -.5 4m.5 5v-5h-5\"},\"child\":[]}]})(props);\n};\nexport function TbRoute2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7a2 2 0 1 0 0 -4a2 2 0 0 0 0 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5a2 2 0 0 0 -2 2v10a2 2 0 0 1 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbRouteAltLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h-5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l7.536 7.536a5 5 0 0 1 1.464 3.534v6.93\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8.02v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10v.01\"},\"child\":[]}]})(props);\n};\nexport function TbRouteAltRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h-5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-7.536 7.536a5 5 0 0 0 -1.464 3.534v6.93\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6.01v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8.02v-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v.01\"},\"child\":[]}]})(props);\n};\nexport function TbRouteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h4.5c.71 0 1.372 -.212 1.924 -.576m1.545 -2.459a3.5 3.5 0 0 0 -3.469 -3.965h-.499m-4 0h-3.501a3.5 3.5 0 0 1 -2.477 -5.972m2.477 -1.028h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRouteScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9h3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l4.414 4.414a2 2 0 0 1 .586 1.414v2.172\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 9l-4.414 4.414a2 2 0 0 0 -.586 1.414v2.172\"},\"child\":[]}]})(props);\n};\nexport function TbRouteSquare2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5a2 2 0 0 0 -2 2v10a2 2 0 0 1 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h4v4h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbRouteSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3h4v4h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h5.5a3.5 3.5 0 0 0 0 -7h-8a3.5 3.5 0 0 1 0 -7h4.5\"},\"child\":[]}]})(props);\n};\nexport function TbRouteX2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5a2 2 0 0 0 -2 2v10a2 2 0 0 1 -2 2\"},\"child\":[]}]})(props);\n};\nexport function TbRouteX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h5.5a3.5 3.5 0 0 0 0 -7h-8a3.5 3.5 0 0 1 0 -7h4.5\"},\"child\":[]}]})(props);\n};\nexport function TbRoute (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7a2 2 0 1 0 0 -4a2 2 0 0 0 0 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h5.5a3.5 3.5 0 0 0 0 -7h-8a3.5 3.5 0 0 1 0 -7h4.5\"},\"child\":[]}]})(props);\n};\nexport function TbRouterOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h2a2 2 0 0 1 2 2v2m-.588 3.417c-.362 .36 -.861 .583 -1.412 .583h-14a2 2 0 0 1 -2 -2v-4a2 2 0 0 1 2 -2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.226 8.2a4 4 0 0 1 6.024 .55\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.445 5.407a8 8 0 0 1 12.055 1.093\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRouter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v4a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13l0 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.75 8.75a4 4 0 0 1 6.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 6.5a8 8 0 0 1 13 0\"},\"child\":[]}]})(props);\n};\nexport function TbRowInsertBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6v4a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17l-4 0\"},\"child\":[]}]})(props);\n};\nexport function TbRowInsertTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v-4a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbRowRemove (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6v4a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbRss (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4a16 16 0 0 1 16 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11a9 9 0 0 1 9 9\"},\"child\":[]}]})(props);\n};\nexport function TbRubberStampOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.273 8.273c.805 2.341 2.857 5.527 -1.484 5.527c-2.368 0 -3.789 0 -3.789 4.05h14.85\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.712 4.722a3.99 3.99 0 0 1 3.288 -1.722a4 4 0 0 1 4 4c0 .992 -.806 2.464 -1.223 3.785m6.198 6.196c-.182 -2.883 -1.332 -3.153 -3.172 -3.178\"},\"child\":[]}]})(props);\n};\nexport function TbRubberStamp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17.85h-18c0 -4.05 1.421 -4.05 3.79 -4.05c5.21 0 1.21 -4.59 1.21 -6.8a4 4 0 1 1 8 0c0 2.21 -4 6.8 1.21 6.8c2.369 0 3.79 0 3.79 4.05z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]}]})(props);\n};\nexport function TbRuler2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.03 7.97l4.97 -4.97l4 4l-5 5m-2 2l-7 7l-4 -4l7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRuler2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l4 4l-14 14l-4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l-1.5 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbRuler3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 8c.621 0 1.125 .512 1.125 1.143v5.714c0 .631 -.504 1.143 -1.125 1.143h-15.875a1 1 0 0 1 -1 -1v-5.857c0 -.631 .504 -1.143 1.125 -1.143h15.75z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8v2\"},\"child\":[]}]})(props);\n};\nexport function TbRulerMeasure (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 12c.621 0 1.125 .512 1.125 1.143v5.714c0 .631 -.504 1.143 -1.125 1.143h-15.875a1 1 0 0 1 -1 -1v-5.857c0 -.631 .504 -1.143 1.125 -1.143h15.75z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v4\"},\"child\":[]}]})(props);\n};\nexport function TbRulerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h11a1 1 0 0 1 1 1v5a1 1 0 0 1 -1 1h-4m-3.713 .299a1 1 0 0 0 -.287 .701v7a1 1 0 0 1 -1 1h-5a1 1 0 0 1 -1 -1v-14c0 -.284 .118 -.54 .308 -.722\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbRuler (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4h14a1 1 0 0 1 1 1v5a1 1 0 0 1 -1 1h-7a1 1 0 0 0 -1 1v7a1 1 0 0 1 -1 1h-5a1 1 0 0 1 -1 -1v-14a1 1 0 0 1 1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 2\"},\"child\":[]}]})(props);\n};\nexport function TbRun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17l5 1l.75 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21l0 -4l-4 -3l1 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l0 -3l5 -1l3 3l3 1\"},\"child\":[]}]})(props);\n};\nexport function TbRvTruck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17h1a1 1 0 0 0 1 -1v-4.528a2 2 0 0 0 -.211 -.894l-.96 -1.92a3 3 0 0 0 -2.683 -1.658h-11.146a3 3 0 0 0 -3 3v6a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4m0 1.5a1.5 1.5 0 0 1 1.5 -1.5h7a1.5 1.5 0 0 1 1.5 1.5v0a1.5 1.5 0 0 1 -1.5 1.5h-7a1.5 1.5 0 0 1 -1.5 -1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbSTurnDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5a2 2 0 1 1 -4 0a2 2 0 0 1 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7v9.5a3.5 3.5 0 0 0 7 0v-9a3.5 3.5 0 0 1 7 0v13.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSTurnLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7a2 2 0 1 1 0 -4a2 2 0 0 1 0 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5h-9.5a3.5 3.5 0 0 0 0 7h9a3.5 3.5 0 0 1 0 7h-13.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbSTurnRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h9.5a3.5 3.5 0 0 1 0 7h-9a3.5 3.5 0 0 0 0 7h13.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbSTurnUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17v-9.5a3.5 3.5 0 0 1 7 0v9a3.5 3.5 0 0 0 7 0v-13.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbSailboat2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l-1 -3h18l-1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3c1.333 2.667 1.333 5.333 0 8h10c1.333 -2.667 1.333 -5.333 0 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h12\"},\"child\":[]}]})(props);\n};\nexport function TbSailboatOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l-1 -3h12m4 0h2l-.506 1.517\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 11v1h1m4 0h2l-7 -9v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.713 7.718l-1.713 4.282\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSailboat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l-1 -3h18l-1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h7l-7 -9v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l-2 5\"},\"child\":[]}]})(props);\n};\nexport function TbSalad (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16a1 1 0 0 1 1 1v.5c0 1.5 -2.517 5.573 -4 6.5v1a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-1c-1.687 -1.054 -4 -5 -4 -6.5v-.5a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 11c.351 -1.017 .426 -2.236 .5 -3.714v-1.286h-2.256c-2.83 0 -4.616 .804 -5.64 2.076\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.255 11.008a12.204 12.204 0 0 1 -.255 -2.008v-1h1.755c.98 0 1.801 .124 2.479 .35\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l1 -4l4 2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11v-.5a2.5 2.5 0 1 0 -5 0v.5\"},\"child\":[]}]})(props);\n};\nexport function TbSalt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 8h9l-.281 -2.248a2 2 0 0 0 -1.985 -1.752h-4.468a2 2 0 0 0 -1.986 1.752l-.28 2.248z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 8l-1.612 9.671a2 2 0 0 0 1.973 2.329h8.278a2 2 0 0 0 1.973 -2.329l-1.612 -9.671\"},\"child\":[]}]})(props);\n};\nexport function TbSandbox (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.953 8.017l1.047 6.983v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-2l1.245 -8.297a2 2 0 0 1 1.977 -1.703h3.778\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3l5.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.75 3.75l-2 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10.5c1.667 -.667 3.333 -.667 5 0c1.667 .667 3.333 .667 5 0\"},\"child\":[]}]})(props);\n};\nexport function TbSatelliteOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.707 3.707l5.586 5.586m-1.293 2.707l-1.293 1.293a1 1 0 0 1 -1.414 0l-5.586 -5.586a1 1 0 0 1 0 -1.414l1.293 -1.293\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10l-3 3l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6l3 -3l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 17c.69 0 1.316 -.28 1.769 -.733\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21c1.654 0 3.151 -.67 4.237 -1.752m1.507 -2.507a6 6 0 0 0 .256 -1.741\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSatellite (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.707 6.293l2.586 -2.586a1 1 0 0 1 1.414 0l5.586 5.586a1 1 0 0 1 0 1.414l-2.586 2.586a1 1 0 0 1 -1.414 0l-5.586 -5.586a1 1 0 0 1 0 -1.414z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10l-3 3l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6l3 -3l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 17a2.5 2.5 0 0 0 2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21a6 6 0 0 0 6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbSausage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 5.5a2.5 2.5 0 0 0 -2.5 2.5c0 7.18 5.82 13 13 13a2.5 2.5 0 1 0 0 -5a8 8 0 0 1 -8 -8a2.5 2.5 0 0 0 -2.5 -2.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.195 5.519l-1.243 -1.989a1 1 0 0 1 .848 -1.53h1.392a1 1 0 0 1 .848 1.53l-1.245 1.99\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.482 18.225l1.989 -1.243a1 1 0 0 1 1.53 .848v1.392a1 1 0 0 1 -1.53 .848l-1.991 -1.245\"},\"child\":[]}]})(props);\n};\nexport function TbScaleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.452 5.425l2.548 -.425l6 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m0 4v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l-3 -6l-3 6a3 3 0 0 0 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.873 14.871a3 3 0 0 0 2.127 -2.871l-3 -6l-2.677 5.355\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbScaleOutlineOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h10a4 4 0 0 1 4 4v10m-1.173 2.83a3.987 3.987 0 0 1 -2.827 1.17h-10a4 4 0 0 1 -4 -4v-10c0 -1.104 .447 -2.103 1.17 -2.827\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.062 7.062c.31 -.041 .622 -.062 .938 -.062c1.956 0 3.724 .802 5 2.095a142.85 142.85 0 0 0 -2 1.905m-3.723 .288a3 3 0 0 0 -1.315 .71l-2.956 -2.903a6.977 6.977 0 0 1 1.142 -.942\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbScaleOutline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 4a4 4 0 0 1 4 -4h10a4 4 0 0 1 4 4v10a4 4 0 0 1 -4 4h-10a4 4 0 0 1 -4 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7c1.956 0 3.724 .802 5 2.095l-2.956 2.904a3 3 0 0 0 -2.038 -.799a3 3 0 0 0 -2.038 .798l-2.956 -2.903a6.979 6.979 0 0 1 5 -2.095z\"},\"child\":[]}]})(props);\n};\nexport function TbScale (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l6 -1l6 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l-3 -6l-3 6a3 3 0 0 0 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-3 -6l-3 6a3 3 0 0 0 6 0\"},\"child\":[]}]})(props);\n};\nexport function TbScanEye (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12c3.333 -4.667 6.667 -4.667 10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12c3.333 4.667 6.667 4.667 10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbScanPosition (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7v-1a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v1a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l3 -8l-8 3l3.5 1.5z\"},\"child\":[]}]})(props);\n};\nexport function TbScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7v-1a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v1a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbSchemaOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 2h4v4m-4 0h-1v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v-1h5v4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h5v4h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h5v4h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSchema (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 2h5v4h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10h5v4h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h5v4h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h5v4h-5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 14v4\"},\"child\":[]}]})(props);\n};\nexport function TbSchoolBell (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.805 6.37l2.783 -2.784a2 2 0 1 1 2.829 2.828l-2.784 2.786\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.505 7.495a5.105 5.105 0 0 1 .176 7.035l-.176 .184l-1.867 1.867a3.48 3.48 0 0 0 -1.013 2.234l-.008 .23v.934c0 .327 -.13 .64 -.36 .871a.51 .51 0 0 1 -.652 .06l-.07 -.06l-9.385 -9.384a.51 .51 0 0 1 0 -.722c.198 -.198 .456 -.322 .732 -.353l.139 -.008h.933c.848 0 1.663 -.309 2.297 -.864l.168 -.157l1.867 -1.867l.16 -.153a5.105 5.105 0 0 1 7.059 .153z\"},\"child\":[]}]})(props);\n};\nexport function TbSchoolOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9l-10 -4l-2.136 .854m-2.864 1.146l-5 2l10 4l.697 -.279m2.878 -1.151l6.425 -2.57v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10.6v5.4c0 1.657 2.686 3 6 3c2.334 0 4.357 -.666 5.35 -1.64m.65 -3.36v-3.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSchool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 9l-10 -4l-10 4l10 4l10 -4v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10.6v5.4a6 3 0 0 0 12 0v-5.4\"},\"child\":[]}]})(props);\n};\nexport function TbScissorsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.432 4.442a3 3 0 1 0 4.114 4.146\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.6 15.4l3.4 -3.4m2 -2l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbScissors (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 7m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.6 8.6l10.4 10.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.6 15.4l10.4 -10.4\"},\"child\":[]}]})(props);\n};\nexport function TbScooterElectric (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17h5a6 6 0 0 1 5 -5v-5a2 2 0 0 0 -2 -2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4l-2 4h3l-2 4\"},\"child\":[]}]})(props);\n};\nexport function TbScooter (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 17h5a6 6 0 0 1 5 -5v-5a2 2 0 0 0 -2 -2h-1\"},\"child\":[]}]})(props);\n};\nexport function TbScoreboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9h1.5a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1.5\"},\"child\":[]}]})(props);\n};\nexport function TbScreenShareOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v3a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l4 -4m-4 0l4 4\"},\"child\":[]}]})(props);\n};\nexport function TbScreenShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v3a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbScreenshot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19a2 2 0 0 1 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 19h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19h-2\"},\"child\":[]}]})(props);\n};\nexport function TbScribbleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15c2 3 4 4 7 4c1.95 0 4.324 -1.268 5.746 -3.256m1.181 -2.812a5.97 5.97 0 0 0 .073 -.932c0 -4 -3 -7 -6 -7c-.642 0 -1.239 .069 -1.78 .201m-2.492 1.515c-.47 .617 -.728 1.386 -.728 2.284c0 2.5 2 5 6 5c.597 0 1.203 -.055 1.808 -.156m3.102 -.921c2.235 -.953 4.152 -2.423 5.09 -3.923\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbScribble (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15c2 3 4 4 7 4s7 -3 7 -7s-3 -7 -6 -7s-5 1.5 -5 4s2 5 6 5s8.408 -2.453 10 -5\"},\"child\":[]}]})(props);\n};\nexport function TbScriptMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h-8a3 3 0 0 1 0 -6h11a3 3 0 0 0 -3 3m7 -2v-9a2 2 0 0 0 -2 -2h-10a2 2 0 0 0 -2 2v8\"},\"child\":[]}]})(props);\n};\nexport function TbScriptPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h-8a3 3 0 0 1 0 -6h11a3 3 0 0 0 -3 3m7 -3v-8a2 2 0 0 0 -2 -2h-10a2 2 0 0 0 -2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17v4\"},\"child\":[]}]})(props);\n};\nexport function TbScriptX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h-8a3 3 0 0 1 0 -6h11a3 3 0 0 0 -3 3m7 -3v-8a2 2 0 0 0 -2 -2h-10a2 2 0 0 0 -2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbScript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20h-11a3 3 0 0 1 0 -6h11a3 3 0 0 0 0 6h1a3 3 0 0 0 3 -3v-11a2 2 0 0 0 -2 -2h-10a2 2 0 0 0 -2 2v8\"},\"child\":[]}]})(props);\n};\nexport function TbScubaDiving (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 12a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 2l3 3l1.5 4l3.5 2l6 2l1 4l2.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8l4.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbScubaMaskOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7h5a1 1 0 0 1 1 1v4.5c0 .154 -.014 .304 -.04 .45m-2 2.007c-.15 .028 -.305 .043 -.463 .043h-.5a2 2 0 0 1 -2 -2a2 2 0 1 0 -4 0a2 2 0 0 1 -2 2h-.5a2.5 2.5 0 0 1 -2.5 -2.5v-4.5a1 1 0 0 1 1 -1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17a2 2 0 0 0 2 2h3.5a5.475 5.475 0 0 0 2.765 -.744m2 -2c.47 -.81 .739 -1.752 .739 -2.756v-9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbScubaMask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h12a1 1 0 0 1 1 1v4.5a2.5 2.5 0 0 1 -2.5 2.5h-.5a2 2 0 0 1 -2 -2a2 2 0 1 0 -4 0a2 2 0 0 1 -2 2h-.5a2.5 2.5 0 0 1 -2.5 -2.5v-4.5a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17a2 2 0 0 0 2 2h3.5a5.5 5.5 0 0 0 5.5 -5.5v-9.5\"},\"child\":[]}]})(props);\n};\nexport function TbSdk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h-3a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8l-3 4l3 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSearchOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.039 5.062a7 7 0 0 0 9.91 9.89m1.584 -2.434a7 7 0 0 0 -9.038 -9.057\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbSectionSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 19a3 3 0 1 0 2.828 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.83 5a3 3 0 1 0 -2.83 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSection (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbSeedingOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.412 7.407a6.025 6.025 0 0 0 -2.82 -2.82m-4.592 -.587h-1v2a6 6 0 0 0 6 6h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14a6 6 0 0 1 .255 -1.736m1.51 -2.514a5.981 5.981 0 0 1 4.235 -1.75h3v1c0 2.158 -1.14 4.05 -2.85 5.107m-3.15 .893h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSeeding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10a6 6 0 0 0 -6 -6h-3v2a6 6 0 0 0 6 6h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14a6 6 0 0 1 6 -6h3v1a6 6 0 0 1 -6 6h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 -10\"},\"child\":[]}]})(props);\n};\nexport function TbSelectAll (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20v.01\"},\"child\":[]}]})(props);\n};\nexport function TbSelect (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSelector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l-4 4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSend2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.698 4.034l16.302 7.966l-16.302 7.966a.503 .503 0 0 1 -.546 -.124a.555 .555 0 0 1 -.12 -.568l2.468 -7.274l-2.468 -7.274a.555 .555 0 0 1 .12 -.568a.503 .503 0 0 1 .546 -.124z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 12h14.5\"},\"child\":[]}]})(props);\n};\nexport function TbSendOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l2 -2m2 -2l7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.718 6.713l10.282 -3.713l-3.715 10.289m-1.063 2.941l-1.722 4.77a.55 .55 0 0 1 -1 0l-3.5 -7l-7 -3.5a.55 .55 0 0 1 0 -1l4.772 -1.723\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSend (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l11 -11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-6.5 18a.55 .55 0 0 1 -1 0l-3.5 -7l-7 -3.5a.55 .55 0 0 1 0 -1l18 -6.5\"},\"child\":[]}]})(props);\n};\nexport function TbSeo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h-3a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16h-4v-8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbSeparatorHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l-4 4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSeparatorVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l4 -4l-4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSeparator (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbServer2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16h6\"},\"child\":[]}]})(props);\n};\nexport function TbServerBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20h-9a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15l-2 3h3l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbServerCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20h-6a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3h10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.032 16.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.27 19l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.97 16.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.733 19l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbServerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-6a3 3 0 0 1 -3 -3v-2c0 -1.083 .574 -2.033 1.435 -2.56m3.565 -.44h10a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h2a3 3 0 0 1 3 3v2m-1.448 2.568a2.986 2.986 0 0 1 -1.552 .432h-12a3 3 0 0 1 -3 -3v-2a3 3 0 0 1 3 -3h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbServer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbServicemark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v-6l3 4l3 -4v6\"},\"child\":[]}]})(props);\n};\nexport function TbSettings2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27a2.225 2.225 0 0 1 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsAutomation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.325 4.317c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.756 .426 1.756 2.924 0 3.35a1.724 1.724 0 0 0 -1.066 2.573c.94 1.543 -.826 3.31 -2.37 2.37a1.724 1.724 0 0 0 -2.572 1.065c-.426 1.756 -2.924 1.756 -3.35 0a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v6l5 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.256 20.473c-.855 .907 -2.583 .643 -2.931 -.79a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.07 .26 1.488 1.29 1.254 2.15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.29 20.977c-.818 .132 -1.724 -.3 -1.965 -1.294a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c.983 .238 1.416 1.126 1.298 1.937\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.445 20.913a1.665 1.665 0 0 1 -1.12 -1.23a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.31 .318 1.643 1.79 .997 2.694\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.482 20.924a1.666 1.666 0 0 1 -1.157 -1.241a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.312 .318 1.644 1.794 .995 2.697\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.003 21c-.732 .001 -1.465 -.438 -1.678 -1.317a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c.886 .215 1.325 .957 1.318 1.694\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.038 20.666c-.902 .665 -2.393 .337 -2.713 -.983a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 .402 2.248\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12a3 3 0 1 0 -1.724 2.716\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.52 20.924c-.87 .262 -1.93 -.152 -2.195 -1.241a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.088 .264 1.502 1.323 1.242 2.192\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.004 18.401a1.724 1.724 0 0 0 -1.329 1.282c-.426 1.756 -2.924 1.756 -3.35 0a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.079 .262 1.495 1.305 1.248 2.17\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.231 20.828a1.668 1.668 0 0 1 -.906 -1.145a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c.509 .123 .87 .421 1.084 .792\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.882 11.165a3.001 3.001 0 1 0 -4.31 3.474\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.488 20.933c-.863 .243 -1.902 -.174 -2.163 -1.25a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.756 .426 1.756 2.924 0 3.35c-.535 .13 -.976 .507 -1.187 1.016c-.049 .118 -.084 .185 -.106 .309\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.451 5.437c.418 -.218 .75 -.609 .874 -1.12c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.756 .426 1.756 2.924 0 3.35c-.486 .118 -.894 .44 -1.123 .878m-.188 3.803c-.517 .523 -1.349 .734 -2.125 .262a1.724 1.724 0 0 0 -2.572 1.065c-.426 1.756 -2.924 1.756 -3.35 0a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.472 -.774 -.262 -1.604 .259 -2.121\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.889 9.869a3 3 0 1 0 4.226 4.26m.592 -3.424a3.012 3.012 0 0 0 -1.419 -1.415\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.004 20.69c-.905 .632 -2.363 .296 -2.679 -1.007a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.314 .319 1.645 1.798 .992 2.701\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.578 20.905c-.88 .299 -1.983 -.109 -2.253 -1.222a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c.574 .14 .96 .5 1.16 .937\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.99 12.256a3 3 0 1 0 -2.33 2.671\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.483 20.935c-.862 .239 -1.898 -.178 -2.158 -1.252a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.08 .262 1.496 1.308 1.247 2.173\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.69 18.498c-.508 .21 -.885 .65 -1.015 1.185c-.426 1.756 -2.924 1.756 -3.35 0a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572a1.67 1.67 0 0 1 1.179 .982\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.95 12.553a3 3 0 1 0 -1.211 1.892\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.646 20.965a1.67 1.67 0 0 1 -1.321 -1.282a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c.728 .177 1.154 .71 1.279 1.303\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.985 11.694a3 3 0 1 0 -3.29 3.29\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.004 21c-.732 .002 -1.466 -.437 -1.679 -1.317a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.306 .317 1.64 1.78 1.004 2.684\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15a3 3 0 1 0 0 -6a3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.325 19.683a1.723 1.723 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572a1.67 1.67 0 0 1 1.106 .831\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.89 11.195a3.001 3.001 0 1 0 -4.457 3.364\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.501 20.93c-.866 .25 -1.914 -.166 -2.176 -1.247a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.074 .26 1.49 1.296 1.252 2.158\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbSettingsX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.675 19.683c-.426 1.756 -2.924 1.756 -3.35 0a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.756 .426 1.756 2.924 0 3.35a1.66 1.66 0 0 0 -.324 .114\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbSettings (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.325 4.317c.426 -1.756 2.924 -1.756 3.35 0a1.724 1.724 0 0 0 2.573 1.066c1.543 -.94 3.31 .826 2.37 2.37a1.724 1.724 0 0 0 1.065 2.572c1.756 .426 1.756 2.924 0 3.35a1.724 1.724 0 0 0 -1.066 2.573c.94 1.543 -.826 3.31 -2.37 2.37a1.724 1.724 0 0 0 -2.572 1.065c-.426 1.756 -2.924 1.756 -3.35 0a1.724 1.724 0 0 0 -2.573 -1.066c-1.543 .94 -3.31 -.826 -2.37 -2.37a1.724 1.724 0 0 0 -1.065 -2.572c-1.756 -.426 -1.756 -2.924 0 -3.35a1.724 1.724 0 0 0 1.066 -2.573c-.94 -1.543 .826 -3.31 2.37 -2.37c1 .608 2.296 .07 2.572 -1.065z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbShadowOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.634 5.638a9 9 0 0 0 12.728 12.727m1.68 -2.32a9 9 0 0 0 -12.086 -12.088\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShadow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h1\"},\"child\":[]}]})(props);\n};\nexport function TbShape2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17.5l11 -11m-12.5 .5v10m14 -10v10\"},\"child\":[]}]})(props);\n};\nexport function TbShape3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h10m-12 2v10m14 -10v10\"},\"child\":[]}]})(props);\n};\nexport function TbShapeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.575 3.597a2 2 0 0 0 2.849 2.808\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.574 17.598a2 2 0 0 0 2.826 2.83\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShape (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7l0 10\"},\"child\":[]}]})(props);\n};\nexport function TbShare2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h-1a2 2 0 0 0 -2 2v8a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-8a2 2 0 0 0 -2 -2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbShare3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4v4c-6.575 1.028 -9.02 6.788 -10 12c-.037 .206 5.384 -5.962 10 -6v4l8 -7l-8 -7z\"},\"child\":[]}]})(props);\n};\nexport function TbShareOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.861 15.896a3 3 0 0 0 4.265 4.22m.578 -3.417a3.012 3.012 0 0 0 -1.507 -1.45\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.7 10.7l1.336 -.688m2.624 -1.352l2.64 -1.36\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.7 13.3l6.6 3.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.7 10.7l6.6 -3.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.7 13.3l6.6 3.4\"},\"child\":[]}]})(props);\n};\nexport function TbShareplay (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18a3 3 0 0 0 3 -3v-8a3 3 0 0 0 -3 -3h-12a3 3 0 0 0 -3 3v8a3 3 0 0 0 3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 20h6l-3 -5z\"},\"child\":[]}]})(props);\n};\nexport function TbShieldBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.342 20.566c-.436 .17 -.884 .315 -1.342 .434a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 .117 6.34\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbShieldCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.277 20.925c-.092 .026 -.184 .051 -.277 .075a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 .145 6.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbShieldCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.46 20.846a12 12 0 0 1 -7.96 -14.846a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 -.09 7.06\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbShieldCheckered (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a12 12 0 0 0 8.5 3a12 12 0 0 1 -8.5 15a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.5 12h17\"},\"child\":[]}]})(props);\n};\nexport function TbShieldChevron (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a12 12 0 0 0 8.5 3a12 12 0 0 1 -8.5 15a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14l8 -3l8 3\"},\"child\":[]}]})(props);\n};\nexport function TbShieldCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 -.078 7.024\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbShieldCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3c.568 1.933 .635 3.957 .223 5.89\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbShieldDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.018 20.687c-.333 .119 -.673 .223 -1.018 .313a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3c.433 1.472 .575 2.998 .436 4.495\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbShieldDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.444 20.876c-.147 .044 -.295 .085 -.444 .124a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 .117 6.343\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbShieldExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.04 19.745c-.942 .551 -1.964 .976 -3.04 1.255a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 .195 6.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbShieldHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a12 12 0 0 0 8.5 3a12 12 0 0 1 -8.5 15a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbShieldHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12.01 12.01 0 0 1 .378 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbShieldLock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a12 12 0 0 0 8.5 3a12 12 0 0 1 -8.5 15a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbShieldMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.46 20.871c-.153 .046 -.306 .089 -.46 .129a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 -.916 9.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbShieldOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.67 17.667a12 12 0 0 1 -5.67 3.333a12 12 0 0 1 -8.5 -15c.794 .036 1.583 -.006 2.357 -.124m3.128 -.926a11.997 11.997 0 0 0 3.015 -1.95a12 12 0 0 0 8.5 3a12 12 0 0 1 -1.116 9.376\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShieldPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.004 20.692c-.329 .117 -.664 .22 -1.004 .308a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 -.081 7.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbShieldPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.597 20.829a12 12 0 0 1 -.597 .171a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3c.506 1.72 .614 3.512 .342 5.248\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbShieldPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.462 20.87c-.153 .047 -.307 .09 -.462 .13a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 .11 6.37\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbShieldQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.065 19.732c-.95 .557 -1.98 .986 -3.065 1.268a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3c.51 1.738 .617 3.55 .333 5.303\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbShieldSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3c.539 1.832 .627 3.747 .283 5.588\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbShieldShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 .193 6.025\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbShieldStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.143 20.743a12 12 0 0 1 -7.643 -14.743a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3c.504 1.716 .614 3.505 .343 5.237\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbShieldUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.442 20.876a13.12 13.12 0 0 1 -.442 .124a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 .119 6.336\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbShieldX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.252 20.601c-.408 .155 -.826 .288 -1.252 .399a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3a12 12 0 0 0 8.5 3a12 12 0 0 1 -.19 7.357\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a12 12 0 0 0 8.5 3a12 12 0 0 1 -8.5 15a12 12 0 0 1 -8.5 -15a12 12 0 0 0 8.5 -3\"},\"child\":[]}]})(props);\n};\nexport function TbShipOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l-1 -5h10m4 0h4l-1.334 2.668\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13v-6h2m4 0h2l4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 20a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l-1 -5h18l-2 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13v-6h8l4 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbShirtOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.243 4.252l.757 -.252c0 .43 .09 .837 .252 1.206m1.395 1.472a3 3 0 0 0 4.353 -2.678l6 2v5h-3v3m0 4v1a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1v-8h-3v-5l2.26 -.753\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShirtSport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l6 2v5h-3v8a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1v-8h-3v-5l6 -2a3 3 0 0 0 6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 11h2.5l-1.5 5\"},\"child\":[]}]})(props);\n};\nexport function TbShirt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l6 2v5h-3v8a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1v-8h-3v-5l6 -2a3 3 0 0 0 6 0\"},\"child\":[]}]})(props);\n};\nexport function TbShoeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.846 9.868l4.08 .972a4 4 0 0 1 3.074 3.89v2.27m-3 1h-14a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v-1a4 4 0 0 0 -4 -4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l.663 -1.327\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShoe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6h5.426a1 1 0 0 1 .863 .496l1.064 1.823a3 3 0 0 0 1.896 1.407l4.677 1.114a4 4 0 0 1 3.074 3.89v2.27a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1v-10a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13l1 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v-1a4 4 0 0 0 -4 -4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l1.5 -3\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-2.926a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.5 3.248\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-3.926a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.416 2.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 21h-2.426a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.109 .707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21h-6.426a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.258 1.678\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-2.926a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304c-.057 .368 -.1 .644 -.127 .828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-3.926a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.73 4.744\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-3.926a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.263 1.708\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-2.926a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.117 .761\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBagX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-4.426a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304h11.339a2 2 0 0 1 1.977 2.304l-.506 3.287\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingBag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.331 8h11.339a2 2 0 0 1 1.977 2.304l-1.255 8.152a3 3 0 0 1 -2.966 2.544h-6.852a3 3 0 0 1 -2.965 -2.544l-1.255 -8.152a2 2 0 0 1 1.977 -2.304z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11v-5a3 3 0 0 1 6 0v5\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 17h-7.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.858 6.004m-2.642 .996h-10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h-6v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.857 5.998m-3.643 1.002h-9.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17h-5.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17h-5.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h-6v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.79 5.526m-3.21 1.474h-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartCopy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 17h-5.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartDiscount (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-6.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.859 6.011m-6.141 .989h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v.01\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-7v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.575 4.022m-4.925 2.978h-8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-6.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.859 6.011m-2.641 .989h-10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h-9v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.854 5.976m-2.646 1.024h-10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h-4v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.717 5.016m-7.783 1.984h-5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-6.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17a2 2 0 1 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h-11v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.239 5.231l10.761 .769l-1 7h-2m-4 0h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-7v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17h-6v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.716 5.011m-5.284 1.989h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-6.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.86 6.017m-2.64 .983h-10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 17h-7.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.714 5m-4.786 2h-8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 17h-5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.718 5.023m-6.282 1.977h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-6.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 17h-3.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.615 4.302m-6.885 2.698h-6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 17h-6.5v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-.854 5.977m-2.646 1.023h-10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCartX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17h-7v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbShoppingCart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17h-11v-14h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l14 1l-1 7h-13\"},\"child\":[]}]})(props);\n};\nexport function TbShovelPitchforks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h6v3a3 3 0 0 1 -6 0v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-3a3 3 0 0 1 6 0v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21v-18\"},\"child\":[]}]})(props);\n};\nexport function TbShovel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 5.5l-8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.276 11.284l4.44 4.44a.968 .968 0 0 1 0 1.369l-2.704 2.704a4.108 4.108 0 0 1 -5.809 -5.81l2.704 -2.703a.968 .968 0 0 1 1.37 0z\"},\"child\":[]}]})(props);\n};\nexport function TbShredder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10v-4a2 2 0 0 0 -2 -2h-6a2 2 0 0 0 -2 2v4m5 5v5m4 -5v2m-8 -2v3\"},\"child\":[]}]})(props);\n};\nexport function TbSignLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 21v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6h-10l-2 2.5l2 2.5h10z\"},\"child\":[]}]})(props);\n};\nexport function TbSignRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h10l2 2.5l-2 2.5h-10z\"},\"child\":[]}]})(props);\n};\nexport function TbSignal2G (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8h-3a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h3v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h4a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-3a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h4\"},\"child\":[]}]})(props);\n};\nexport function TbSignal3G (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbSignal4gPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbSignal4G (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbSignal5G (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbSignal6G (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbSignalE (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbSignalG (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbSignalHPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v4\"},\"child\":[]}]})(props);\n};\nexport function TbSignalH (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbSignalLte (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbSignatureOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17c3.333 -3.333 5 -6 5 -8c0 -.394 -.017 -.735 -.05 -1.033m-1.95 -1.967c-1 0 -2.032 1.085 -2 3c.034 2.048 1.658 4.877 2.5 6c1.5 2 2.5 2.5 3.5 1l2 -3c.333 2.667 1.333 4 3 4c.219 0 .708 -.341 1.231 -.742m3.769 -.258c.303 .245 .64 .677 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSignature (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17c3.333 -3.333 5 -6 5 -8c0 -3 -1 -3 -2 -3s-2.032 1.085 -2 3c.034 2.048 1.658 4.877 2.5 6c1.5 2 2.5 2.5 3.5 1l2 -3c.333 2.667 1.333 4 3 4c.53 0 2.639 -2 3 -2c.517 0 1.517 .667 3 2\"},\"child\":[]}]})(props);\n};\nexport function TbSitemapOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15a2 2 0 0 1 2 2m-.591 3.42c-.362 .358 -.86 .58 -1.409 .58h-2a2 2 0 0 1 -2 -2v-2c0 -.549 .221 -1.046 .579 -1.407\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15v-1a2 2 0 0 1 2 -2h4m4 0a2 2 0 0 1 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSitemap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 2a2 2 0 0 1 2 -2h2a2 2 0 0 1 2 2v2a2 2 0 0 1 -2 2h-2a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15v-1a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l0 3\"},\"child\":[]}]})(props);\n};\nexport function TbSkateboardOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15a2 2 0 0 0 2 2m2 -2a2 2 0 0 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9c0 .552 .895 1 2 1h5m4 0h5c1.105 0 2 -.448 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSkateboard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9a2 1 0 0 0 2 1h14a2 1 0 0 0 2 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSkateboarding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 15h3.5l.75 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 19v-5l-2.5 -3l2.5 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l3 -1h4l1 3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 21a.5 .5 0 1 0 0 -1a.5 .5 0 0 0 0 1z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18c0 .552 .895 1 2 1h14c1.105 0 2 -.448 2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 21a.5 .5 0 1 0 0 -1a.5 .5 0 0 0 0 1z\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbSkewX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5.205v13.59a1 1 0 0 0 1.184 .983l14 -2.625a1 1 0 0 0 .816 -.983v-8.34a1 1 0 0 0 -.816 -.983l-14 -2.625a1 1 0 0 0 -1.184 .983z\"},\"child\":[]}]})(props);\n};\nexport function TbSkewY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.326 19h15.348a1 1 0 0 0 .962 -1.275l-3.429 -12a1 1 0 0 0 -.961 -.725h-8.492a1 1 0 0 0 -.961 .725l-3.429 12a1 1 0 0 0 .962 1.275z\"},\"child\":[]}]})(props);\n};\nexport function TbSkiJumping (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17.5l-5 -4.5v-6l5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17.5l5 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.103 21.58l6.762 -14.502a2 2 0 0 0 -.968 -2.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.897 21.58l-6.762 -14.503a2 2 0 0 1 .968 -2.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11l5 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSkull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4c4.418 0 8 3.358 8 7.5c0 1.901 -.755 3.637 -2 4.96l0 2.54a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1v-2.54c-1.245 -1.322 -2 -3.058 -2 -4.96c0 -4.142 3.582 -7.5 8 -7.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 17v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbSlash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5l-10 14\"},\"child\":[]}]})(props);\n};\nexport function TbSlashes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5l-10 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 5l-10 14\"},\"child\":[]}]})(props);\n};\nexport function TbSleigh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h15a4 4 0 0 0 4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15h-9a4 4 0 0 1 -4 -4v-6l1.243 1.243a6 6 0 0 0 4.242 1.757h3.515v2a2 2 0 0 0 2 2h.5a1.5 1.5 0 0 0 1.5 -1.5a1.5 1.5 0 0 1 3 0v1.5a3 3 0 0 1 -3 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15v4\"},\"child\":[]}]})(props);\n};\nexport function TbSlice (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19l15 -15l3 3l-6 6l2 2a14 14 0 0 1 -14 4\"},\"child\":[]}]})(props);\n};\nexport function TbSlideshow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v8a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13l4 -4a3 5 0 0 1 3 0l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12l2 -2a3 5 0 0 1 3 0l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbSmartHomeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.097 7.125l-2.037 1.585a2.665 2.665 0 0 0 -1.029 2.105v7.2a2 2 0 0 0 2 2h12c.559 0 1.064 -.229 1.427 -.598m.572 -3.417v-5.185c0 -.823 -.38 -1.6 -1.03 -2.105l-5.333 -4.148a2.666 2.666 0 0 0 -3.274 0l-1.029 .8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.332 15.345c-2.213 .976 -5.335 .86 -7.332 -.345\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSmartHome (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8.71l-5.333 -4.148a2.666 2.666 0 0 0 -3.274 0l-5.334 4.148a2.665 2.665 0 0 0 -1.029 2.105v7.2a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-7.2c0 -.823 -.38 -1.6 -1.03 -2.105\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15c-2.21 1.333 -5.792 1.333 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbSmokingNo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5v.5a2 2 0 0 0 2 2a2 2 0 0 1 2 2v.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h3a1 1 0 0 1 1 1v2c0 .28 -.115 .533 -.3 .714m-3.7 .286h-13a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h9\"},\"child\":[]}]})(props);\n};\nexport function TbSmoking (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13m0 1a1 1 0 0 1 1 -1h16a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-16a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5v.5a2 2 0 0 0 2 2a2 2 0 0 1 2 2v.5\"},\"child\":[]}]})(props);\n};\nexport function TbSnowboarding (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19l4 -2.5l-.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-1 -6l-4.5 -3l3.5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l1.5 -3h5.5l2 4l3 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17c.399 1.154 .899 1.805 1.5 1.951c6 1.464 10.772 2.262 13.5 2.927c1.333 .325 2.333 0 3 -.976\"},\"child\":[]}]})(props);\n};\nexport function TbSnowflakeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4l2 1l2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v6m1.196 1.186l1.804 1.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.928 6.268l.134 2.232l1.866 1.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.66 7l-5.629 3.25l-.031 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.928 14.268l-1.015 .67\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.212 14.226l-2.171 1.262\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l-2 -1l-2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-6.5l-3 -1.72\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.072 17.732l-.134 -2.232l-1.866 -1.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.34 17l5.629 -3.25l-.01 -3.458\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.072 9.732l1.866 -1.232l.134 -2.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.34 7l5.629 3.25l.802 -.466\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSnowflake (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4l2 1l2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2v6.5l3 1.72\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.928 6.268l.134 2.232l1.866 1.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.66 7l-5.629 3.25l.01 3.458\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.928 14.268l-1.866 1.232l-.134 2.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.66 17l-5.629 -3.25l-2.99 1.738\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l-2 -1l-2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22v-6.5l-3 -1.72\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.072 17.732l-.134 -2.232l-1.866 -1.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.34 17l5.629 -3.25l-.01 -3.458\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.072 9.732l1.866 -1.232l.134 -2.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.34 7l5.629 3.25l2.99 -1.738\"},\"child\":[]}]})(props);\n};\nexport function TbSnowman (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a4 4 0 0 1 2.906 6.75a6 6 0 1 1 -5.81 0a4 4 0 0 1 2.904 -6.75z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 11.5l2.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 11.5l-2.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbSoccerField (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9h3v6h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h3v6h-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]}]})(props);\n};\nexport function TbSocialOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.57 17.602a2 2 0 0 0 2.83 2.827\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.113 11.133a3 3 0 1 0 3.765 3.715\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.7 17.8l2.8 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.3 17.8l-2.8 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSocial (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.7 17.8l2.8 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.3 17.8l-2.8 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3v6l4.798 5.142a4 4 0 0 1 -5.441 5.86l-6.736 -6.41a2 2 0 0 1 -.621 -1.451v-9.141h8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.895 15.768c.708 -.721 1.105 -1.677 1.105 -2.768a4 4 0 0 0 -4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSofaOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14v-1a2 2 0 1 1 4 0v5m-3 1h-16a1 1 0 0 1 -1 -1v-5a2 2 0 1 1 4 0v1h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11v-3c0 -1.082 .573 -2.03 1.432 -2.558m3.568 -.442h8a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3m0 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSofa (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11a2 2 0 0 1 2 2v1h12v-1a2 2 0 1 1 4 0v5a1 1 0 0 1 -1 1h-18a1 1 0 0 1 -1 -1v-5a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11v-3a3 3 0 0 1 3 -3h10a3 3 0 0 1 3 3v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v9\"},\"child\":[]}]})(props);\n};\nexport function TbSolarElectricity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6.28v11.44a1 1 0 0 0 1.243 .97l6 -1.5a1 1 0 0 0 .757 -.97v-8.44a1 1 0 0 0 -.757 -.97l-6 -1.5a1 1 0 0 0 -1.243 .97z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-3 5h4l-3 5\"},\"child\":[]}]})(props);\n};\nexport function TbSolarPanel2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 2a4 4 0 1 0 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.2 7.2l.707 .707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.8 7.2l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.28 21h15.44a1 1 0 0 0 .97 -1.243l-1.5 -6a1 1 0 0 0 -.97 -.757h-12.44a1 1 0 0 0 -.97 .757l-1.5 6a1 1 0 0 0 .97 1.243z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l-1 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13l1 8\"},\"child\":[]}]})(props);\n};\nexport function TbSolarPanel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.28 14h15.44a1 1 0 0 0 .97 -1.243l-1.5 -6a1 1 0 0 0 -.97 -.757h-12.44a1 1 0 0 0 -.97 .757l-1.5 6a1 1 0 0 0 .97 1.243z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6l-1 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6l1 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h10\"},\"child\":[]}]})(props);\n};\nexport function TbSort09 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbSort90 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbSortAZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8h4l-4 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbSortAscending2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m0 .5a.5 .5 0 0 1 .5 -.5h4a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-4a.5 .5 0 0 1 -.5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14m0 .5a.5 .5 0 0 1 .5 -.5h4a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-4a.5 .5 0 0 1 -.5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 6v12\"},\"child\":[]}]})(props);\n};\nexport function TbSortAscendingLetters (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10v-5c0 -1.38 .62 -2 2 -2s2 .62 2 2v5m0 -3h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21h-4l4 -7h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]}]})(props);\n};\nexport function TbSortAscendingNumbers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3a2 2 0 0 1 2 2v3a2 2 0 1 1 -4 0v-3a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3a2 2 0 0 1 -2 2h-1.5\"},\"child\":[]}]})(props);\n};\nexport function TbSortAscendingShapes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14l-3.5 6h7z\"},\"child\":[]}]})(props);\n};\nexport function TbSortAscendingSmallBig (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5.667c0 -.369 .298 -.667 .667 -.667h2.666c.369 0 .667 .298 .667 .667v2.666a.667 .667 0 0 1 -.667 .667h-2.666a.667 .667 0 0 1 -.667 -.667v-2.666z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13.167c0 -.645 .522 -1.167 1.167 -1.167h4.666c.645 0 1.167 .522 1.167 1.167v4.666c0 .645 -.522 1.167 -1.167 1.167h-4.666a1.167 1.167 0 0 1 -1.167 -1.167v-4.666z\"},\"child\":[]}]})(props);\n};\nexport function TbSortAscending (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6l0 12\"},\"child\":[]}]})(props);\n};\nexport function TbSortDescending2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m0 .5a.5 .5 0 0 1 .5 -.5h4a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-4a.5 .5 0 0 1 -.5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14m0 .5a.5 .5 0 0 1 .5 -.5h4a.5 .5 0 0 1 .5 .5v4a.5 .5 0 0 1 -.5 .5h-4a.5 .5 0 0 1 -.5 -.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 18v-12\"},\"child\":[]}]})(props);\n};\nexport function TbSortDescendingLetters (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21v-5c0 -1.38 .62 -2 2 -2s2 .62 2 2v5m0 -3h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10h-4l4 -7h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]}]})(props);\n};\nexport function TbSortDescendingNumbers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14a2 2 0 0 1 2 2v3a2 2 0 1 1 -4 0v-3a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5v3a2 2 0 0 1 -2 2h-1.5\"},\"child\":[]}]})(props);\n};\nexport function TbSortDescendingShapes (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l-3.5 6h7z\"},\"child\":[]}]})(props);\n};\nexport function TbSortDescendingSmallBig (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 6v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 18.333c0 .369 .298 .667 .667 .667h2.666a.667 .667 0 0 0 .667 -.667v-2.666a.667 .667 0 0 0 -.667 -.667h-2.666a.667 .667 0 0 0 -.667 .667v2.666z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.833c0 .645 .522 1.167 1.167 1.167h4.666c.645 0 1.167 -.522 1.167 -1.167v-4.666c0 -.645 -.522 -1.167 -1.167 -1.167h-4.666c-.645 0 -1.167 .522 -1.167 1.167v4.666z\"},\"child\":[]}]})(props);\n};\nexport function TbSortDescending (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l3 3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6l0 12\"},\"child\":[]}]})(props);\n};\nexport function TbSortZA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h4l-4 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 12h2\"},\"child\":[]}]})(props);\n};\nexport function TbSos (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h-3a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4v8h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16h3a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h3\"},\"child\":[]}]})(props);\n};\nexport function TbSoupOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11h6c0 1.691 -.525 3.26 -1.42 4.552m-2.034 2.032a7.963 7.963 0 0 1 -4.546 1.416h-2a8 8 0 0 1 -8 -8h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSoup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 11h16a1 1 0 0 1 1 1v.5c0 1.5 -2.517 5.573 -4 6.5v1a1 1 0 0 1 -1 1h-8a1 1 0 0 1 -1 -1v-1c-1.687 -1.054 -4 -5 -4 -6.5v-.5a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a2.4 2.4 0 0 0 -1 2a2.4 2.4 0 0 0 1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4a2.4 2.4 0 0 0 -1 2a2.4 2.4 0 0 0 1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4a2.4 2.4 0 0 0 -1 2a2.4 2.4 0 0 0 1 2\"},\"child\":[]}]})(props);\n};\nexport function TbSourceCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 4h2.5a3 3 0 0 1 3 3v10a3 3 0 0 1 -3 3h-10a3 3 0 0 1 -3 -3v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l2 -2l-2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSpaceOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10v3a1 1 0 0 0 1 1h9m4 0h1a1 1 0 0 0 1 -1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSpace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10v3a1 1 0 0 0 1 1h14a1 1 0 0 0 1 -1v-3\"},\"child\":[]}]})(props);\n};\nexport function TbSpaces (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.045 9.777a6 6 0 1 0 5.951 .023\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.997 20.196a6 6 0 1 0 -2.948 -5.97\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.95 9.785q .05 -.386 .05 -.785a6 6 0 1 0 -3.056 5.23\"},\"child\":[]}]})(props);\n};\nexport function TbSpacingHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 20h-2a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h2a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbSpacingVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20v-2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4v2a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-8\"},\"child\":[]}]})(props);\n};\nexport function TbSpade (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l4.919 4.5c.61 .587 1.177 1.177 1.703 1.771a5.527 5.527 0 0 1 .264 6.979c-1.18 1.56 -3.338 1.92 -4.886 .75v1l1 3h-6l1 -3v-1c-1.54 1.07 -3.735 .772 -4.886 -.75a5.527 5.527 0 0 1 .264 -6.979a30.883 30.883 0 0 1 1.703 -1.771a1541.72 1541.72 0 0 1 4.919 -4.5z\"},\"child\":[]}]})(props);\n};\nexport function TbSparkles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18a2 2 0 0 1 2 2a2 2 0 0 1 2 -2a2 2 0 0 1 -2 -2a2 2 0 0 1 -2 2zm0 -12a2 2 0 0 1 2 2a2 2 0 0 1 2 -2a2 2 0 0 1 -2 -2a2 2 0 0 1 -2 2zm-7 12a6 6 0 0 1 6 -6a6 6 0 0 1 -6 -6a6 6 0 0 1 -6 6a6 6 0 0 1 6 6z\"},\"child\":[]}]})(props);\n};\nexport function TbSpeakerphone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 8a3 3 0 0 1 0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v11a1 1 0 0 1 -1 1h-1a1 1 0 0 1 -1 -1v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h0l4.524 -3.77a.9 .9 0 0 1 1.476 .692v12.156a.9 .9 0 0 1 -1.476 .692l-4.524 -3.77h-8a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h8\"},\"child\":[]}]})(props);\n};\nexport function TbSpeedboat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h13.4a3 3 0 0 0 2.5 -1.34l3.1 -4.66h0h-6.23a4 4 0 0 0 -1.49 .29l-3.56 1.42a4 4 0 0 1 -1.49 .29h-3.73h0h-1l-1.5 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 13l1.5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h8l2 3\"},\"child\":[]}]})(props);\n};\nexport function TbSphereOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c0 1.657 4.03 3 9 3c.987 0 1.936 -.053 2.825 -.15m3.357 -.67c1.735 -.547 2.818 -1.32 2.818 -2.18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.051 16.027a9 9 0 0 0 -12.083 -12.075m-2.34 1.692a9 9 0 0 0 12.74 12.716\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSpherePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c0 1.657 4.03 3 9 3c1.116 0 2.185 -.068 3.172 -.192m5.724 -2.35a1.1 1.1 0 0 0 .104 -.458\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.984 12.546a9 9 0 1 0 -8.442 8.438\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbSphere (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c0 1.657 4.03 3 9 3s9 -1.343 9 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbSpider (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4v2l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.5 9.5l1.5 1.5h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19v-2l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4v2l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.5 9.5l-1.5 1.5h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 19v-2l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbSpiralOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12.057a1.9 1.9 0 0 0 .614 .743c.682 .459 1.509 .374 2.164 -.02m1.103 -2.92a3.298 3.298 0 0 0 -1.749 -2.059a3.6 3.6 0 0 0 -.507 -.195m-3.385 .634a4.154 4.154 0 0 0 -1.347 1.646c-1.095 2.432 .29 5.248 2.71 6.246c1.955 .806 4.097 .35 5.65 -.884m1.745 -2.268l.043 -.103c1.36 -3.343 -.557 -7.134 -3.896 -8.41c-1.593 -.61 -3.27 -.599 -4.79 -.113m-2.579 1.408a7.574 7.574 0 0 0 -2.268 3.128c-1.63 4.253 .823 9.024 5.082 10.576c3.211 1.17 6.676 .342 9.124 -1.738m1.869 -2.149a9.354 9.354 0 0 0 1.417 -4.516\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSpiral (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12.057a1.9 1.9 0 0 0 .614 .743c1.06 .713 2.472 .112 3.043 -.919c.839 -1.513 -.022 -3.368 -1.525 -4.08c-2 -.95 -4.371 .154 -5.24 2.086c-1.095 2.432 .29 5.248 2.71 6.246c2.931 1.208 6.283 -.418 7.438 -3.255c1.36 -3.343 -.557 -7.134 -3.896 -8.41c-3.855 -1.474 -8.2 .68 -9.636 4.422c-1.63 4.253 .823 9.024 5.082 10.576c4.778 1.74 10.118 -.941 11.833 -5.59a9.354 9.354 0 0 0 .577 -2.813\"},\"child\":[]}]})(props);\n};\nexport function TbSportBillard (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-8 0a8 8 0 1 0 16 0a8 8 0 1 0 -16 0\"},\"child\":[]}]})(props);\n};\nexport function TbSpray (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10m0 2a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2v7a2 2 0 0 1 -2 2h-4a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v-4a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 7h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7h1\"},\"child\":[]}]})(props);\n};\nexport function TbSpyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h8m4 0h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-4c0 -.571 .16 -1.105 .437 -1.56m2.563 -1.44h8a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.88 14.877a3 3 0 1 0 4.239 4.247m.59 -3.414a3.012 3.012 0 0 0 -1.425 -1.422\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSpy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v-4a3 3 0 0 1 3 -3h8a3 3 0 0 1 3 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17h4\"},\"child\":[]}]})(props);\n};\nexport function TbSql (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l4 4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareArrowUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareAsterisk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8.5v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l6 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l6 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareChevronsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareDot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11l2 -2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h2a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9.5a.5 .5 0 0 1 .5 -.5h1a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1a.5 .5 0 0 1 -.5 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9v2a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9.75a.75 .75 0 0 0 -.75 -.75h-1.25a1 1 0 0 0 -1 1v4a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h3l-1.5 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 12h-.5a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-1a1 1 0 0 0 -1 1v1a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareF9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14.25c0 .414 .336 .75 .75 .75h1.5a.75 .75 0 0 0 .75 -.75v-4.5a.75 .75 0 0 0 -.75 -.75h-1.5a.75 .75 0 0 0 -.75 .75v1.5c0 .414 .336 .75 .75 .75h2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9h-2v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareForbid2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareForbid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l7.5 -7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 20l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareKey (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 11.5l-4 4l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterB (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h2a2 2 0 1 0 0 -4h-2h2a2 2 0 1 0 0 -4h-2v8z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterC (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a2 2 0 1 0 -4 0v4a2 2 0 1 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterD (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterE (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterF (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterG (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterH (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8m4 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterI (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterJ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4v6a2 2 0 1 1 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterK (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l-2.5 4l2.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h1.5\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterL (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterM (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8l3 5l3 -5v8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterN (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8l4 8v-8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterO (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterP (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterQ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l1 1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterR (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8m4 0l-3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterS (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterT (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterU (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterV (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 8l2 -8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterW (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l1 8l2 -5l2 5l1 -8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 5l2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-3\"},\"child\":[]}]})(props);\n};\nexport function TbSquareLetterZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-4 8h4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-7.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]}]})(props);\n};\nexport function TbSquareNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]}]})(props);\n};\nexport function TbSquareOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.584 3.412a2 2 0 0 1 -1.416 .588h-12a2 2 0 0 1 -2 -2v-12c0 -.552 .224 -1.052 .586 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSquarePercentage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.037l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9.068v.014\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.082v.016\"},\"child\":[]}]})(props);\n};\nexport function TbSquarePlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-7.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquarePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoot2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h1c1 0 1 1 2.016 3.527c.984 2.473 .984 3.473 1.984 3.473h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19c1.5 0 3 -2 4 -3.5s2.5 -3.5 4 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1l3 8l3 -16h10\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h2l4 8l4 -16h8\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRotatedForbid2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.446 2.6l7.955 7.954a2.045 2.045 0 0 1 0 2.892l-7.955 7.955a2.045 2.045 0 0 1 -2.892 0l-7.955 -7.955a2.045 2.045 0 0 1 0 -2.892l7.955 -7.955a2.045 2.045 0 0 1 2.892 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 9.5l5 5\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRotatedForbid (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.446 2.6l7.955 7.954a2.045 2.045 0 0 1 0 2.892l-7.955 7.955a2.045 2.045 0 0 1 -2.892 0l-7.955 -7.955a2.045 2.045 0 0 1 0 -2.892l7.955 -7.955a2.045 2.045 0 0 1 2.892 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 14.5l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRotatedOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.964 16.952l-3.462 3.461c-.782 .783 -2.222 .783 -3 0l-6.911 -6.91c-.783 -.783 -.783 -2.223 0 -3l3.455 -3.456m2 -2l1.453 -1.452c.782 -.783 2.222 -.783 3 0l6.911 6.91c.783 .783 .783 2.223 0 3l-1.448 1.45\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRotated (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.446 2.6l7.955 7.954a2.045 2.045 0 0 1 0 2.892l-7.955 7.955a2.045 2.045 0 0 1 -2.892 0l-7.955 -7.955a2.045 2.045 0 0 1 0 -2.892l7.955 -7.955a2.045 2.045 0 0 1 2.892 0z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l4 4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l4 -4l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedArrowUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l-4 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12l2 2l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13l-3 3l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15l-3 -3l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedChevronsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-6a2 2 0 1 1 4 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterB (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h2a2 2 0 1 0 0 -4h-2h2a2 2 0 1 0 0 -4h-2v8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterC (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a2 2 0 1 0 -4 0v4a2 2 0 1 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterD (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterE (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterF (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-4v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterG (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterH (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8m4 0v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterI (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterJ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4v6a2 2 0 1 1 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterK (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8l-2.5 4l2.5 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterL (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterM (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-8l3 5l3 -5v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterN (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8l4 8v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterO (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterP (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterQ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a2 2 0 0 1 2 2v4a2 2 0 1 1 -4 0v-4a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterR (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h2a2 2 0 1 0 0 -4h-2v8m4 0l-3 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterS (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-2a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterT (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterU (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v6a2 2 0 1 0 4 0v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterV (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 8l2 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterW (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l1 8l2 -5l2 5l1 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l2 5l2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedLetterZ (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-4 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21c-.18 .002 -.314 0 -.5 0c-7.2 0 -9 -1.8 -9 -9s1.8 -9 9 -9s9 1.8 9 9c0 1.136 -.046 2.138 -.152 3.02\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l2 -2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h3a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8v3a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3v-4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber7 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4l-2 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber8 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-1a1 1 0 0 1 -1 -1v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedNumber9 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedPercentage (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15.075l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9.105v.015\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15.12v.015\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedPlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.54 20.996c-.176 .004 -.356 .004 -.54 .004c-7.2 0 -9 -1.8 -9 -9s1.8 -9 9 -9s9 1.8 9 9c0 .185 -.001 .366 -.004 .544\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRoundedX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l4 4m0 -4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]}]})(props);\n};\nexport function TbSquareToggleHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14v-8a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20a2 2 0 0 0 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18a2 2 0 0 0 2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l-4 0\"},\"child\":[]}]})(props);\n};\nexport function TbSquareToggle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l0 20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h-8a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a2 2 0 0 0 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20a2 2 0 0 0 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbSquareX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9l6 6m0 -6l-6 6\"},\"child\":[]}]})(props);\n};\nexport function TbSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbSquaresDiagonal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v-2a2 2 0 0 0 -2 -2h-8a2 2 0 0 0 -2 2v8a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.586 19.414l10.827 -10.827\"},\"child\":[]}]})(props);\n};\nexport function TbSquaresSelected (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14.5l6.492 -6.492\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.496 20l6.504 -6.504z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.586 19.414l10.827 -10.827\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v-2a2 2 0 0 0 -2 -2h-8a2 2 0 0 0 -2 2v8a2 2 0 0 0 2 2h2\"},\"child\":[]}]})(props);\n};\nexport function TbSquares (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10a2 2 0 0 1 2 -2h9a2 2 0 0 1 2 2v9a2 2 0 0 1 -2 2h-9a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8v-3a2 2 0 0 0 -2 -2h-9a2 2 0 0 0 -2 2v9a2 2 0 0 0 2 2h3\"},\"child\":[]}]})(props);\n};\nexport function TbStack2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l-8 4l8 4l8 -4l-8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l8 4l8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l8 4l8 -4\"},\"child\":[]}]})(props);\n};\nexport function TbStack3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 2l-8 4l8 4l8 -4l-8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l8 4l8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l8 4l8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14l8 4l8 -4\"},\"child\":[]}]})(props);\n};\nexport function TbStackBack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l8 4l8 -4l-8 -4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l-4 -2l-4 2l8 4l8 -4l-4 -2l-4 2z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10l-4 2l4 2m8 0l4 -2l-4 -2\"},\"child\":[]}]})(props);\n};\nexport function TbStackBackward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l6 -3l-8 -4l-8 4l6 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-6 3l8 4l8 -4l-6 -3l-2 1z\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbStackForward (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l-8 4l8 4l8 -4l-8 -4\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-6 3l8 4l8 -4l-6 -3\"},\"child\":[]}]})(props);\n};\nexport function TbStackFront (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l-8 4l8 4l8 -4l-8 -4\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l-4 2l8 4l8 -4l-4 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 10l-4 2l8 4l8 -4l-4 -2\"},\"child\":[]}]})(props);\n};\nexport function TbStackMiddle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10l4 -2l-8 -4l-8 4l4 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l-4 -2l-4 2l8 4l8 -4l-4 -2l-4 2z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14l-4 2l8 4l8 -4l-4 -2\"},\"child\":[]}]})(props);\n};\nexport function TbStackPop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9.5l-3 1.5l8 4l8 -4l-3 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l8 4l8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbStackPush (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10l-2 1l8 4l8 -4l-2 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l8 4l8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbStack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6l-8 4l8 4l8 -4l-8 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14l8 4l8 -4\"},\"child\":[]}]})(props);\n};\nexport function TbStairsDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 21h-5v-5h-5v-5h-5v-5h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbStairsUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 6h-5v5h-5v5h-5v5h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbStairs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M22 5h-5v5h-5v5h-5v5h-5\"},\"child\":[]}]})(props);\n};\nexport function TbStarHalf (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17.75l-6.172 3.245l1.179 -6.873l-5 -4.867l6.9 -1l3.086 -6.253z\"},\"child\":[]}]})(props);\n};\nexport function TbStarOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.012 6.016l1.981 -4.014l3.086 6.253l6.9 1l-4.421 4.304m.012 4.01l.588 3.426l-6.158 -3.245l-6.172 3.245l1.179 -6.873l-5 -4.867l6.327 -.917\"},\"child\":[]}]})(props);\n};\nexport function TbStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17.75l-6.172 3.245l1.179 -6.873l-5 -4.867l6.9 -1l3.086 -6.253l3.086 6.253l6.9 1l-5 4.867l1.179 6.873z\"},\"child\":[]}]})(props);\n};\nexport function TbStarsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.373 13.371l.076 -.154a.392 .392 0 0 1 .702 0l.907 1.831m.367 .39c.498 .071 1.245 .18 2.24 .324a.39 .39 0 0 1 .217 .665c-.326 .316 -.57 .553 -.732 .712m-.611 3.405a.39 .39 0 0 1 -.567 .411l-2.172 -1.138l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l1.601 -.232\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.2 19.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.557 5.556l1 -.146l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.014 .187m-4.153 -.166l-.744 .39a.392 .392 0 0 1 -.568 -.41l.188 -1.093\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbStars (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 19.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.2 19.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbStatusChange (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12v-2a6 6 0 1 1 12 0v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSteam (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 5.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.5 15.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 5.5l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 15.5l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbSteeringWheelOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.04 16.048a9 9 0 0 0 -12.083 -12.09m-2.32 1.678a9 9 0 1 0 12.737 12.719\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.595 10.576a2 2 0 1 0 2.827 2.83\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-6.75 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.542 11.543l5.208 -1.543\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSteeringWheel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l0 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-6.75 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l6.75 -2\"},\"child\":[]}]})(props);\n};\nexport function TbStepInto (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbStepOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbStereoGlasses (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h-2l-3 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3h2l3 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v7a1 1 0 0 0 1 1h4.586a1 1 0 0 0 .707 -.293l2 -2a1 1 0 0 1 1.414 0l2 2a1 1 0 0 0 .707 .293h4.586a1 1 0 0 0 1 -1v-7h-18z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 16h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h1\"},\"child\":[]}]})(props);\n};\nexport function TbStethoscopeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.172 4.179a2 2 0 0 0 -1.172 1.821v3.5a5.5 5.5 0 0 0 9.856 3.358m1.144 -2.858v-4a2 2 0 0 0 -2 -2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15a6 6 0 0 0 10.714 3.712m1.216 -2.798c.046 -.3 .07 -.605 .07 -.914v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbStethoscope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h-1a2 2 0 0 0 -2 2v3.5h0a5.5 5.5 0 0 0 11 0v-3.5a2 2 0 0 0 -2 -2h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15a6 6 0 1 0 12 0v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbSticker2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h12a2 2 0 0 1 2 2v7h-5a2 2 0 0 0 -2 2v5h-7a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13v.172a2 2 0 0 1 -.586 1.414l-4.828 4.828a2 2 0 0 1 -1.414 .586h-.172\"},\"child\":[]}]})(props);\n};\nexport function TbSticker (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12l-2 .5a6 6 0 0 1 -6.5 -6.5l.5 -2l8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12a8 8 0 1 1 -8 -8\"},\"child\":[]}]})(props);\n};\nexport function TbStormOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.884 9.874a3 3 0 1 0 4.24 4.246m.57 -3.441a3.012 3.012 0 0 0 -1.41 -1.39\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.037 7.063a7 7 0 0 0 9.907 9.892m1.585 -2.426a7 7 0 0 0 -9.058 -9.059\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.369 14.236c-1.605 -3.428 -1.597 -6.673 -1 -9.849\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.63 9.76a14.323 14.323 0 0 1 1.368 6.251m-.37 3.608c-.087 .46 -.187 .92 -.295 1.377\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbStorm (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.369 14.236c-1.839 -3.929 -1.561 -7.616 -.704 -11.236\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.63 9.76c1.837 3.928 1.561 7.615 .703 11.236\"},\"child\":[]}]})(props);\n};\nexport function TbStretching2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 4a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 21l3.5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11l7 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-4 -7v-5l7 -4\"},\"child\":[]}]})(props);\n};\nexport function TbStretching (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20l5 -.5l1 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20v-5h-5.5l2.5 -6.5l-5.5 1l1.5 2\"},\"child\":[]}]})(props);\n};\nexport function TbStrikethrough (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 6.5a4 2 0 0 0 -4 -1.5h-1a3.5 3.5 0 0 0 0 7h2a3.5 3.5 0 0 1 0 7h-1.5a4 2 0 0 1 -4 -1.5\"},\"child\":[]}]})(props);\n};\nexport function TbSubmarine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v6h2l1 -1.5l3 1.5h10a3 3 0 0 0 0 -6h-10h0l-3 1.5l-1 -1.5h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 11l-1 -3h-5l-1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 8v-2a1 1 0 0 1 1 -1h1\"},\"child\":[]}]})(props);\n};\nexport function TbSubscript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l8 10m-8 0l8 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 20h-4l3.5 -4a1.73 1.73 0 0 0 -3.5 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSubtask (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 9l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 5l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5v11a1 1 0 0 0 1 1h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15m0 1a1 1 0 0 1 1 -1h6a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-6a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbSumOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18a1 1 0 0 1 -1 1h-11l6 -7m-3 -7h8a1 1 0 0 1 1 1v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSum (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v2a1 1 0 0 1 -1 1h-11l6 -7l-6 -7h11a1 1 0 0 1 1 1v2\"},\"child\":[]}]})(props);\n};\nexport function TbSunElectricity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12a4 4 0 0 0 4 4m0 -8a4 4 0 0 0 -4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.6 5.6l.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.3 17.7l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-3 5h4l-3 5\"},\"child\":[]}]})(props);\n};\nexport function TbSunHigh (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.828 14.828a4 4 0 1 0 -5.656 -5.656a4 4 0 0 0 5.656 5.656z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 17.657l-1.414 1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 6.343l-1.414 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 6.343l1.414 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 17.657l1.414 1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v2\"},\"child\":[]}]})(props);\n};\nexport function TbSunLow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.31 6.31l-.01 -.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.71 6.31l-.01 -.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.7 17.7l.01 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.3 17.7l.01 .01\"},\"child\":[]}]})(props);\n};\nexport function TbSunMoon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.173 14.83a4 4 0 1 1 5.657 -5.657\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.294 12.707l.174 .247a7.5 7.5 0 0 0 8.845 2.492a9 9 0 0 1 -14.671 2.914\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.6 5.6l.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 -18\"},\"child\":[]}]})(props);\n};\nexport function TbSunOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12a4 4 0 0 0 -4 -4m-2.834 1.177a4 4 0 0 0 5.66 5.654\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1m8 -9v1m8 8h1m-9 8v1m-6.4 -15.4l.7 .7m12.1 -.7l-.7 .7m0 11.4l.7 .7m-12.1 -.7l-.7 .7\"},\"child\":[]}]})(props);\n};\nexport function TbSunWind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.468 10a4 4 0 1 0 -5.466 5.46\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.6 5.6l.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.4 5.6l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.3 17.7l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h5a2 2 0 1 0 0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h5.714l.253 0a2 2 0 0 1 2.033 2a2 2 0 0 1 -2 2h-.286\"},\"child\":[]}]})(props);\n};\nexport function TbSun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1m8 -9v1m8 8h1m-9 8v1m-6.4 -15.4l.7 .7m12.1 -.7l-.7 .7m0 11.4l.7 .7m-12.1 -.7l-.7 .7\"},\"child\":[]}]})(props);\n};\nexport function TbSunglasses (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h-2l-3 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2l3 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 16.5a3.5 3.5 0 0 1 -7 0v-2.5h7v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16.5a3.5 3.5 0 0 1 -7 0v-2.5h7v2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14l4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14l4.5 4.5\"},\"child\":[]}]})(props);\n};\nexport function TbSunrise (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h1m16 0h1m-15.4 -6.4l.7 .7m12.1 -.7l-.7 .7m-9.7 5.7a4 4 0 0 1 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v-6l3 3m-6 0l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSunset2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 13h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.6 6.6l.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.4 6.6l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13a4 4 0 1 1 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v-1\"},\"child\":[]}]})(props);\n};\nexport function TbSunset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h1m16 0h1m-15.4 -6.4l.7 .7m12.1 -.7l-.7 .7m-9.7 5.7a4 4 0 0 1 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v6l3 -3m-6 0l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbSuperscript (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l8 10m-8 0l8 -10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11h-4l3.5 -4a1.73 1.73 0 0 0 -3.5 -2\"},\"child\":[]}]})(props);\n};\nexport function TbSvg (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8h-2a2 2 0 0 0 -2 2v4a2 2 0 0 0 2 2h2v-4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8h-3a1 1 0 0 0 -1 1v2a1 1 0 0 0 1 1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l1.5 8h1l1.5 -8\"},\"child\":[]}]})(props);\n};\nexport function TbSwimming (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11l4 -2l3.5 3l-1.5 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16.75a2.4 2.4 0 0 0 1 .25a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 1 -.25\"},\"child\":[]}]})(props);\n};\nexport function TbSwipeDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4a4 4 0 1 1 0 8a4 4 0 0 1 0 -8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSwipeLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12a4 4 0 1 0 -8 0a4 4 0 0 0 8 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15l-3 -3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSwipeRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12a4 4 0 1 1 8 0a4 4 0 0 1 -8 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSwipeUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbSwipe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16.572v2.42a2.01 2.01 0 0 1 -2.009 2.008h-7.981a2.01 2.01 0 0 1 -2.01 -2.009v-7.981a2.01 2.01 0 0 1 2.009 -2.01h2.954\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.167 4.511a2.04 2.04 0 0 1 2.496 -1.441l7.826 2.097a2.04 2.04 0 0 1 1.441 2.496l-2.097 7.826a2.04 2.04 0 0 1 -2.496 1.441l-7.827 -2.097a2.04 2.04 0 0 1 -1.441 -2.496l2.098 -7.827z\"},\"child\":[]}]})(props);\n};\nexport function TbSwitch2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h5l1.67 -2.386m3.66 -5.227l1.67 -2.387h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h5l7 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSwitch3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17h2.397a5 5 0 0 0 4.096 -2.133l.177 -.253m3.66 -5.227l.177 -.254a5 5 0 0 1 4.096 -2.133h3.397\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7h2.397a5 5 0 0 1 4.096 2.133l4.014 5.734a5 5 0 0 0 4.096 2.133h3.397\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 20l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbSwitchHorizontal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l4 4l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13l-4 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17l9 0\"},\"child\":[]}]})(props);\n};\nexport function TbSwitchVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8l4 -4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l0 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16l4 4l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l0 10\"},\"child\":[]}]})(props);\n};\nexport function TbSwitch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 4l4 0l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.75 9.25l4.25 -5.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l4 0l0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5l14 14\"},\"child\":[]}]})(props);\n};\nexport function TbSwordOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.938 7.937l3.062 -3.937h5v5l-3.928 3.055m-2.259 1.757l-2.813 2.188l-4 4l-3 -3l4 -4l2.19 -2.815\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 11.5l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbSword (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4v5l-9 7l-4 4l-3 -3l4 -4l7 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 11.5l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbSwords (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v5l-11 9l-4 4l-3 -3l4 -4l9 -11z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.32 17.32l3.68 3.68l3 -3l-3.365 -3.365\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5.5l-2 -2.5h-5v5l3 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbTableAlias (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v-7a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 17a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1v-4z\"},\"child\":[]}]})(props);\n};\nexport function TbTableColumn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7l-7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l-7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbTableDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-7.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTableExport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-7.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTableHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21h-6.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbTableImport (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTableMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-7.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbTableOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h12a2 2 0 0 1 2 2v12m-.585 3.413a1.994 1.994 0 0 1 -1.415 .587h-14a2 2 0 0 1 -2 -2v-14c0 -.55 .223 -1.05 .583 -1.412\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h7m4 0h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v3m0 4v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTableOptions (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbTablePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21h-7.5a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbTableRow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3l-6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 3l-7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3l-7 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v11\"},\"child\":[]}]})(props);\n};\nexport function TbTableShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21h-7a2 2 0 0 1 -2 -2v-14a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbTableShortcut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v-8a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbTable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbTagOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.149 7.144a.498 .498 0 0 0 .351 .856a.498 .498 0 0 0 .341 -.135\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.883 3.875a2.99 2.99 0 0 0 -.883 2.125v5.172a2 2 0 0 0 .586 1.414l7.71 7.71a2.41 2.41 0 0 0 3.408 0l2.796 -2.796m2.005 -2.005l.79 -.79a2.41 2.41 0 0 0 0 -3.41l-7.71 -7.71a2 2 0 0 0 -1.412 -.585h-4.173\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTagStarred (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v5.172a2 2 0 0 0 .586 1.414l7.71 7.71a2.41 2.41 0 0 0 3.408 0l5.592 -5.592a2.41 2.41 0 0 0 0 -3.408l-7.71 -7.71a2 2 0 0 0 -1.414 -.586h-5.172a3 3 0 0 0 -3 3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 13.847l-1.5 1.153l.532 -1.857l-1.532 -1.143h1.902l.598 -1.8l.598 1.8h1.902l-1.532 1.143l.532 1.857z\"},\"child\":[]}]})(props);\n};\nexport function TbTag (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v5.172a2 2 0 0 0 .586 1.414l7.71 7.71a2.41 2.41 0 0 0 3.408 0l5.592 -5.592a2.41 2.41 0 0 0 0 -3.408l-7.71 -7.71a2 2 0 0 0 -1.414 -.586h-5.172a3 3 0 0 0 -3 3z\"},\"child\":[]}]})(props);\n};\nexport function TbTagsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.296 12.296l-5.71 -5.71\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6h-1a2 2 0 0 0 -2 2v4.172a2 2 0 0 0 .586 1.414l5.71 5.71a2.41 2.41 0 0 0 3.408 0l3.278 -3.278\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19l.496 -.496\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.384 16.367a4.822 4.822 0 0 0 -.792 -5.775l-4.592 -4.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h-.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTags (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8v4.172a2 2 0 0 0 .586 1.414l5.71 5.71a2.41 2.41 0 0 0 3.408 0l3.592 -3.592a2.41 2.41 0 0 0 0 -3.408l-5.71 -5.71a2 2 0 0 0 -1.414 -.586h-4.172a2 2 0 0 0 -2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19l1.592 -1.592a4.82 4.82 0 0 0 0 -6.816l-4.592 -4.592\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h-.01\"},\"child\":[]}]})(props);\n};\nexport function TbTallymark1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]}]})(props);\n};\nexport function TbTallymark2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5l0 14\"},\"child\":[]}]})(props);\n};\nexport function TbTallymark3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l0 14\"},\"child\":[]}]})(props);\n};\nexport function TbTallymark4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5l0 14\"},\"child\":[]}]})(props);\n};\nexport function TbTallymarks (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5l0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l18 -10\"},\"child\":[]}]})(props);\n};\nexport function TbTank (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v0a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l1 -5h5l3 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 9l-7.8 0\"},\"child\":[]}]})(props);\n};\nexport function TbTargetArrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7a5 5 0 1 0 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3.055a9 9 0 1 0 7.941 7.945\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6v3h3l3 -3h-3v-3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 9l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTargetOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11.286 11.3a1 1 0 0 0 1.41 1.419\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.44 8.49a5 5 0 0 0 7.098 7.044m1.377 -2.611a5 5 0 0 0 -5.846 -5.836\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.649 5.623a9 9 0 1 0 12.698 12.758m1.683 -2.313a9 9 0 0 0 -12.076 -12.11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTarget (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbTeapot (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.29 3h3.42a2 2 0 0 1 1.988 1.78l1.555 14a2 2 0 0 1 -1.988 2.22h-6.53a2 2 0 0 1 -1.988 -2.22l1.555 -14a2 2 0 0 1 1.988 -1.78z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.47 12.5l-4.257 -5.019a.899 .899 0 0 1 .69 -1.481h13.09a3 3 0 0 1 3.007 3v3c0 1.657 -1.346 3 -3.007 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17h10\"},\"child\":[]}]})(props);\n};\nexport function TbTelescopeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21l6 -5l6 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.238 8.264l-4.183 2.51c-1.02 .614 -1.357 1.898 -.76 2.906l.165 .28c.52 .88 1.624 1.266 2.605 .91l6.457 -2.34m2.907 -1.055l4.878 -1.77a1.023 1.023 0 0 0 .565 -1.455l-2.62 -4.705a1.087 1.087 0 0 0 -1.447 -.42l-.056 .032l-6.016 3.61\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5l3 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTelescope (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21l6 -5l6 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.294 13.678l.166 .281c.52 .88 1.624 1.265 2.605 .91l14.242 -5.165a1.023 1.023 0 0 0 .565 -1.456l-2.62 -4.705a1.087 1.087 0 0 0 -1.447 -.42l-.056 .032l-12.694 7.618c-1.02 .613 -1.357 1.897 -.76 2.905z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 5l3 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbTemperatureCelsius (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 9a3 3 0 0 0 -3 -3h-1a3 3 0 0 0 -3 3v6a3 3 0 0 0 3 3h1a3 3 0 0 0 3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTemperatureFahrenheit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6h-6a1 1 0 0 0 -1 1v11\"},\"child\":[]}]})(props);\n};\nexport function TbTemperatureMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13.5a4 4 0 1 0 4 0v-8.5a2 2 0 0 0 -4 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbTemperatureOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10v3.5a4 4 0 1 0 5.836 2.33m-1.836 -5.83v-5a2 2 0 1 0 -4 0v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 9h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTemperaturePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13.5a4 4 0 1 0 4 0v-8.5a2 2 0 0 0 -4 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 9l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 6l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbTemperatureSnow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13.5a4 4 0 1 0 4 0v-8.5a2 2 0 1 0 -4 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.75 4l1 2h2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4l-3 5l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.25 10l-1.25 2l1.25 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12h-6l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18h-2.25l-1 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l-3 -5h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9l2.088 .008\"},\"child\":[]}]})(props);\n};\nexport function TbTemperatureSun (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13.5a4 4 0 1 0 4 0v-8.5a2 2 0 1 0 -4 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16a4 4 0 1 0 0 -8a4.07 4.07 0 0 0 -1 .124\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 3v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.4 5.6l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.7 17.7l.7 .7\"},\"child\":[]}]})(props);\n};\nexport function TbTemperature (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13.5a4 4 0 1 0 4 0v-8.5a2 2 0 0 0 -4 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbTemplateOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h11a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-7m-4 0h-3a1 1 0 0 1 -1 -1v-2c0 -.271 .108 -.517 .283 -.697\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTemplate (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h14a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-14a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbTentOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l4 6h5m-2.863 -6.868l-5.137 -9.132l-1.44 2.559m-1.44 2.563l-6.12 10.878h6l4 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l4 6h6l-9 -16l-9 16h6l4 -6\"},\"child\":[]}]})(props);\n};\nexport function TbTerminal2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4m0 2a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbTerminal (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l5 5l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19l7 0\"},\"child\":[]}]})(props);\n};\nexport function TbTestPipe2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3v15a3 3 0 0 1 -6 0v-15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 3h8\"},\"child\":[]}]})(props);\n};\nexport function TbTestPipeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8.04a803.533 803.533 0 0 0 -4 3.96m-2 2c-1.085 1.085 -3.125 3.14 -6.122 6.164a2.857 2.857 0 0 1 -4.041 -4.04c3.018 -3 5.073 -5.037 6.163 -6.124m2 -2c.872 -.872 2.191 -2.205 3.959 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15l1.5 1.6m-.74 3.173a2 2 0 0 1 -2.612 -2.608\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTestPipe (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8.04l-12.122 12.124a2.857 2.857 0 1 1 -4.041 -4.04l12.122 -12.124\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 15l1.5 1.6a2 2 0 1 1 -3 0l1.5 -1.6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3l6 6\"},\"child\":[]}]})(props);\n};\nexport function TbTex (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8v-1h-6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15l-5 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15l5 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11h-4v8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h3\"},\"child\":[]}]})(props);\n};\nexport function TbTextCaption (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h12\"},\"child\":[]}]})(props);\n};\nexport function TbTextColor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15v-7a3 3 0 0 1 6 0v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 11h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14\"},\"child\":[]}]})(props);\n};\nexport function TbTextDecrease (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19v-10.5a3.5 3.5 0 1 1 7 0v10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-6\"},\"child\":[]}]})(props);\n};\nexport function TbTextDirectionLtr (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h-6.5a3.5 3.5 0 0 0 0 7h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-11\"},\"child\":[]}]})(props);\n};\nexport function TbTextDirectionRtl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h-6.5a3.5 3.5 0 0 0 0 7h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15v-11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21l-2 -2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbTextGrammar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-5a3 3 0 1 1 6 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l2 2l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbTextIncrease (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19v-10.5a3.5 3.5 0 1 1 7 0v10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 13h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-6\"},\"child\":[]}]})(props);\n};\nexport function TbTextOrientation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l-5 -5c-1.367 -1.367 -1.367 -3.633 0 -5s3.633 -1.367 5 0l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 11.5l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-4\"},\"child\":[]}]})(props);\n};\nexport function TbTextPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10h-14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 15v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18h6\"},\"child\":[]}]})(props);\n};\nexport function TbTextRecognition (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h6\"},\"child\":[]}]})(props);\n};\nexport function TbTextResize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v-4\"},\"child\":[]}]})(props);\n};\nexport function TbTextScan2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 15h4\"},\"child\":[]}]})(props);\n};\nexport function TbTextSize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7v-2h13v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5v14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13v-1h6v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 19h2\"},\"child\":[]}]})(props);\n};\nexport function TbTextSpellcheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v-7.5a3.5 3.5 0 0 1 7 0v7.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 18l3 3l7 -7\"},\"child\":[]}]})(props);\n};\nexport function TbTextWrapColumn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9h7a3 3 0 0 1 0 6h-4l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3v18\"},\"child\":[]}]})(props);\n};\nexport function TbTextWrapDisabled (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h17l-3 -3m0 6l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTextWrap (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18l5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h13a3 3 0 0 1 0 6h-4l2 -2m0 4l-2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbTexture (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3l-8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3l-13 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 3l-18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8l-13 13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13l-8 8\"},\"child\":[]}]})(props);\n};\nexport function TbTheater (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 16v-10a2 2 0 0 0 -2 -2h-12a2 2 0 0 0 -2 2v10l4 -6c2.667 1.333 5.333 1.333 8 0l4 6z\"},\"child\":[]}]})(props);\n};\nexport function TbThermometer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5a2.828 2.828 0 0 1 0 4l-8 8h-4v-4l8 -8a2.828 2.828 0 0 1 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 10l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l-1.5 -1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbThumbDownOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13v-6m-3 -3a1 1 0 0 0 -1 1v7a1 1 0 0 0 1 1h3a4 4 0 0 1 4 4v1a2 2 0 1 0 4 0v-3m2 -2h1a2 2 0 0 0 2 -2l-1 -5c-.295 -1.26 -1.11 -2.076 -2 -2h-7c-.57 0 -1.102 .159 -1.556 .434\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbThumbDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13v-8a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v7a1 1 0 0 0 1 1h3a4 4 0 0 1 4 4v1a2 2 0 0 0 4 0v-5h3a2 2 0 0 0 2 -2l-1 -5a2 3 0 0 0 -2 -2h-7a3 3 0 0 0 -3 3\"},\"child\":[]}]})(props);\n};\nexport function TbThumbUpOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11v8a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-7a1 1 0 0 1 1 -1h3a3.987 3.987 0 0 0 2.828 -1.172m1.172 -2.828v-1a2 2 0 1 1 4 0v5h3a2 2 0 0 1 2 2c-.222 1.112 -.39 1.947 -.5 2.503m-.758 3.244c-.392 .823 -1.044 1.312 -1.742 1.253h-7a3 3 0 0 1 -3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbThumbUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11v8a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1v-7a1 1 0 0 1 1 -1h3a4 4 0 0 0 4 -4v-1a2 2 0 0 1 4 0v5h3a2 2 0 0 1 2 2l-1 5a2 3 0 0 1 -2 2h-7a3 3 0 0 1 -3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTicTac (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbTicketOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h10a2 2 0 0 1 2 2v3a2 2 0 1 0 0 4v3m-2 2h-14a2 2 0 0 1 -2 -2v-3a2 2 0 1 0 0 -4v-3a2 2 0 0 1 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTicket (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17l0 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5h14a2 2 0 0 1 2 2v3a2 2 0 0 0 0 4v3a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-3a2 2 0 0 0 0 -4v-3a2 2 0 0 1 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbTie (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 22l4 -4l-2.5 -11l.993 -2.649a1 1 0 0 0 -.936 -1.351h-3.114a1 1 0 0 0 -.936 1.351l.993 2.649l-2.5 11l4 4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 7h3l5 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbTilde (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12c0 -1.657 1.592 -3 3.556 -3c1.963 0 3.11 1.5 4.444 3c1.333 1.5 2.48 3 4.444 3s3.556 -1.343 3.556 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTiltShiftOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -.577 .263\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.57 10.602a2 2 0 0 0 2.862 2.795\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTiltShift (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 3.69a9 9 0 0 0 -2.92 1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 8.56a9 9 0 0 0 -.69 3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.69 15.44a9 9 0 0 0 1.95 2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.56 20.31a9 9 0 0 0 3.44 .69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 20.31a9 9 0 0 0 2.92 -1.95\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 15.44a9 9 0 0 0 .69 -3.44\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.31 8.56a9 9 0 0 0 -1.95 -2.92\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.44 3.69a9 9 0 0 0 -3.44 -.69\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.2v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 4.2v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.8 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.8 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11v2a2 2 0 1 0 4 0v-2a2 2 0 1 0 -4 0z\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration10 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v2a2 2 0 1 0 4 0v-2a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.2v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.8 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.81 7.527a8.994 8.994 0 0 0 -7.81 -4.527\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration15 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h2a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.2v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.8 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 0 0 -9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration30 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 9h1.5a1.5 1.5 0 0 1 0 3h-.5h.5a1.5 1.5 0 0 1 0 3h-1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.2v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 0 -18\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration45 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15h2a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2v-3h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9v2a1 1 0 0 0 1 1h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.2v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h2a1.5 1.5 0 0 0 0 -3h-2v-3h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 4.2v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.8 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.8 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 4.206a9.042 9.042 0 0 0 -4.5 -1.206\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration60 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 9h-2a1 1 0 0 0 -1 1v4a1 1 0 0 0 1 1h1a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDuration90 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14.25c0 .414 .336 .75 .75 .75h1.5a.75 .75 0 0 0 .75 -.75v-4.5a.75 .75 0 0 0 -.75 -.75h-1.5a.75 .75 0 0 0 -.75 .75v1.5c0 .414 .336 .75 .75 .75h2.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5v3a1.5 1.5 0 0 0 3 0v-3a1.5 1.5 0 0 0 -3 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbTimeDurationOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 19.8v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 16.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.2 7.5v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a8.994 8.994 0 0 0 6.362 -2.634m1.685 -2.336a9 9 0 0 0 -8.047 -13.03\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTimelineEventExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-2 -2h-3a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-3l-2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 11v.01\"},\"child\":[]}]})(props);\n};\nexport function TbTimelineEventMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-2 -2h-3a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-3l-2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]}]})(props);\n};\nexport function TbTimelineEventPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-2 -2h-3a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-3l-2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v4\"},\"child\":[]}]})(props);\n};\nexport function TbTimelineEventText (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-2 -2h-3a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-3l-2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 9h3\"},\"child\":[]}]})(props);\n};\nexport function TbTimelineEventX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-2 -2h-3a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-3l-2 2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 9.5l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 9.5l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTimelineEvent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15l-2 -2h-3a1 1 0 0 1 -1 -1v-8a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v8a1 1 0 0 1 -1 1h-3l-2 2z\"},\"child\":[]}]})(props);\n};\nexport function TbTimeline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16l6 -7l5 5l5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 14m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbTir (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h8m4 0h2v-6a5 7 0 0 0 -5 -7h-1l1.5 7h4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-13h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l0 -5l9 0\"},\"child\":[]}]})(props);\n};\nexport function TbToggleLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 6m0 6a6 6 0 0 1 6 -6h8a6 6 0 0 1 6 6v0a6 6 0 0 1 -6 6h-8a6 6 0 0 1 -6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbToggleRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 6m0 6a6 6 0 0 1 6 -6h8a6 6 0 0 1 6 6v0a6 6 0 0 1 -6 6h-8a6 6 0 0 1 -6 -6z\"},\"child\":[]}]})(props);\n};\nexport function TbToiletPaperOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.27 4.28c-.768 1.27 -1.27 3.359 -1.27 5.72c0 3.866 1.343 7 3 7s3 -3.134 3 -7c0 -.34 -.01 -.672 -.03 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10c0 -3.866 -1.343 -7 -3 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10v7m-1.513 2.496l-1.487 -.496l-3 2l-3 -3l-3 2v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbToiletPaper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10m-3 0a3 7 0 1 0 6 0a3 7 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10c0 -3.866 -1.343 -7 -3 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10v10l-3 -1l-3 2l-3 -3l-3 2v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10h.01\"},\"child\":[]}]})(props);\n};\nexport function TbToml (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M1.499 8h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2.999 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 8a1.5 1.5 0 0 1 1.5 1.5v5a1.5 1.5 0 0 1 -3 0v-5a1.5 1.5 0 0 1 1.5 -1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16v-8l2 5l2 -5v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8v8h2.5\"},\"child\":[]}]})(props);\n};\nexport function TbTool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10h3v-3l-3.5 -3.5a6 6 0 0 1 8 8l6 6a2 2 0 0 1 -3 3l-6 -6a6 6 0 0 1 -8 -8l3.5 3.5\"},\"child\":[]}]})(props);\n};\nexport function TbToolsKitchen2Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.386 10.409c.53 -2.28 1.766 -4.692 4.614 -7.409v12m-4 0h-1c0 -.313 0 -.627 0 -.941\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19v2h-1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8v13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5v2a3 3 0 0 0 4.546 2.572m1.454 -2.572v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbToolsKitchen2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3v12h-5c-.023 -3.681 .184 -7.406 5 -12zm0 12v6h-1v-3m-10 -14v17m-3 -17v3a3 3 0 1 0 6 0v-3\"},\"child\":[]}]})(props);\n};\nexport function TbToolsKitchen3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4v17m-3 -17v3a3 3 0 1 0 6 0v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8m-3 0a3 4 0 1 0 6 0a3 4 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12v9\"},\"child\":[]}]})(props);\n};\nexport function TbToolsKitchenOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h5l-.5 4.5m-.4 3.595l-.1 .905h-6l-.875 -7.874\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h2v3h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.225 11.216c.42 -2.518 1.589 -5.177 4.775 -8.216v12h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15v1m0 4v1h-1v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbToolsKitchen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 3h8l-1 9h-6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h2v3h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 3v12h-5c-.023 -3.681 .184 -7.406 5 -12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15v6h-1v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l0 6\"},\"child\":[]}]})(props);\n};\nexport function TbToolsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l4 -4a2.828 2.828 0 1 0 -4 -4l-4 4m-2 2l-7 7v4h4l7 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 5.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-5 -5m-2 2l-2 2l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l-1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l5 5m-2 2l-2 2l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17l-1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTools (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21h4l13 -13a1.5 1.5 0 0 0 -4 -4l-13 13v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.5 5.5l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-5 -5l-4 4l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 8l-1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12l5 5l-4 4l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17l-1.5 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbTooltip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l-1.707 -1.707a1 1 0 0 0 -.707 -.293h-2.586a2 2 0 0 1 -2 -2v-3a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v3a2 2 0 0 1 -2 2h-2.586a1 1 0 0 0 -.707 .293l-1.707 1.707z\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyBus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 10a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 16h20\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v4\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyComplex (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h-8\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyFullHierarchy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 13.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 7.5l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 13.5l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyFull (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5l9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16.5l9 -9\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyRing2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16l-5 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 8l-5 8\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyRing3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 16v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 18h-8\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyRing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 5.5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 13.5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 18.5l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 5.5l-5 5\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyStar2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v4\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyStar3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l2 3\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyStarRing2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 10.5l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 5.5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.5 13.5l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 18.5l-5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyStarRing3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10l-2 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l-2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14l2 3\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyStarRing (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 5.5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.5 13.5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 18.5l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 5.5l-5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14v4\"},\"child\":[]}]})(props);\n};\nexport function TbTopologyStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 18a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 7.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 16.5l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 13.5l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 7.5l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTorii (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4c5.333 1.333 10.667 1.333 16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 4.5v15.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4.5v15.5\"},\"child\":[]}]})(props);\n};\nexport function TbTornado (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 4l-18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16l-6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 20l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12l12 0\"},\"child\":[]}]})(props);\n};\nexport function TbTournament (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12h3a1 1 0 0 1 1 1v6a1 1 0 0 1 -1 1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 4h7a1 1 0 0 1 1 1v10a1 1 0 0 1 -1 1h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10h4\"},\"child\":[]}]})(props);\n};\nexport function TbTowerOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2h3v-2a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1v4.394a2 2 0 0 1 -.336 1.11l-1.328 1.992a2 2 0 0 0 -.336 1.11v1.394m0 4v2a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1v-7.394a2 2 0 0 0 -.336 -1.11l-1.328 -1.992a2 2 0 0 1 -.336 -1.11v-4.394\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-5a2 2 0 1 1 4 0v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTower (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3h1a1 1 0 0 1 1 1v2h3v-2a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2h3v-2a1 1 0 0 1 1 -1h1a1 1 0 0 1 1 1v4.394a2 2 0 0 1 -.336 1.11l-1.328 1.992a2 2 0 0 0 -.336 1.11v7.394a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1v-7.394a2 2 0 0 0 -.336 -1.11l-1.328 -1.992a2 2 0 0 1 -.336 -1.11v-4.394a1 1 0 0 1 1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 21v-5a2 2 0 1 1 4 0v5\"},\"child\":[]}]})(props);\n};\nexport function TbTrack (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l11 -11m5 5l-11 11m-4 -8l7 7m-3.5 -10.5l7 7m-3.5 -10.5l7 7\"},\"child\":[]}]})(props);\n};\nexport function TbTractor (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 15l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 17l6.5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 15.2v-4.2a1 1 0 0 0 -1 -1h-6l-2 -5h-6v6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5h-1a1 1 0 0 0 -1 1v4\"},\"child\":[]}]})(props);\n};\nexport function TbTrademark (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.5 9h5m-2.5 0v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15v-6l3 4l3 -4v6\"},\"child\":[]}]})(props);\n};\nexport function TbTrafficConeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.4 10h.6m4 0h.6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.8 15h7.2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20l3.5 -10.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 6.5l.5 -1.5h2l2 6m2 6l1 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTrafficCone (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.4 10l5.2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.8 15l8.4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20l5 -15h2l5 15\"},\"child\":[]}]})(props);\n};\nexport function TbTrafficLightsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4c.912 -1.219 2.36 -2 4 -2a5 5 0 0 1 5 5v6m0 4a5 5 0 0 1 -10 0v-10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8a1 1 0 1 0 -1 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.291 11.295a1 1 0 0 0 1.418 1.41\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTrafficLights (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2m0 5a5 5 0 0 1 5 -5h0a5 5 0 0 1 5 5v10a5 5 0 0 1 -5 5h0a5 5 0 0 1 -5 -5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbTrain (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 13c0 -3.87 -3.37 -7 -10 -7h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 15h16a2 2 0 0 0 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v5h17.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11l0 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 11l0 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19l18 0\"},\"child\":[]}]})(props);\n};\nexport function TbTransactionBitcoin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h4.09c1.055 0 1.91 .895 1.91 2s-.855 2 -1.91 2c1.055 0 1.91 .895 1.91 2s-.855 2 -1.91 2h-4.09\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11v10v-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 20v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v8a3 3 0 0 0 3 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbTransactionDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.8 13a2 2 0 0 0 -1.8 -1h-2a2 2 0 1 0 0 4h2a2 2 0 1 1 0 4h-2a2 2 0 0 1 -1.8 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 11v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v8a3 3 0 0 0 3 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbTransactionEuro (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12.8c-.523 -.502 -1.172 -.8 -1.875 -.8c-1.727 0 -3.125 1.791 -3.125 4s1.398 4 3.125 4c.703 0 1.352 -.298 1.874 -.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v8a3 3 0 0 0 3 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbTransactionPound (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 14a2 2 0 1 0 -4 0v4a2 2 0 0 1 -2 2h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v8a3 3 0 0 0 3 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbTransactionRupee (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-6h1a3 3 0 0 1 0 6h-1l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v8a3 3 0 0 0 3 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbTransactionYen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-3 4.5v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v8a3 3 0 0 0 3 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbTransactionYuan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12l3 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-3 4.5v4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v8a3 3 0 0 0 3 3h1\"},\"child\":[]}]})(props);\n};\nexport function TbTransferIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 18v3h16v-14l-8 -4l-8 4v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTransferOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 19v2h16v-14l-8 -4l-8 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14h-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTransferVertical (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4v16l-6 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20v-16l6 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbTransfer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10h-16l5.5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14h16l-5.5 6\"},\"child\":[]}]})(props);\n};\nexport function TbTransformPointBottomLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h2\"},\"child\":[]}]})(props);\n};\nexport function TbTransformPointBottomRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h2\"},\"child\":[]}]})(props);\n};\nexport function TbTransformPointTopLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h2\"},\"child\":[]}]})(props);\n};\nexport function TbTransformPointTopRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h2\"},\"child\":[]}]})(props);\n};\nexport function TbTransformPoint (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 5h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19h2\"},\"child\":[]}]})(props);\n};\nexport function TbTransform (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v-3a2 2 0 0 0 -2 -2h-6l3 3m0 -6l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v3a2 2 0 0 0 2 2h6l-3 -3m0 6l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 18a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionBottom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 18a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v0a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 14l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21a3 3 0 0 1 -3 -3v-12a3 3 0 0 1 3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6v12a3 3 0 0 1 -6 0v-12a3 3 0 0 1 6 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9l-3 3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 3a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18v-12a3 3 0 1 1 6 0v12a3 3 0 0 1 -6 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTransitionTop (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 6a3 3 0 0 0 -3 -3h-12a3 3 0 0 0 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21h12a3 3 0 0 0 0 -6h-12a3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbTrashOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h3m4 0h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l0 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l1 12a2 2 0 0 0 2 2h8a2 2 0 0 0 2 -2l.077 -.923\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.384 14.373l.616 -7.373\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v-1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v3\"},\"child\":[]}]})(props);\n};\nexport function TbTrashX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l1 12a2 2 0 0 0 2 2h8a2 2 0 0 0 2 -2l1 -12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7v-3a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbTrash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 7l16 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 11l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 11l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l1 12a2 2 0 0 0 2 2h8a2 2 0 0 0 2 -2l1 -12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7v-3a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v3\"},\"child\":[]}]})(props);\n};\nexport function TbTreadmill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14l4 1l.5 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18v-3l-3 -2.923l.75 -5.077\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 10v-2l4 -1l2.5 2.5l2.5 .5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 22a1 1 0 0 0 -1 -1h-16a1 1 0 0 0 -1 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21l1 -11l2 -1\"},\"child\":[]}]})(props);\n};\nexport function TbTree (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.824 16a3 3 0 0 1 -2.743 -3.69a3 3 0 0 1 .304 -4.833a3 3 0 0 1 4.615 -3.707a3 3 0 0 1 4.614 3.707a3 3 0 0 1 .305 4.833a3 3 0 0 1 -2.919 3.695h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbTrees (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 5l3 3l-2 1l4 4l-3 1l4 4h-9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 21l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 13l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12l2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21v-13\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.824 16a3 3 0 0 1 -2.743 -3.69a3 3 0 0 1 .304 -4.833a3 3 0 0 1 4.615 -3.707a3 3 0 0 1 4.614 3.707a3 3 0 0 1 .305 4.833a3 3 0 0 1 -2.919 3.695h-4z\"},\"child\":[]}]})(props);\n};\nexport function TbTrekking (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21l2 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21v-4l-3 -3l1 -6l3 4l3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14l-1.827 -1.218a2 2 0 0 1 -.831 -2.15l.28 -1.117a2 2 0 0 1 1.939 -1.515h1.439l4 1l3 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2\"},\"child\":[]}]})(props);\n};\nexport function TbTrendingDown2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h5l7 10h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTrendingDown3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6h2.397a5 5 0 0 1 4.096 2.133l4.014 5.734a5 5 0 0 0 4.096 2.133h3.397\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19l3 -3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbTrendingDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 7l6 6l4 -4l8 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 10l0 7l-7 0\"},\"child\":[]}]})(props);\n};\nexport function TbTrendingUp2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h5l7 -10h6\"},\"child\":[]}]})(props);\n};\nexport function TbTrendingUp3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5l3 3l-3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18h2.397a5 5 0 0 0 4.096 -2.133l4.014 -5.734a5 5 0 0 1 4.096 -2.133h3.397\"},\"child\":[]}]})(props);\n};\nexport function TbTrendingUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l6 -6l4 4l8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 7l7 0l0 7\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleInverted (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 20.405l-8.106 -13.534a1.914 1.914 0 0 1 1.636 -2.871h16.214a1.914 1.914 0 0 1 1.636 2.871l-8.106 13.534a1.914 1.914 0 0 1 -3.274 0z\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleMinus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.48 15.016l-6.843 -11.426a1.914 1.914 0 0 0 -3.274 0l-8.106 13.535a1.914 1.914 0 0 0 1.636 2.871h8.107\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 3.591l-8.106 13.534a1.914 1.914 0 0 0 1.636 2.871h16.214a1.914 1.914 0 0 0 1.636 -2.87l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0v.001z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h6\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.825 7.83l-5.568 9.295a1.914 1.914 0 0 0 1.636 2.871h16.107m1.998 -1.99a1.913 1.913 0 0 0 -.255 -.88l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0l-1.028 1.718\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTrianglePlus2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18.69 12.027l-5.054 -8.437a1.914 1.914 0 0 0 -3.274 0l-8.105 13.535a1.914 1.914 0 0 0 1.636 2.871h8.107\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbTrianglePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 3.591l-8.106 13.534a1.914 1.914 0 0 0 1.636 2.871h16.214a1.914 1.914 0 0 0 1.636 -2.87l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0v.001z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10v6\"},\"child\":[]}]})(props);\n};\nexport function TbTriangleSquareCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l-4 7h8z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 14m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v4a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.363 3.591l-8.106 13.534a1.914 1.914 0 0 0 1.636 2.871h16.214a1.914 1.914 0 0 0 1.636 -2.87l-8.106 -13.536a1.914 1.914 0 0 0 -3.274 0z\"},\"child\":[]}]})(props);\n};\nexport function TbTriangles (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9.974 21h8.052a.975 .975 0 0 0 .81 -1.517l-4.025 -6.048a.973 .973 0 0 0 -1.622 0l-4.025 6.048a.977 .977 0 0 0 .81 1.517z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.98 16h14.04c.542 0 .98 -.443 .98 -.989a1 1 0 0 0 -.156 -.534l-7.02 -11.023a.974 .974 0 0 0 -1.648 0l-7.02 11.023a1 1 0 0 0 .294 1.366a.973 .973 0 0 0 .53 .157z\"},\"child\":[]}]})(props);\n};\nexport function TbTrident (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l2 -2v3a7 7 0 0 0 14 0v-3l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-18l-2 2m4 0l-2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbTrolley (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M11 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16l3 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l8 -12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l2 1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.592 4.695l3.306 2.104a1.3 1.3 0 0 1 .396 1.8l-3.094 4.811a1.3 1.3 0 0 1 -1.792 .394l-3.306 -2.104a1.3 1.3 0 0 1 -.396 -1.8l3.094 -4.81a1.3 1.3 0 0 1 1.792 -.394z\"},\"child\":[]}]})(props);\n};\nexport function TbTrophyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v8c0 .31 -.028 .612 -.082 .905m-1.384 2.632a5 5 0 0 1 -8.534 -3.537v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTrophy (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21l8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v8a5 5 0 0 1 -10 0v-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 9m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbTrowel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14.42 9.058l-5.362 5.363a1.978 1.978 0 0 1 -3.275 -.773l-2.682 -8.044a1.978 1.978 0 0 1 2.502 -2.502l8.045 2.682a1.978 1.978 0 0 1 .773 3.274z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10l6.5 6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.347 16.575l1.08 1.079a1.96 1.96 0 0 1 -2.773 2.772l-1.08 -1.079a1.96 1.96 0 0 1 2.773 -2.772z\"},\"child\":[]}]})(props);\n};\nexport function TbTruckDelivery (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h-2v-4m-1 -8h11v12m-4 0h6m4 0h2v-6h-8m0 -5h5l3 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbTruckLoading (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 3h1a2 2 0 0 1 2 2v10a2 2 0 0 0 2 2h15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6m0 3a3 3 0 0 1 3 -3h4a3 3 0 0 1 3 3v2a3 3 0 0 1 -3 3h-4a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbTruckOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.585 15.586a2 2 0 0 0 2.826 2.831\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h-2v-11a1 1 0 0 1 1 -1h1m3.96 0h4.04v4m0 4v4m-4 0h6m6 0v-6h-6m-2 -5h5l3 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTruckReturn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h-2v-11a1 1 0 0 1 1 -1h9v6h-5l2 2m0 -4l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 17l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 6h5l3 5v6h-2\"},\"child\":[]}]})(props);\n};\nexport function TbTruck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h-2v-11a1 1 0 0 1 1 -1h9v12m-4 0h6m4 0h2v-6h-8m0 -5h5l3 5\"},\"child\":[]}]})(props);\n};\nexport function TbTxt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -8\"},\"child\":[]}]})(props);\n};\nexport function TbTypeface (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17a2 2 0 0 1 -2 -2v-8h-5a2 2 0 0 0 -2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 17a2.775 2.775 0 0 0 2.632 -1.897l.368 -1.103a13.4 13.4 0 0 1 3.236 -5.236l1.764 -1.764\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14h5\"},\"child\":[]}]})(props);\n};\nexport function TbTypographyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.9 15h6.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 13l3 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20l4.09 -10.906\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.181 6.183l.819 -2.183h2l3.904 8.924\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbTypography (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 20l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.9 15l6.9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.2 6.3l5.8 13.7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20l6 -16l2 0l7 16\"},\"child\":[]}]})(props);\n};\nexport function TbUTurnLeft (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20v-11.5a4.5 4.5 0 1 0 -9 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 14l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbUTurnRight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 20v-11.5a4.5 4.5 0 0 1 9 0v8.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 14l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbUfoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.95 9.01c3.02 .739 5.05 2.123 5.05 3.714c0 1.08 -.931 2.063 -2.468 2.814m-3 1c-1.36 .295 -2.9 .462 -4.531 .462c-5.52 0 -10 -1.909 -10 -4.276c0 -1.59 2.04 -2.985 5.07 -3.724\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14.69 10.686c1.388 -.355 2.31 -.976 2.31 -1.686v-.035c0 -2.742 -2.239 -4.965 -5 -4.965c-1.125 0 -2.164 .37 -3 .992m-1.707 2.297a4.925 4.925 0 0 0 -.293 1.676v.035c0 .961 1.696 1.764 3.956 1.956\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 17l-1.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbUfo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16.95 9.01c3.02 .739 5.05 2.123 5.05 3.714c0 2.367 -4.48 4.276 -10 4.276s-10 -1.909 -10 -4.276c0 -1.59 2.04 -2.985 5.07 -3.724\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9c0 1.105 2.239 2 5 2s5 -.895 5 -2v-.035c0 -2.742 -2.239 -4.965 -5 -4.965s-5 2.223 -5 4.965v.035\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 17l2 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.5 17l-1.5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 13h.01\"},\"child\":[]}]})(props);\n};\nexport function TbUmbrellaOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h-8c0 -2.209 .895 -4.208 2.342 -5.656m2.382 -1.645a8 8 0 0 1 11.276 7.301l-4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6a2 2 0 1 0 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbUmbrella (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12a8 8 0 0 1 16 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v6a2 2 0 0 0 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbUnderline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5v5a5 5 0 0 0 10 0v-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 19h14\"},\"child\":[]}]})(props);\n};\nexport function TbUniverse (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7.027 11.477a5 5 0 1 0 5.496 -4.45a4.951 4.951 0 0 0 -3.088 .681\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.636a9 9 0 1 0 3.555 -2.188\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbUnlink (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15l6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6l.463 -.536a5 5 0 0 1 7.071 7.072l-.534 .464\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 18l-.397 .534a5.068 5.068 0 0 1 -7.127 0a4.972 4.972 0 0 1 0 -7.071l.524 -.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 7h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2v2\"},\"child\":[]}]})(props);\n};\nexport function TbUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17v2a2 2 0 0 0 2 2h12a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l5 -5l5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4l0 12\"},\"child\":[]}]})(props);\n};\nexport function TbUrgent (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16v-4a4 4 0 0 1 8 0v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1m8 -9v1m8 8h1m-15.4 -6.4l.7 .7m12.1 -.7l-.7 .7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16m0 1a1 1 0 0 1 1 -1h10a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-10a1 1 0 0 1 -1 -1z\"},\"child\":[]}]})(props);\n};\nexport function TbUsb (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v-11.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v3l5 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14.5l5 -2v-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10h2v-2h-2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5.5h4l-2 -2.5z\"},\"child\":[]}]})(props);\n};\nexport function TbUserBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4c.267 0 .529 .026 .781 .076\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbUserCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbUserCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbUserCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 10m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.168 18.849a4 4 0 0 1 3.832 -2.849h4a4 4 0 0 1 3.834 2.855\"},\"child\":[]}]})(props);\n};\nexport function TbUserCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbUserCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbUserDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbUserDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4c.342 0 .674 .043 .99 .124\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbUserEdit (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.42 15.61a2.1 2.1 0 0 1 2.97 2.97l-3.39 3.42h-3v-3l3.42 -3.39z\"},\"child\":[]}]})(props);\n};\nexport function TbUserExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4c.348 0 .686 .045 1.008 .128\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbUserHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbUserHexagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a3 3 0 1 0 0 -6a3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.201 18.744a4 4 0 0 1 3.799 -2.744h4a4 4 0 0 1 3.798 2.741\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.875 6.27c.7 .398 1.13 1.143 1.125 1.948v7.284c0 .809 -.443 1.555 -1.158 1.948l-6.75 4.27a2.269 2.269 0 0 1 -2.184 0l-6.75 -4.27a2.225 2.225 0 0 1 -1.158 -1.948v-7.285c0 -.809 .443 -1.554 1.158 -1.947l6.75 -3.98a2.33 2.33 0 0 1 2.25 0l6.75 3.98h-.033z\"},\"child\":[]}]})(props);\n};\nexport function TbUserMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4c.348 0 .686 .045 1.009 .128\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbUserOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.18 8.189a4.01 4.01 0 0 0 2.616 2.627m3.507 -.545a4 4 0 1 0 -5.59 -5.552\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4c.412 0 .81 .062 1.183 .178m2.633 2.618c.12 .38 .184 .785 .184 1.204v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbUserPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbUserPentagon (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.163 2.168l8.021 5.828c.694 .504 .984 1.397 .719 2.212l-3.064 9.43a1.978 1.978 0 0 1 -1.881 1.367h-9.916a1.978 1.978 0 0 1 -1.881 -1.367l-3.064 -9.43a1.978 1.978 0 0 1 .719 -2.212l8.021 -5.828a1.978 1.978 0 0 1 2.326 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a3 3 0 1 0 0 -6a3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20.703v-.703a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v.707\"},\"child\":[]}]})(props);\n};\nexport function TbUserPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbUserPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4\"},\"child\":[]}]})(props);\n};\nexport function TbUserQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbUserScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 9a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbUserScreen (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.03 17.818a3 3 0 0 0 1.97 -2.818v-8a3 3 0 0 0 -3 -3h-12a3 3 0 0 0 -3 3v8c0 1.317 .85 2.436 2.03 2.84\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 14a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2\"},\"child\":[]}]})(props);\n};\nexport function TbUserSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbUserShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbUserShield (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 16c0 4 -2.5 6 -3.5 6s-3.5 -2 -3.5 -6c1 0 2.5 -.5 3.5 -1.5c1 1 2.5 1.5 3.5 1.5z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]}]})(props);\n};\nexport function TbUserSquareRounded (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13a3 3 0 1 0 0 -6a3 3 0 0 0 0 6z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c7.2 0 9 1.8 9 9s-1.8 9 -9 9s-9 -1.8 -9 -9s1.8 -9 9 -9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 20.05v-.05a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v.05\"},\"child\":[]}]})(props);\n};\nexport function TbUserSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-1a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5a2 2 0 0 1 2 -2h14a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-14a2 2 0 0 1 -2 -2v-14z\"},\"child\":[]}]})(props);\n};\nexport function TbUserStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbUserUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbUserX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbUser (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21v-2a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v2\"},\"child\":[]}]})(props);\n};\nexport function TbUsersGroup (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 21v-1a2 2 0 0 1 2 -2h4a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10h2a2 2 0 0 1 2 2v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 5a2 2 0 1 0 4 0a2 2 0 0 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v-1a2 2 0 0 1 2 -2h2\"},\"child\":[]}]})(props);\n};\nexport function TbUsersMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-2a4 4 0 0 1 4 -4h4c.948 0 1.818 .33 2.504 .88\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3.13a4 4 0 0 1 0 7.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbUsersPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7a4 4 0 1 0 8 0a4 4 0 0 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-2a4 4 0 0 1 4 -4h4c.96 0 1.84 .338 2.53 .901\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3.13a4 4 0 0 1 0 7.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbUsers (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 7m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21v-2a4 4 0 0 1 4 -4h4a4 4 0 0 1 4 4v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3.13a4 4 0 0 1 0 7.75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21v-2a4 4 0 0 0 -3 -3.85\"},\"child\":[]}]})(props);\n};\nexport function TbUvIndex (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h1m16 0h1m-15.4 -6.4l.7 .7m12.1 -.7l-.7 .7m-9.7 5.7a4 4 0 1 1 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 16l2 5h1l2 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16v3a2 2 0 1 0 4 0v-3\"},\"child\":[]}]})(props);\n};\nexport function TbUxCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10v2a2 2 0 1 0 4 0v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10l3 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14l3 -4\"},\"child\":[]}]})(props);\n};\nexport function TbVaccineBottleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5v-1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.7 8.705a1.806 1.806 0 0 1 -.2 .045c-.866 .144 -1.5 .893 -1.5 1.77v8.48a2 2 0 0 0 2 2h6a2 2 0 0 0 2 -2v-2m0 -4v-2.48c0 -.877 -.634 -1.626 -1.5 -1.77a1.795 1.795 0 0 1 -1.5 -1.77v-.98\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h5m4 0h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVaccineBottle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3m0 1a1 1 0 0 1 1 -1h4a1 1 0 0 1 1 1v1a1 1 0 0 1 -1 1h-4a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v.98c0 .877 -.634 1.626 -1.5 1.77c-.866 .144 -1.5 .893 -1.5 1.77v8.48a2 2 0 0 0 2 2h6a2 2 0 0 0 2 -2v-8.48c0 -.877 -.634 -1.626 -1.5 -1.77a1.795 1.795 0 0 1 -1.5 -1.77v-.98\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 18h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 15h2\"},\"child\":[]}]})(props);\n};\nexport function TbVaccineOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5l-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 6.5l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 11.5l-.5 .5m-2 2l-4 4h-4v-4l4 -4m2 -2l.5 -.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 12.5l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVaccine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 5l-4.5 4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 6.5l6 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.5 11.5l-6.5 6.5h-4v-4l6.5 -6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7.5 12.5l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 9.5l1.5 1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbVacuumCleaner (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 1 -18 0a9 9 0 0 1 18 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 9a2 2 0 1 1 -4 0a2 2 0 0 1 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16h.01\"},\"child\":[]}]})(props);\n};\nexport function TbVariableMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16c1.5 0 3 -2 4 -3.5s2.5 -3.5 4 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4c-2.5 5 -2.5 10 0 16m14 -16c1.775 3.55 2.29 7.102 1.544 11.01m-11.544 -6.01h1c1 0 1 1 2.016 3.527c.782 1.966 .943 3 1.478 3.343\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16c1.5 0 3 -2 4 -3.5s2.5 -3.5 4 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbVariableOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.675 4.68c-2.17 4.776 -2.062 9.592 .325 15.32\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 4c1.959 3.917 2.383 7.834 1.272 12.232m-.983 3.051c-.093 .238 -.189 .477 -.289 .717\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.696 11.696c.095 .257 .2 .533 .32 .831c.984 2.473 .984 3.473 1.984 3.473h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16c1.5 0 3 -2 4 -3.5m2.022 -2.514c.629 -.582 1.304 -.986 1.978 -.986\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVariablePlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4c-2.5 5 -2.5 10 0 16m14 -16c1.38 2.76 2 5.52 1.855 8.448m-11.855 -3.448h1c1 0 1 1 2.016 3.527c.785 1.972 .944 3.008 1.483 3.346\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16c1.5 0 3 -2 4 -3.5s2.5 -3.5 4 -3.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbVariable (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 4c-2.5 5 -2.5 10 0 16m14 -16c2.5 5 2.5 10 0 16m-10 -11h1c1 0 1 1 2.016 3.527c.984 2.473 .984 3.473 1.984 3.473h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16c1.5 0 3 -2 4 -3.5s2.5 -3.5 4 -3.5\"},\"child\":[]}]})(props);\n};\nexport function TbVectorBezier2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19l7 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 19m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 5m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5.5a5 6.5 0 0 1 5 6.5a5 6.5 0 0 0 5 6.5\"},\"child\":[]}]})(props);\n};\nexport function TbVectorBezierArc (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10a5 5 0 0 0 -5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14a5 5 0 0 0 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10a5 5 0 0 1 5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbVectorBezierCircle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 10a5 5 0 0 0 -5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 14a5 5 0 0 1 -5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 14a5 5 0 0 0 5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 10a5 5 0 0 1 5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbVectorBezier (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 14m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 14m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8.5a6 6 0 0 0 -5 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8.5a6 6 0 0 1 5 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l-6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 8l-6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 8m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]}]})(props);\n};\nexport function TbVectorOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.68 6.733a1 1 0 0 1 -.68 .267h-2a1 1 0 0 1 -1 -1v-2c0 -.276 .112 -.527 .293 -.708\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.72 20.693a1 1 0 0 1 -.72 .307h-2a1 1 0 0 1 -1 -1v-2c0 -.282 .116 -.536 .304 -.718\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 5h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVectorSpline (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 5c-6.627 0 -12 5.373 -12 12\"},\"child\":[]}]})(props);\n};\nexport function TbVectorTriangleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6v-1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.705 20.709a1 1 0 0 1 -.705 .291h-2a1 1 0 0 1 -1 -1v-2c0 -.28 .115 -.532 .3 -.714\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17.1l3.749 -6.823\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.158 9.197l-.658 -1.197\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19h10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVectorTriangle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 17.1l5 -9.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 17.1l-5 -9.1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19l10 0\"},\"child\":[]}]})(props);\n};\nexport function TbVector (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 3m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17m0 1a1 1 0 0 1 1 -1h2a1 1 0 0 1 1 1v2a1 1 0 0 1 -1 1h-2a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 7l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 7l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 5l10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 19l10 0\"},\"child\":[]}]})(props);\n};\nexport function TbVenus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14l0 7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18l6 0\"},\"child\":[]}]})(props);\n};\nexport function TbVersionsOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.184 6.162a2 2 0 0 1 1.816 -1.162h6a2 2 0 0 1 2 2v9m-1.185 2.827a1.993 1.993 0 0 1 -.815 .173h-6a2 2 0 0 1 -2 -2v-7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVersions (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5m0 2a2 2 0 0 1 2 -2h6a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-6a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7l0 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8l0 8\"},\"child\":[]}]})(props);\n};\nexport function TbVideoMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l4.553 -2.276a1 1 0 0 1 1.447 .894v6.764a1 1 0 0 1 -1.447 .894l-4.553 -2.276v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l4 0\"},\"child\":[]}]})(props);\n};\nexport function TbVideoOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 11v-1l4.553 -2.276a1 1 0 0 1 1.447 .894v6.764a1 1 0 0 1 -.675 .946\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h3a2 2 0 0 1 2 2v3m0 4v1a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2v-8a2 2 0 0 1 2 -2h1\"},\"child\":[]}]})(props);\n};\nexport function TbVideoPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l4.553 -2.276a1 1 0 0 1 1.447 .894v6.764a1 1 0 0 1 -1.447 .894l-4.553 -2.276v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 10l0 4\"},\"child\":[]}]})(props);\n};\nexport function TbVideo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 10l4.553 -2.276a1 1 0 0 1 1.447 .894v6.764a1 1 0 0 1 -1.447 .894l-4.553 -2.276v-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6m0 2a2 2 0 0 1 2 -2h8a2 2 0 0 1 2 2v8a2 2 0 0 1 -2 2h-8a2 2 0 0 1 -2 -2z\"},\"child\":[]}]})(props);\n};\nexport function TbView360Arrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 15.328c2.414 -.718 4 -1.94 4 -3.328c0 -2.21 -4.03 -4 -9 -4s-9 1.79 -9 4s4.03 4 9 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13l3 3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbView360Number (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6a1 1 0 0 0 -1 -1h-2a1 1 0 0 0 -1 1v6a1 1 0 0 0 1 1h2a1 1 0 0 0 1 -1v-2a1 1 0 0 0 -1 -1h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h2.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-1.5h1.5a1.5 1.5 0 0 1 1.5 1.5v1a1.5 1.5 0 0 1 -1.5 1.5h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 7v4a2 2 0 1 0 4 0v-4a2 2 0 1 0 -4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16c0 1.657 4.03 3 9 3s9 -1.343 9 -3\"},\"child\":[]}]})(props);\n};\nexport function TbView360Off (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8.335 8.388a19 19 0 0 0 -.335 3.612c0 4.97 1.79 9 4 9c1.622 0 3.018 -2.172 3.646 -5.294m.354 -3.706c0 -4.97 -1.79 -9 -4 -9c-1.035 0 -1.979 .885 -2.689 2.337\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.65 5.623a9 9 0 1 0 12.71 12.745m1.684 -2.328a9 9 0 0 0 -12.094 -12.08\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.32 8.349c-3.136 .625 -5.32 2.025 -5.32 3.651c0 2.21 4.03 4 9 4c1.286 0 2.51 -.12 3.616 -.336m3.059 -.98c1.445 -.711 2.325 -1.653 2.325 -2.684c0 -2.21 -4.03 -4 -9 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbView360 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-4 0a4 9 0 1 0 8 0a4 9 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c0 2.21 4.03 4 9 4s9 -1.79 9 -4s-4.03 -4 -9 -4s-9 1.79 -9 4z\"},\"child\":[]}]})(props);\n};\nexport function TbViewfinderOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.65 5.623a9 9 0 1 0 12.71 12.745m1.684 -2.328a9 9 0 0 0 -12.094 -12.08\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21v-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbViewfinder (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l0 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12l-3 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12l0 .01\"},\"child\":[]}]})(props);\n};\nexport function TbViewportNarrow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h7l-3 -3m0 6l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-7l3 -3m0 6l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6v-3h6v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 18v3h6v-3\"},\"child\":[]}]})(props);\n};\nexport function TbViewportWide (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h-7l3 -3m0 6l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h7l-3 -3m0 6l3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v-3h18v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18v3h18v-3\"},\"child\":[]}]})(props);\n};\nexport function TbVinyl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 3.937a9 9 0 1 0 5 8.063\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 4l-3.5 10l-2.5 2\"},\"child\":[]}]})(props);\n};\nexport function TbVipOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h2m4 0h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9l2 6h1l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v-3h2a2 2 0 1 1 0 4h-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19h18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 9l2 6h1l2 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15v-6h2a2 2 0 1 1 0 4h-2\"},\"child\":[]}]})(props);\n};\nexport function TbVirusOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.469 8.46a5 5 0 0 0 7.058 7.084\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.913 12.936a5 5 0 0 0 -5.826 -5.853\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 8.464l2.828 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 4.929l1.414 1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.364 18.363l-.707 .707\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.465 15.536l-2.829 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 19.071l-1.413 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5.636 5.637l-.707 .707\"},\"child\":[]}]})(props);\n};\nexport function TbVirusSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12a5 5 0 1 0 -5 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 8.464l2.828 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 4.929l1.414 1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.465 15.536l-2.829 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 19.071l-1.413 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.464 8.464l-2.828 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 6.343l1.414 -1.413\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.5 17.5m-2.5 0a2.5 2.5 0 1 0 5 0a2.5 2.5 0 1 0 -5 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 19.5l2.5 2.5\"},\"child\":[]}]})(props);\n};\nexport function TbVirus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 3h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.536 8.464l2.828 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.657 4.929l1.414 1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 12h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 11v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.535 15.536l2.829 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.071 17.657l-1.414 1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 17v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 21h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.465 15.536l-2.829 2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 19.071l-1.413 -1.414\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 13v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.464 8.464l-2.828 -2.828\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.929 6.343l1.414 -1.413\"},\"child\":[]}]})(props);\n};\nexport function TbVocabularyOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M7 3h3a2 2 0 0 1 2 2a2 2 0 0 1 2 -2h6a1 1 0 0 1 1 1v13m-2 2h-5a2 2 0 0 0 -2 2a2 2 0 0 0 -2 -2h-6a1 1 0 0 1 -1 -1v-14c0 -.279 .114 -.53 .298 -.712\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v3m0 4v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVocabulary (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 19h-6a1 1 0 0 1 -1 -1v-14a1 1 0 0 1 1 -1h6a2 2 0 0 1 2 2a2 2 0 0 1 2 -2h6a1 1 0 0 1 1 1v14a1 1 0 0 1 -1 1h-6a2 2 0 0 0 -2 2a2 2 0 0 0 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5v16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 11h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 11h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 15h1\"},\"child\":[]}]})(props);\n};\nexport function TbVolcano (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8v-1a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8v-1a2 2 0 1 1 4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l3.472 -7.812a2 2 0 0 1 1.828 -1.188h5.4a2 2 0 0 1 1.828 1.188l3.472 7.812\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.192 15.064a2.14 2.14 0 0 1 .475 -.064c.527 -.009 1.026 .178 1.333 .5c.307 .32 .806 .507 1.333 .5c.527 .007 1.026 -.18 1.334 -.5c.307 -.322 .806 -.509 1.333 -.5c.527 -.009 1.026 .178 1.333 .5c.308 .32 .807 .507 1.334 .5c.527 .007 1.026 -.18 1.333 -.5c.307 -.322 .806 -.509 1.333 -.5c.161 .003 .32 .025 .472 .064\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v-4\"},\"child\":[]}]})(props);\n};\nexport function TbVolume2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8a5 5 0 0 1 0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h-2a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2l3.5 -4.5a.8 .8 0 0 1 1.5 .5v14a.8 .8 0 0 1 -1.5 .5l-3.5 -4.5\"},\"child\":[]}]})(props);\n};\nexport function TbVolume3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h-2a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2l3.5 -4.5a.8 .8 0 0 1 1.5 .5v14a.8 .8 0 0 1 -1.5 .5l-3.5 -4.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 10l4 4m0 -4l-4 4\"},\"child\":[]}]})(props);\n};\nexport function TbVolumeOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8a5 5 0 0 1 1.912 4.934m-1.377 2.602a5 5 0 0 1 -.535 .464\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.7 5a9 9 0 0 1 2.362 11.086m-1.676 2.299a9 9 0 0 1 -.686 .615\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.069 5.054l.431 -.554a.8 .8 0 0 1 1.5 .5v2m0 4v8a.8 .8 0 0 1 -1.5 .5l-3.5 -4.5h-2a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2l1.294 -1.664\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbVolume (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8a5 5 0 0 1 0 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.7 5a9 9 0 0 1 0 14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 15h-2a1 1 0 0 1 -1 -1v-4a1 1 0 0 1 1 -1h2l3.5 -4.5a.8 .8 0 0 1 1.5 .5v14a.8 .8 0 0 1 -1.5 .5l-3.5 -4.5\"},\"child\":[]}]})(props);\n};\nexport function TbVs (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M2 12c0 5.523 4.477 10 10 10s10 -4.477 10 -10s-4.477 -10 -10 -10s-10 4.477 -10 10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14.25c0 .414 .336 .75 .75 .75h1.25a1 1 0 0 0 1 -1v-1a1 1 0 0 0 -1 -1h-1a1 1 0 0 1 -1 -1v-1a1 1 0 0 1 1 -1h1.25a.75 .75 0 0 1 .75 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 9l2 6l2 -6\"},\"child\":[]}]})(props);\n};\nexport function TbWalk (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 21l3 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 21l-2 -4l-3 -3l1 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12l2 -3l4 -1l3 3l3 1\"},\"child\":[]}]})(props);\n};\nexport function TbWallOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 4h10a2 2 0 0 1 2 2v10m-.589 3.417c-.361 .36 -.86 .583 -1.411 .583h-12a2 2 0 0 1 -2 -2v-12c0 -.55 .222 -1.047 .58 -1.409\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h4m4 0h8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h-4m-4 0h-8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4m0 2a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12h-16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 16v4\"},\"child\":[]}]})(props);\n};\nexport function TbWalletOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v-3a1 1 0 0 0 -1 -1h-8m-3.413 .584a2 2 0 0 0 1.413 3.416h2m4 0h6a1 1 0 0 1 1 1v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a1 1 0 0 1 -1 1h-12a2 2 0 0 1 -2 -2v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h4v4m-4 0a2 2 0 0 1 -2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWallet (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8v-3a1 1 0 0 0 -1 -1h-10a2 2 0 0 0 0 4h12a1 1 0 0 1 1 1v3m0 4v3a1 1 0 0 1 -1 1h-12a2 2 0 0 1 -2 -2v-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 12v4h-4a2 2 0 0 1 0 -4h4\"},\"child\":[]}]})(props);\n};\nexport function TbWallpaperOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 6h8a2 2 0 0 1 2 2v8m-.58 3.409a2 2 0 0 1 -1.42 .591h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v-10m-3.427 -3.402c-.353 .362 -.573 .856 -.573 1.402v12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWallpaper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h10a2 2 0 0 1 2 2v10a2 2 0 0 1 -2 2h-12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 18v-12a2 2 0 1 0 -4 0v12\"},\"child\":[]}]})(props);\n};\nexport function TbWandOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10.5 10.5l-7.5 7.5l3 3l7.5 -7.5m2 -2l5.5 -5.5l-3 -3l-5.5 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8.433 4.395c.35 -.36 .567 -.852 .567 -1.395a2 2 0 0 0 2 2c-.554 0 -1.055 .225 -1.417 .589\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18.418 14.41c.36 -.36 .582 -.86 .582 -1.41a2 2 0 0 0 2 2c-.555 0 -1.056 .226 -1.419 .59\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 21l15 -15l-3 -3l-15 15l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 6l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 3a2 2 0 0 0 2 2a2 2 0 0 0 -2 2a2 2 0 0 0 -2 -2a2 2 0 0 0 2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13a2 2 0 0 0 2 2a2 2 0 0 0 -2 2a2 2 0 0 0 -2 -2a2 2 0 0 0 2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbWashDry1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashDry2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashDry3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 16v-4.8c0 -1.657 1.343 -3.2 3 -3.2s3 1.543 3 3.2v4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h-6\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryDip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7v10\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 7v10\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryF (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h-3\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryFlat (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3v-12z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 12h10\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryHang (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4.01c5.333 5.323 10.667 5.32 16 -.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.116 20.127a2.99 2.99 0 0 1 -2.116 .873h-12a3 3 0 0 1 -3 -3v-12c0 -.827 .335 -1.576 .877 -2.12m3.123 -.88h11a3 3 0 0 1 3 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryP (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v-8h2.5a2.5 2.5 0 1 1 0 5h-2.5\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryShade (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11l8 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l14 -14\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryW (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l1.5 8h1l1.5 -6l1.5 6h1l1.5 -8\"},\"child\":[]}]})(props);\n};\nexport function TbWashDry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]}]})(props);\n};\nexport function TbWashDrycleanOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.048 16.033a9 9 0 0 0 -12.094 -12.075m-2.321 1.682a9 9 0 0 0 12.733 12.723\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWashDryclean (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]}]})(props);\n};\nexport function TbWashEco (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h5.306m8.162 -6.972l.838 -5.028\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22s0 -2 3 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21a3 3 0 0 1 0 -6h3v3a3 3 0 0 1 -3 3z\"},\"child\":[]}]})(props);\n};\nexport function TbWashGentle (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 5.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 18h14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 21h14\"},\"child\":[]}]})(props);\n};\nexport function TbWashHand (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.426 -.296 .777 -.5 1.5 -.5h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l.615 .034c.552 .067 1.046 .23 1.385 .466c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 10.5l.586 .578a1.516 1.516 0 0 0 2 0c.476 -.433 .55 -1.112 .176 -1.622l-1.762 -2.456c-.37 -.506 -1.331 -1 -2 -1h-3.117a1 1 0 0 0 -.992 .876l-.499 3.986a3.857 3.857 0 0 0 2.608 4.138a2.28 2.28 0 0 0 3 -2.162v-2.338z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]}]})(props);\n};\nexport function TbWashMachine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3m0 2a2 2 0 0 1 2 -2h10a2 2 0 0 1 2 2v14a2 2 0 0 1 -2 2h-10a2 2 0 0 1 -2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 6h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 14c1.333 -.667 2.667 -.667 4 0c1.333 .667 2.667 .667 4 0\"},\"child\":[]}]})(props);\n};\nexport function TbWashOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612c.208 0 .41 -.032 .6 -.092m1.521 -2.472l1.573 -9.436\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5m4.92 .919c.428 -.083 .805 -.227 1.08 -.418c.461 -.322 1.21 -.508 2 -.5c.79 -.008 1.539 .178 2 .5c.461 .32 1.21 .508 2 .5c.17 0 .339 -.015 .503 -.035\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWashPress (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 7.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 5l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20h14\"},\"child\":[]}]})(props);\n};\nexport function TbWashTemperature1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashTemperature2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13h.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashTemperature3 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 13h.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashTemperature4 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12h.01\"},\"child\":[]}]})(props);\n};\nexport function TbWashTemperature5 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]}]})(props);\n};\nexport function TbWashTemperature6 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12h.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]}]})(props);\n};\nexport function TbWashTumbleDry (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3m0 3a3 3 0 0 1 3 -3h12a3 3 0 0 1 3 3v12a3 3 0 0 1 -3 3h-12a3 3 0 0 1 -3 -3z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]}]})(props);\n};\nexport function TbWashTumbleOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.116 20.127a2.99 2.99 0 0 1 -2.116 .873h-12a3 3 0 0 1 -3 -3v-12c0 -.827 .335 -1.576 .877 -2.12m3.123 -.88h11a3 3 0 0 1 3 3v11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.744 13.74a6 6 0 0 0 -7.486 -7.482m-2.499 1.497a6 6 0 1 0 8.48 8.49\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3.486 8.965c.168 .02 .34 .033 .514 .035c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.79 .009 1.539 -.178 2 -.5c.461 -.32 1.21 -.507 2 -.5c.79 -.007 1.539 .18 2 .5c.461 .322 1.21 .509 2 .5c.17 0 .339 -.014 .503 -.034\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6l1.721 10.329a2 2 0 0 0 1.973 1.671h10.612a2 2 0 0 0 1.973 -1.671l1.721 -10.329\"},\"child\":[]}]})(props);\n};\nexport function TbWaterpolo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 9a1 1 0 1 0 2 0a1 1 0 0 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8l3 4l4.5 1l7.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 18.75a2.4 2.4 0 0 0 1 .25a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 2 -1a2.4 2.4 0 0 1 2 -1a2.4 2.4 0 0 1 2 1a2.4 2.4 0 0 0 2 1a2.4 2.4 0 0 0 1 -.25\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 16l.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 5a.5 .5 0 1 0 0 -1a.5 .5 0 0 0 0 1z\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbWaveSawTool (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h5l4 8v-16l4 8h5\"},\"child\":[]}]})(props);\n};\nexport function TbWaveSine (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12h-2c-.894 0 -1.662 -.857 -1.761 -2c-.296 -3.45 -.749 -6 -2.749 -6s-2.5 3.582 -2.5 8s-.5 8 -2.5 8s-2.452 -2.547 -2.749 -6c-.1 -1.147 -.867 -2 -1.763 -2h-2\"},\"child\":[]}]})(props);\n};\nexport function TbWaveSquare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h5v8h4v-16h4v8h5\"},\"child\":[]}]})(props);\n};\nexport function TbWavesElectricity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12c.576 -.643 1.512 -1.017 2.5 -1c.988 -.017 1.924 .357 2.5 1c.576 .643 1.512 1.017 2.5 1c.988 .017 1.924 -.357 2.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16c.576 -.643 1.512 -1.017 2.5 -1c.988 -.017 1.924 .357 2.5 1c.576 .643 1.512 1.017 2.5 1c.988 .017 1.924 -.357 2.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8c.576 -.643 1.512 -1.017 2.5 -1c.988 -.017 1.924 .357 2.5 1c.576 .643 1.512 1.017 2.5 1c.988 .017 1.924 -.357 2.5 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-3 5h4l-3 5\"},\"child\":[]}]})(props);\n};\nexport function TbWebhookOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.876 13.61a4 4 0 1 0 6.124 3.39h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.066 20.502a4 4 0 0 0 4.763 -.675m1.171 -2.827a4 4 0 0 0 -4 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8a4 4 0 0 0 -6.824 -2.833m-1.176 2.833c0 1.506 .77 2.818 2 3.5l-3 5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWebhook (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4.876 13.61a4 4 0 1 0 6.124 3.39h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.066 20.502a4 4 0 1 0 1.934 -7.502c-.706 0 -1.424 .179 -2 .5l-3 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8a4 4 0 1 0 -8 0c0 1.506 .77 2.818 2 3.5l-3 5.5\"},\"child\":[]}]})(props);\n};\nexport function TbWeight (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.835 9h10.33a1 1 0 0 1 .984 .821l1.637 9a1 1 0 0 1 -.984 1.179h-13.604a1 1 0 0 1 -.984 -1.179l1.637 -9a1 1 0 0 1 .984 -.821z\"},\"child\":[]}]})(props);\n};\nexport function TbWheel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 12h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.6 9.4l3.4 -4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10.4 14.6l-3.4 4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4.6l3.4 4.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13.6 14.6l3.4 4.8\"},\"child\":[]}]})(props);\n};\nexport function TbWheelchairOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.582 17.59a2 2 0 0 0 2.833 2.824\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h-1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h2m4 0h5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWheelchair (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 17a3 3 0 0 0 -3 -3h-3.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3h1a2 2 0 0 1 2 2v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8h11\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8v6\"},\"child\":[]}]})(props);\n};\nexport function TbWhirl (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12a2 2 0 1 0 -4 0a2 2 0 0 0 4 0z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21c-3.314 0 -6 -2.462 -6 -5.5s2.686 -5.5 6 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12c0 3.314 -2.462 6 -5.5 6s-5.5 -2.686 -5.5 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 14c3.314 0 6 -2.462 6 -5.5s-2.686 -5.5 -6 -5.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 12c0 -3.314 -2.462 -6 -5.5 -6s-5.5 2.686 -5.5 6\"},\"child\":[]}]})(props);\n};\nexport function TbWifi0 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l.01 0\"},\"child\":[]}]})(props);\n};\nexport function TbWifi1 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 15.172a4 4 0 0 1 5.656 0\"},\"child\":[]}]})(props);\n};\nexport function TbWifi2 (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 15.172a4 4 0 0 1 5.656 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 12.343a8 8 0 0 1 11.314 0\"},\"child\":[]}]})(props);\n};\nexport function TbWifiOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 15.172a4 4 0 0 1 5.656 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 12.343a7.963 7.963 0 0 1 3.864 -2.14m4.163 .155a7.965 7.965 0 0 1 3.287 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.515 9.515a12 12 0 0 1 3.544 -2.455m3.101 -.92a12 12 0 0 1 10.325 3.374\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWifi (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l.01 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.172 15.172a4 4 0 0 1 5.656 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.343 12.343a8 8 0 0 1 11.314 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.515 9.515c4.686 -4.687 12.284 -4.687 17 0\"},\"child\":[]}]})(props);\n};\nexport function TbWindElectricity (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 7l-3 5h4l-3 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16h4a2 2 0 1 1 0 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h8a2 2 0 1 0 0 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8h3a2 2 0 1 0 0 -4\"},\"child\":[]}]})(props);\n};\nexport function TbWindOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h3m4 0h1.5a2.5 2.5 0 1 0 -2.34 -3.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 12h2.5a2.5 2.5 0 0 1 1.801 4.282\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h5.5a2.5 2.5 0 1 1 -2.34 3.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWind (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 8h8.5a2.5 2.5 0 1 0 -2.34 -3.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12h15.5a2.5 2.5 0 1 1 -2.34 3.24\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16h5.5a2.5 2.5 0 1 1 -2.34 3.24\"},\"child\":[]}]})(props);\n};\nexport function TbWindmillOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.061 11.06c1.18 -.824 1.939 -2.11 1.939 -3.56c0 -2.49 -2.24 -4.5 -5 -4.5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c0 2.76 2.01 5 4.5 5c.166 0 .33 -.01 .49 -.03m2.624 -1.36c.856 -.91 1.386 -2.19 1.386 -3.61h-5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c-2.76 0 -5 2.01 -5 4.5s2.24 4.5 5 4.5v-9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.981 7.033c-2.244 .285 -3.981 2.402 -3.981 4.967h9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWindmill (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c2.76 0 5 -2.01 5 -4.5s-2.24 -4.5 -5 -4.5v9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c0 2.76 2.01 5 4.5 5s4.5 -2.24 4.5 -5h-9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c-2.76 0 -5 2.01 -5 4.5s2.24 4.5 5 4.5v-9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12c0 -2.76 -2.01 -5 -4.5 -5s-4.5 2.24 -4.5 5h9z\"},\"child\":[]}]})(props);\n};\nexport function TbWindowMaximize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16m0 1a1 1 0 0 1 1 -1h3a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-3a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8h4v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 8l-5 5\"},\"child\":[]}]})(props);\n};\nexport function TbWindowMinimize (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16m0 1a1 1 0 0 1 1 -1h3a1 1 0 0 1 1 1v3a1 1 0 0 1 -1 1h-3a1 1 0 0 1 -1 -1z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12v-6a2 2 0 0 1 2 -2h12a2 2 0 0 1 2 2v12a2 2 0 0 1 -2 2h-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13h-4v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 13l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbWindowOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6.166 6.19a6.903 6.903 0 0 0 -1.166 3.81v10a1 1 0 0 0 1 1h12a1 1 0 0 0 1 -1v-1m0 -4v-5c0 -3.728 -3.134 -7 -7 -7a6.86 6.86 0 0 0 -3.804 1.158\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13h8m4 0h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3v5m0 4v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWindow (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3c-3.866 0 -7 3.272 -7 7v10a1 1 0 0 0 1 1h12a1 1 0 0 0 1 -1v-10c0 -3.728 -3.134 -7 -7 -7z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 13l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 18\"},\"child\":[]}]})(props);\n};\nexport function TbWindsock (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3v18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 11l12 -1v-4l-12 -1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 5.5v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 6v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 21h4\"},\"child\":[]}]})(props);\n};\nexport function TbWiperWash (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11l5.5 5.5a5 5 0 0 1 7 0l5.5 -5.5a12 12 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 20l0 -14\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 6a4 4 0 0 1 .4 -1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 2.1a4 4 0 0 1 2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a4 4 0 0 0 -.4 -1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 6a4 4 0 0 1 .4 -1.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 2.1a4 4 0 0 1 2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 6a4 4 0 0 0 -.4 -1.8\"},\"child\":[]}]})(props);\n};\nexport function TbWiper (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 9l5.5 5.5a5 5 0 0 1 7 0l5.5 -5.5a12 12 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 18l-2.2 -12.8\"},\"child\":[]}]})(props);\n};\nexport function TbWoman (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 16h8l-2 -7h-4z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 11c1.667 -1.333 3.333 -2 5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11c-1.667 -1.333 -3.333 -2 -5 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]}]})(props);\n};\nexport function TbWood (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5.5m-6 0a6 2.5 0 1 0 12 0a6 2.5 0 1 0 -12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 5.5v4.626a1.415 1.415 0 0 1 1.683 2.18l-.097 .108l-1.586 1.586v4c0 1.61 -2.54 2.925 -5.725 3l-.275 0c-3.314 0 -6 -1.343 -6 -3v-2l-1.586 -1.586a1.414 1.414 0 0 1 1.586 -2.287v-6.627\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 12.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 16v1\"},\"child\":[]}]})(props);\n};\nexport function TbWorldBolt (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.985 12.52a9 9 0 1 0 -7.52 8.36\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h10.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3c2.313 3.706 3.07 7.856 2.27 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16l-2 3h4l-2 3\"},\"child\":[]}]})(props);\n};\nexport function TbWorldCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -8.985 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h9.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.991 16.991 0 0 1 2.53 10.275\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 21l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbWorldCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.946 12.99a9 9 0 1 0 -9.46 7.995\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h13.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.997 16.997 0 0 1 2.311 12.001\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 19l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbWorldCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.942 13.02a9 9 0 1 0 -9.47 7.964\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h9.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3c2 3.206 2.837 6.913 2.508 10.537\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 21l2 -2l-2 -2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2 2l2 2\"},\"child\":[]}]})(props);\n};\nexport function TbWorldCog (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -8.979 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h8.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.992 16.992 0 0 1 2.522 10.376\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 19m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 15.5v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.001 21v1.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22.032 17.25l-1.299 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.27 20l-1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15.97 17.25l1.3 .75\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.733 20l1.3 .75\"},\"child\":[]}]})(props);\n};\nexport function TbWorldDollar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.876 10.51a9 9 0 1 0 -7.839 10.43\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h9.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.986 16.986 0 0 1 2.578 9.02\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 15h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 21v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbWorldDown (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.986 12.509a9 9 0 1 0 -8.455 8.476\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h10.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3c2.313 3.706 3.07 7.857 2.27 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 3l-3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbWorldDownload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h8.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.578 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3c1.719 2.755 2.5 5.876 2.5 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 14v7m-3 -3l3 3l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbWorldExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.986 12.51a9 9 0 1 0 -5.71 7.873\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h10.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a17 17 0 0 1 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]}]})(props);\n};\nexport function TbWorldHeart (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9.679 8.974\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h6.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.983 16.983 0 0 1 2.556 8.136\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 22l3.35 -3.284a2.143 2.143 0 0 0 .005 -3.071a2.242 2.242 0 0 0 -3.129 -.006l-.224 .22l-.223 -.22a2.242 2.242 0 0 0 -3.128 -.006a2.143 2.143 0 0 0 -.006 3.071l3.355 3.296z\"},\"child\":[]}]})(props);\n};\nexport function TbWorldLatitude (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.6 7l14.8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12l18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4.6 17l14.8 0\"},\"child\":[]}]})(props);\n};\nexport function TbWorldLongitude (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a11.2 11.2 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a11.2 11.2 0 0 1 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3l0 18\"},\"child\":[]}]})(props);\n};\nexport function TbWorldMinus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.483 15.006a9 9 0 1 0 -7.958 5.978\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.94 16.94 0 0 1 2.307 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]}]})(props);\n};\nexport function TbWorldOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5.657 5.615a9 9 0 1 0 12.717 12.739m1.672 -2.322a9 9 0 0 0 -12.066 -12.084\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h5.4m4 0h7.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h11.4m4 0h1.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17.001 17.001 0 0 0 -1.493 3.022m-.847 3.145c-.68 4.027 .1 8.244 2.34 11.833\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.982 16.982 0 0 1 2.549 8.005m-.207 3.818a16.979 16.979 0 0 1 -2.342 6.177\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWorldPause (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.945 12.997a9 9 0 1 0 -7.928 7.945\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h9.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.992 16.992 0 0 1 2.51 10.526\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 17v5\"},\"child\":[]}]})(props);\n};\nexport function TbWorldPin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.972 11.291a9 9 0 1 0 -8.322 9.686\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h8.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.986 16.986 0 0 1 2.578 9.018\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21.121 20.121a3 3 0 1 0 -4.242 0c.418 .419 1.125 1.045 2.121 1.879c1.051 -.89 1.759 -1.516 2.121 -1.879z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 18v.01\"},\"child\":[]}]})(props);\n};\nexport function TbWorldPlus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.985 12.518a9 9 0 1 0 -8.45 8.466\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h11.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.998 16.998 0 0 1 2.283 12.157\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 19h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 16v6\"},\"child\":[]}]})(props);\n};\nexport function TbWorldQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.975 11.33a9 9 0 1 0 -5.673 9.043\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h9.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.988 16.988 0 0 1 2.57 9.518m-1.056 5.403a17 17 0 0 1 -1.514 3.079\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 19a2.003 2.003 0 0 0 .914 -3.782a1.98 1.98 0 0 0 -2.414 .483\"},\"child\":[]}]})(props);\n};\nexport function TbWorldSearch (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h7.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.984 16.984 0 0 1 2.574 8.62\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 18m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20.2 20.2l1.8 1.8\"},\"child\":[]}]})(props);\n};\nexport function TbWorldShare (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.94 13.045a9 9 0 1 0 -8.953 7.955\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h9.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.991 16.991 0 0 1 2.529 10.294\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 22l5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21.5v-4.5h-4.5\"},\"child\":[]}]})(props);\n};\nexport function TbWorldStar (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9.968 8.948\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h6.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17.001 17.001 0 0 0 -1.886 13.802\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.982 16.982 0 0 1 2.549 8.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17.8 20.817l-2.172 1.138a.392 .392 0 0 1 -.568 -.41l.415 -2.411l-1.757 -1.707a.389 .389 0 0 1 .217 -.665l2.428 -.352l1.086 -2.193a.392 .392 0 0 1 .702 0l1.086 2.193l2.428 .352a.39 .39 0 0 1 .217 .665l-1.757 1.707l.414 2.41a.39 .39 0 0 1 -.567 .411l-2.172 -1.138z\"},\"child\":[]}]})(props);\n};\nexport function TbWorldUp (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.985 12.52a9 9 0 1 0 -8.451 8.463\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h10.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.996 16.996 0 0 1 2.391 11.512\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 22v-6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 19l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbWorldUpload (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a9 9 0 1 0 -9 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h8.4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.578 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3c1.719 2.755 2.5 5.876 2.5 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 21v-7m3 3l-3 -3l-3 3\"},\"child\":[]}]})(props);\n};\nexport function TbWorldWww (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 7a9 9 0 0 0 -7.5 -4a8.991 8.991 0 0 0 -7.484 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a16.989 16.989 0 0 0 -1.826 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.989 16.989 0 0 1 1.828 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19.5 17a9 9 0 0 1 -7.5 4a8.991 8.991 0 0 1 -7.484 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 21a16.989 16.989 0 0 1 -1.826 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 21a16.989 16.989 0 0 0 1.828 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M2 10l1 4l1.5 -4l1.5 4l1 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 10l1 4l1.5 -4l1.5 4l1 -4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9.5 10l1 4l1.5 -4l1.5 4l1 -4\"},\"child\":[]}]})(props);\n};\nexport function TbWorldX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20.929 13.131a9 9 0 1 0 -8.931 7.869\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h9.9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a16.992 16.992 0 0 1 2.505 10.573\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-5 -5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 22l5 -5\"},\"child\":[]}]})(props);\n};\nexport function TbWorld (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a9 9 0 1 0 18 0a9 9 0 0 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 9h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.6 15h16.8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11.5 3a17 17 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12.5 3a17 17 0 0 1 0 18\"},\"child\":[]}]})(props);\n};\nexport function TbWreckingBall (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M19 13m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17m-2 0a2 2 0 1 0 4 0a2 2 0 1 0 -4 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 19l-9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 15l9 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 12v-5h2a3 3 0 0 1 3 3v5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 15v-2a1 1 0 0 1 1 -1h7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 11v-7l-6 7\"},\"child\":[]}]})(props);\n};\nexport function TbWritingOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v1l2 2l.5 -.5m1.5 -2.5v-11c0 -1.121 -.879 -2 -2 -2s-2 .879 -2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19h-13a2 2 0 1 1 0 -4h4a2 2 0 1 0 0 -4h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWritingSignOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19c3.333 -2 5 -4 5 -6c0 -3 -1 -3 -2 -3s-2.032 1.085 -2 3c.034 2.048 1.658 2.877 2.5 4c1.5 2 2.5 2.5 3.5 1c.667 -1 1.167 -1.833 1.5 -2.5c1 2.333 2.333 3.5 4 3.5h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v1l2 2l.5 -.5m1.5 -2.5v-11c0 -1.121 -.879 -2 -2 -2s-2 .879 -2 2v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbWritingSign (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 19c3.333 -2 5 -4 5 -6c0 -3 -1 -3 -2 -3s-2.032 1.085 -2 3c.034 2.048 1.658 2.877 2.5 4c1.5 2 2.5 2.5 3.5 1c.667 -1 1.167 -1.833 1.5 -2.5c1 2.333 2.333 3.5 4 3.5h2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17v-12c0 -1.121 -.879 -2 -2 -2s-2 .879 -2 2v12l2 2l2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h4\"},\"child\":[]}]})(props);\n};\nexport function TbWriting (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M20 17v-12c0 -1.121 -.879 -2 -2 -2s-2 .879 -2 2v12l2 2l2 -2z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 7h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 19h-13a2 2 0 1 1 0 -4h4a2 2 0 1 0 0 -4h-3\"},\"child\":[]}]})(props);\n};\nexport function TbX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M18 6l-12 12\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 6l12 12\"},\"child\":[]}]})(props);\n};\nexport function TbXboxA (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 16l-3 -8l-3 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 14h-4\"},\"child\":[]}]})(props);\n};\nexport function TbXboxB (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12a2 2 0 1 1 0 4h-3v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12h-3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 12a2 2 0 1 0 0 -4h-3v4\"},\"child\":[]}]})(props);\n};\nexport function TbXboxX (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l6 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-6 8\"},\"child\":[]}]})(props);\n};\nexport function TbXboxY (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a9 9 0 0 0 9 -9a9 9 0 0 0 -9 -9a9 9 0 0 0 -9 9a9 9 0 0 0 9 9z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 8l3 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 8l-2.988 3.984l-.012 4.016\"},\"child\":[]}]})(props);\n};\nexport function TbXd (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16l4 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 8v8h2a2 2 0 0 0 2 -2v-4a2 2 0 0 0 -2 -2h-2z\"},\"child\":[]}]})(props);\n};\nexport function TbXxx (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 16l4 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 16l4 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 8l4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16l4 -8\"},\"child\":[]}]})(props);\n};\nexport function TbYinYang (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 12m-9 0a9 9 0 1 0 18 0a9 9 0 1 0 -18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 3a4.5 4.5 0 0 0 0 9a4.5 4.5 0 0 1 0 9\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"7.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]},{\"tag\":\"circle\",\"attr\":{\"cx\":\"12\",\"cy\":\"16.5\",\"r\":\".5\",\"fill\":\"currentColor\"},\"child\":[]}]})(props);\n};\nexport function TbYoga (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 4m-1 0a1 1 0 1 0 2 0a1 1 0 1 0 -2 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20h4l1.5 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 20l-1 -5h-5l1 -7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l4 -1l4 -1l4 1.5l4 1.5\"},\"child\":[]}]})(props);\n};\nexport function TbZeppelinOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15.773 15.783c-.723 .141 -1.486 .217 -2.273 .217c-2.13 0 -4.584 -.926 -7.364 -2.777l-2.136 1.777v-3.33a46.07 46.07 0 0 1 -2 -1.67a46.07 46.07 0 0 1 2 -1.67v-3.33l2.135 1.778c.13 -.087 .261 -.172 .39 -.256m2.564 -1.42c1.601 -.735 3.071 -1.102 4.411 -1.102c4.694 0 8.5 2.686 8.5 6c0 1.919 -1.276 3.627 -3.261 4.725\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15.5v4.5h6v-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbZeppelin (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13.5 4c4.694 0 8.5 2.686 8.5 6s-3.806 6 -8.5 6c-2.13 0 -4.584 -.926 -7.364 -2.777l-2.136 1.777v-3.33a46.07 46.07 0 0 1 -2 -1.67a46.07 46.07 0 0 1 2 -1.67v-3.33l2.135 1.778c2.78 -1.852 5.235 -2.778 7.365 -2.778z\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 15.5v4.5h6v-4\"},\"child\":[]}]})(props);\n};\nexport function TbZip (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16v-8h2a2 2 0 1 1 0 4h-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8v8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8h4l-4 8h4\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacAquarius (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 10l3 -3l3 3l3 -3l3 3l3 -3l3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 17l3 -3l3 3l3 -3l3 3l3 -3l3 3\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacAries (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M12 5a5 5 0 1 0 -4 8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 13a5 5 0 1 0 -4 -8\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21l0 -16\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacCancer (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M18 12m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 12a10 6.5 0 0 1 14 -6.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 12a10 6.5 0 0 1 -14 6.5\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacCapricorn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 4a3 3 0 0 1 3 3v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7a3 3 0 0 1 6 0v11a3 3 0 0 1 -3 3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 17m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacGemini (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3a21 21 0 0 0 18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 21a21 21 0 0 1 18 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 4.5l0 15\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4.5l0 15\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacLeo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 17a4 4 0 1 0 8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 16m-3 0a3 3 0 1 0 6 0a3 3 0 1 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M11 7m-4 0a4 4 0 1 0 8 0a4 4 0 1 0 -8 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 7c0 3 2 5 2 9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 7c0 4 -2 6 -2 10\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacLibra (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 20l14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 17h5v-.3a7 7 0 1 1 4 0v.3h5\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacPisces (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M5 3a21 21 0 0 1 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M19 3a21 21 0 0 0 0 18\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 12l14 0\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacSagittarius (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 20l16 -16\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 4h7v7\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6.5 12.5l5 5\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacScorpio (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4a2 2 0 0 1 2 2v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6a2 2 0 0 1 4 0v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6a2 2 0 0 1 4 0v10a3 3 0 0 0 3 3h5l-3 -3m0 6l3 -3\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacTaurus (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M6 3a6 6 0 0 0 12 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 15m-6 0a6 6 0 1 0 12 0a6 6 0 1 0 -12 0\"},\"child\":[]}]})(props);\n};\nexport function TbZodiacVirgo (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4a2 2 0 0 1 2 2v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M5 6a2 2 0 0 1 4 0v9\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M9 6a2 2 0 0 1 4 0v10a7 5 0 0 0 7 5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 21a7 5 0 0 0 7 -5v-2a3 3 0 0 0 -6 0\"},\"child\":[]}]})(props);\n};\nexport function TbZoomCancel (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l-4 4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbZoomCheck (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l2 2l4 -4\"},\"child\":[]}]})(props);\n};\nexport function TbZoomCode (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 8l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 8l2 2l-2 2\"},\"child\":[]}]})(props);\n};\nexport function TbZoomExclamation (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13v.01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7v3\"},\"child\":[]}]})(props);\n};\nexport function TbZoomInArea (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M15 13v4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h-1a2 2 0 0 1 -2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v-1a2 2 0 0 1 2 -2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h1a2 2 0 0 1 2 2v1\"},\"child\":[]}]})(props);\n};\nexport function TbZoomIn (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 7l0 6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbZoomMoney (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M12 7h-2.5a1.5 1.5 0 0 0 0 3h1a1.5 1.5 0 0 1 0 3h-2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13v1m0 -8v1\"},\"child\":[]}]})(props);\n};\nexport function TbZoomOutArea (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M13 15h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 15m-5 0a5 5 0 1 0 10 0a5 5 0 1 0 -10 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M22 22l-3 -3\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M6 18h-1a2 2 0 0 1 -2 -2v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 11v-1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 6v-1a2 2 0 0 1 2 -2h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 3h1\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M15 3h1a2 2 0 0 1 2 2v1\"},\"child\":[]}]})(props);\n};\nexport function TbZoomOut (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M7 10l6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbZoomPan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M9 12a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 17l-2.5 -2.5\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 4l2 -2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M20 10l2 2l-2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 10l-2 2l2 2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 20l2 2l2 -2\"},\"child\":[]}]})(props);\n};\nexport function TbZoomQuestion (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 13l0 .01\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10a1.5 1.5 0 1 0 -1.14 -2.474\"},\"child\":[]}]})(props);\n};\nexport function TbZoomReplace (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.291 8a7 7 0 0 1 5.077 -4.806a7.021 7.021 0 0 1 8.242 4.403\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M17 4v4h-4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16.705 12a7 7 0 0 1 -5.074 4.798a7.021 7.021 0 0 1 -8.241 -4.403\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 16v-4h4\"},\"child\":[]}]})(props);\n};\nexport function TbZoomReset (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3.268 12.043a7.017 7.017 0 0 0 6.634 4.957a7.012 7.012 0 0 0 7.043 -6.131a7 7 0 0 0 -5.314 -7.672a7.021 7.021 0 0 0 -8.241 4.403\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 4v4h4\"},\"child\":[]}]})(props);\n};\nexport function TbZoomScan (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 8v-2a2 2 0 0 1 2 -2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M4 16v2a2 2 0 0 0 2 2h2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 4h2a2 2 0 0 1 2 2v2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 20h2a2 2 0 0 0 2 -2v-2\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M8 11a3 3 0 1 0 6 0a3 3 0 0 0 -6 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M16 16l-2.5 -2.5\"},\"child\":[]}]})(props);\n};\nexport function TbZoom (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M10 10m-7 0a7 7 0 1 0 14 0a7 7 0 1 0 -14 0\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M21 21l-6 -6\"},\"child\":[]}]})(props);\n};\nexport function TbZzzOff (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h6l-6 8h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h6l-5.146 6.862m1.146 1.138h4\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M3 3l18 18\"},\"child\":[]}]})(props);\n};\nexport function TbZzz (props) {\n return GenIcon({\"tag\":\"svg\",\"attr\":{\"viewBox\":\"0 0 24 24\",\"fill\":\"none\",\"stroke\":\"currentColor\",\"strokeWidth\":\"2\",\"strokeLinecap\":\"round\",\"strokeLinejoin\":\"round\"},\"child\":[{\"tag\":\"path\",\"attr\":{\"d\":\"M4 12h6l-6 8h6\"},\"child\":[]},{\"tag\":\"path\",\"attr\":{\"d\":\"M14 4h6l-6 8h6\"},\"child\":[]}]})(props);\n};\n","function _arrayLikeToArray(r, a) {\n (null == a || a > r.length) && (a = r.length);\n for (var e = 0, n = Array(a); e < a; e++) n[e] = r[e];\n return n;\n}\nexport { _arrayLikeToArray as default };","import arrayWithoutHoles from \"./arrayWithoutHoles.js\";\nimport iterableToArray from \"./iterableToArray.js\";\nimport unsupportedIterableToArray from \"./unsupportedIterableToArray.js\";\nimport nonIterableSpread from \"./nonIterableSpread.js\";\nfunction _toConsumableArray(r) {\n return arrayWithoutHoles(r) || iterableToArray(r) || unsupportedIterableToArray(r) || nonIterableSpread();\n}\nexport { _toConsumableArray as default };","import arrayLikeToArray from \"./arrayLikeToArray.js\";\nfunction _arrayWithoutHoles(r) {\n if (Array.isArray(r)) return arrayLikeToArray(r);\n}\nexport { _arrayWithoutHoles as default };","function _iterableToArray(r) {\n if (\"undefined\" != typeof Symbol && null != r[Symbol.iterator] || null != r[\"@@iterator\"]) return Array.from(r);\n}\nexport { _iterableToArray as default };","import arrayLikeToArray from \"./arrayLikeToArray.js\";\nfunction _unsupportedIterableToArray(r, a) {\n if (r) {\n if (\"string\" == typeof r) return arrayLikeToArray(r, a);\n var t = {}.toString.call(r).slice(8, -1);\n return \"Object\" === t && r.constructor && (t = r.constructor.name), \"Map\" === t || \"Set\" === t ? Array.from(r) : \"Arguments\" === t || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(t) ? arrayLikeToArray(r, a) : void 0;\n }\n}\nexport { _unsupportedIterableToArray as default };","function _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\nexport { _nonIterableSpread as default };","function _typeof(o) {\n \"@babel/helpers - typeof\";\n\n return _typeof = \"function\" == typeof Symbol && \"symbol\" == typeof Symbol.iterator ? function (o) {\n return typeof o;\n } : function (o) {\n return o && \"function\" == typeof Symbol && o.constructor === Symbol && o !== Symbol.prototype ? \"symbol\" : typeof o;\n }, _typeof(o);\n}\nexport { _typeof as default };","import _typeof from \"./typeof.js\";\nimport toPrimitive from \"./toPrimitive.js\";\nfunction toPropertyKey(t) {\n var i = toPrimitive(t, \"string\");\n return \"symbol\" == _typeof(i) ? i : i + \"\";\n}\nexport { toPropertyKey as default };","import _typeof from \"./typeof.js\";\nfunction toPrimitive(t, r) {\n if (\"object\" != _typeof(t) || !t) return t;\n var e = t[Symbol.toPrimitive];\n if (void 0 !== e) {\n var i = e.call(t, r || \"default\");\n if (\"object\" != _typeof(i)) return i;\n throw new TypeError(\"@@toPrimitive must return a primitive value.\");\n }\n return (\"string\" === r ? String : Number)(t);\n}\nexport { toPrimitive as default };","import toPropertyKey from \"./toPropertyKey.js\";\nfunction _defineProperty(e, r, t) {\n return (r = toPropertyKey(r)) in e ? Object.defineProperty(e, r, {\n value: t,\n enumerable: !0,\n configurable: !0,\n writable: !0\n }) : e[r] = t, e;\n}\nexport { _defineProperty as default };","function _extends() {\n return _extends = Object.assign ? Object.assign.bind() : function (n) {\n for (var e = 1; e < arguments.length; e++) {\n var t = arguments[e];\n for (var r in t) ({}).hasOwnProperty.call(t, r) && (n[r] = t[r]);\n }\n return n;\n }, _extends.apply(null, arguments);\n}\nexport { _extends as default };","import _extends from \"@babel/runtime/helpers/extends\";\nimport _defineProperty from \"@babel/runtime/helpers/defineProperty\";\n\nfunction ownKeys(object, enumerableOnly) { var keys = Object.keys(object); if (Object.getOwnPropertySymbols) { var symbols = Object.getOwnPropertySymbols(object); enumerableOnly && (symbols = symbols.filter(function (sym) { return Object.getOwnPropertyDescriptor(object, sym).enumerable; })), keys.push.apply(keys, symbols); } return keys; }\n\nfunction _objectSpread(target) { for (var i = 1; i < arguments.length; i++) { var source = null != arguments[i] ? arguments[i] : {}; i % 2 ? ownKeys(Object(source), !0).forEach(function (key) { _defineProperty(target, key, source[key]); }) : Object.getOwnPropertyDescriptors ? Object.defineProperties(target, Object.getOwnPropertyDescriptors(source)) : ownKeys(Object(source)).forEach(function (key) { Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key)); }); } return target; }\n\nimport React from 'react'; // Get all possible permutations of all power sets\n//\n// Super simple, non-algorithmic solution since the\n// number of class names will not be greater than 4\n\nfunction powerSetPermutations(arr) {\n var arrLength = arr.length;\n if (arrLength === 0 || arrLength === 1) return arr;\n\n if (arrLength === 2) {\n // prettier-ignore\n return [arr[0], arr[1], \"\".concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[1], \".\").concat(arr[0])];\n }\n\n if (arrLength === 3) {\n return [arr[0], arr[1], arr[2], \"\".concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[0], \".\").concat(arr[2]), \"\".concat(arr[1], \".\").concat(arr[0]), \"\".concat(arr[1], \".\").concat(arr[2]), \"\".concat(arr[2], \".\").concat(arr[0]), \"\".concat(arr[2], \".\").concat(arr[1]), \"\".concat(arr[0], \".\").concat(arr[1], \".\").concat(arr[2]), \"\".concat(arr[0], \".\").concat(arr[2], \".\").concat(arr[1]), \"\".concat(arr[1], \".\").concat(arr[0], \".\").concat(arr[2]), \"\".concat(arr[1], \".\").concat(arr[2], \".\").concat(arr[0]), \"\".concat(arr[2], \".\").concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[2], \".\").concat(arr[1], \".\").concat(arr[0])];\n }\n\n if (arrLength >= 4) {\n // Currently does not support more than 4 extra\n // class names (after `.token` has been removed)\n return [arr[0], arr[1], arr[2], arr[3], \"\".concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[0], \".\").concat(arr[2]), \"\".concat(arr[0], \".\").concat(arr[3]), \"\".concat(arr[1], \".\").concat(arr[0]), \"\".concat(arr[1], \".\").concat(arr[2]), \"\".concat(arr[1], \".\").concat(arr[3]), \"\".concat(arr[2], \".\").concat(arr[0]), \"\".concat(arr[2], \".\").concat(arr[1]), \"\".concat(arr[2], \".\").concat(arr[3]), \"\".concat(arr[3], \".\").concat(arr[0]), \"\".concat(arr[3], \".\").concat(arr[1]), \"\".concat(arr[3], \".\").concat(arr[2]), \"\".concat(arr[0], \".\").concat(arr[1], \".\").concat(arr[2]), \"\".concat(arr[0], \".\").concat(arr[1], \".\").concat(arr[3]), \"\".concat(arr[0], \".\").concat(arr[2], \".\").concat(arr[1]), \"\".concat(arr[0], \".\").concat(arr[2], \".\").concat(arr[3]), \"\".concat(arr[0], \".\").concat(arr[3], \".\").concat(arr[1]), \"\".concat(arr[0], \".\").concat(arr[3], \".\").concat(arr[2]), \"\".concat(arr[1], \".\").concat(arr[0], \".\").concat(arr[2]), \"\".concat(arr[1], \".\").concat(arr[0], \".\").concat(arr[3]), \"\".concat(arr[1], \".\").concat(arr[2], \".\").concat(arr[0]), \"\".concat(arr[1], \".\").concat(arr[2], \".\").concat(arr[3]), \"\".concat(arr[1], \".\").concat(arr[3], \".\").concat(arr[0]), \"\".concat(arr[1], \".\").concat(arr[3], \".\").concat(arr[2]), \"\".concat(arr[2], \".\").concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[2], \".\").concat(arr[0], \".\").concat(arr[3]), \"\".concat(arr[2], \".\").concat(arr[1], \".\").concat(arr[0]), \"\".concat(arr[2], \".\").concat(arr[1], \".\").concat(arr[3]), \"\".concat(arr[2], \".\").concat(arr[3], \".\").concat(arr[0]), \"\".concat(arr[2], \".\").concat(arr[3], \".\").concat(arr[1]), \"\".concat(arr[3], \".\").concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[3], \".\").concat(arr[0], \".\").concat(arr[2]), \"\".concat(arr[3], \".\").concat(arr[1], \".\").concat(arr[0]), \"\".concat(arr[3], \".\").concat(arr[1], \".\").concat(arr[2]), \"\".concat(arr[3], \".\").concat(arr[2], \".\").concat(arr[0]), \"\".concat(arr[3], \".\").concat(arr[2], \".\").concat(arr[1]), \"\".concat(arr[0], \".\").concat(arr[1], \".\").concat(arr[2], \".\").concat(arr[3]), \"\".concat(arr[0], \".\").concat(arr[1], \".\").concat(arr[3], \".\").concat(arr[2]), \"\".concat(arr[0], \".\").concat(arr[2], \".\").concat(arr[1], \".\").concat(arr[3]), \"\".concat(arr[0], \".\").concat(arr[2], \".\").concat(arr[3], \".\").concat(arr[1]), \"\".concat(arr[0], \".\").concat(arr[3], \".\").concat(arr[1], \".\").concat(arr[2]), \"\".concat(arr[0], \".\").concat(arr[3], \".\").concat(arr[2], \".\").concat(arr[1]), \"\".concat(arr[1], \".\").concat(arr[0], \".\").concat(arr[2], \".\").concat(arr[3]), \"\".concat(arr[1], \".\").concat(arr[0], \".\").concat(arr[3], \".\").concat(arr[2]), \"\".concat(arr[1], \".\").concat(arr[2], \".\").concat(arr[0], \".\").concat(arr[3]), \"\".concat(arr[1], \".\").concat(arr[2], \".\").concat(arr[3], \".\").concat(arr[0]), \"\".concat(arr[1], \".\").concat(arr[3], \".\").concat(arr[0], \".\").concat(arr[2]), \"\".concat(arr[1], \".\").concat(arr[3], \".\").concat(arr[2], \".\").concat(arr[0]), \"\".concat(arr[2], \".\").concat(arr[0], \".\").concat(arr[1], \".\").concat(arr[3]), \"\".concat(arr[2], \".\").concat(arr[0], \".\").concat(arr[3], \".\").concat(arr[1]), \"\".concat(arr[2], \".\").concat(arr[1], \".\").concat(arr[0], \".\").concat(arr[3]), \"\".concat(arr[2], \".\").concat(arr[1], \".\").concat(arr[3], \".\").concat(arr[0]), \"\".concat(arr[2], \".\").concat(arr[3], \".\").concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[2], \".\").concat(arr[3], \".\").concat(arr[1], \".\").concat(arr[0]), \"\".concat(arr[3], \".\").concat(arr[0], \".\").concat(arr[1], \".\").concat(arr[2]), \"\".concat(arr[3], \".\").concat(arr[0], \".\").concat(arr[2], \".\").concat(arr[1]), \"\".concat(arr[3], \".\").concat(arr[1], \".\").concat(arr[0], \".\").concat(arr[2]), \"\".concat(arr[3], \".\").concat(arr[1], \".\").concat(arr[2], \".\").concat(arr[0]), \"\".concat(arr[3], \".\").concat(arr[2], \".\").concat(arr[0], \".\").concat(arr[1]), \"\".concat(arr[3], \".\").concat(arr[2], \".\").concat(arr[1], \".\").concat(arr[0])];\n }\n}\n\nvar classNameCombinations = {};\n\nfunction getClassNameCombinations(classNames) {\n if (classNames.length === 0 || classNames.length === 1) return classNames;\n var key = classNames.join('.');\n\n if (!classNameCombinations[key]) {\n classNameCombinations[key] = powerSetPermutations(classNames);\n }\n\n return classNameCombinations[key];\n}\n\nexport function createStyleObject(classNames) {\n var elementStyle = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n var stylesheet = arguments.length > 2 ? arguments[2] : undefined;\n var nonTokenClassNames = classNames.filter(function (className) {\n return className !== 'token';\n });\n var classNamesCombinations = getClassNameCombinations(nonTokenClassNames);\n return classNamesCombinations.reduce(function (styleObject, className) {\n return _objectSpread(_objectSpread({}, styleObject), stylesheet[className]);\n }, elementStyle);\n}\nexport function createClassNameString(classNames) {\n return classNames.join(' ');\n}\nexport function createChildren(stylesheet, useInlineStyles) {\n var childrenCount = 0;\n return function (children) {\n childrenCount += 1;\n return children.map(function (child, i) {\n return createElement({\n node: child,\n stylesheet: stylesheet,\n useInlineStyles: useInlineStyles,\n key: \"code-segment-\".concat(childrenCount, \"-\").concat(i)\n });\n });\n };\n}\nexport default function createElement(_ref) {\n var node = _ref.node,\n stylesheet = _ref.stylesheet,\n _ref$style = _ref.style,\n style = _ref$style === void 0 ? {} : _ref$style,\n useInlineStyles = _ref.useInlineStyles,\n key = _ref.key;\n var properties = node.properties,\n type = node.type,\n TagName = node.tagName,\n value = node.value;\n\n if (type === 'text') {\n return value;\n } else if (TagName) {\n var childrenCreator = createChildren(stylesheet, useInlineStyles);\n var props;\n\n if (!useInlineStyles) {\n props = _objectSpread(_objectSpread({}, properties), {}, {\n className: createClassNameString(properties.className)\n });\n } else {\n var allStylesheetSelectors = Object.keys(stylesheet).reduce(function (classes, selector) {\n selector.split('.').forEach(function (className) {\n if (!classes.includes(className)) classes.push(className);\n });\n return classes;\n }, []); // For compatibility with older versions of react-syntax-highlighter\n\n var startingClassName = properties.className && properties.className.includes('token') ? ['token'] : [];\n var className = properties.className && startingClassName.concat(properties.className.filter(function (className) {\n return !allStylesheetSelectors.includes(className);\n }));\n props = _objectSpread(_objectSpread({}, properties), {}, {\n className: createClassNameString(className) || undefined,\n style: createStyleObject(properties.className, Object.assign({}, properties.style, style), stylesheet)\n });\n }\n\n var children = childrenCreator(node.children);\n return /*#__PURE__*/React.createElement(TagName, _extends({\n key: key\n }, props), children);\n }\n}","export default (function (astGenerator, language) {\n var langs = astGenerator.listLanguages();\n return langs.indexOf(language) !== -1;\n});","import _objectWithoutProperties from \"@babel/runtime/helpers/objectWithoutProperties\";\nimport _toConsumableArray from \"@babel/runtime/helpers/toConsumableArray\";\nimport _defineProperty from \"@babel/runtime/helpers/defineProperty\";\nvar _excluded = [\"language\", \"children\", \"style\", \"customStyle\", \"codeTagProps\", \"useInlineStyles\", \"showLineNumbers\", \"showInlineLineNumbers\", \"startingLineNumber\", \"lineNumberContainerStyle\", \"lineNumberStyle\", \"wrapLines\", \"wrapLongLines\", \"lineProps\", \"renderer\", \"PreTag\", \"CodeTag\", \"code\", \"astGenerator\"];\n\nfunction ownKeys(object, enumerableOnly) { var keys = Object.keys(object); if (Object.getOwnPropertySymbols) { var symbols = Object.getOwnPropertySymbols(object); enumerableOnly && (symbols = symbols.filter(function (sym) { return Object.getOwnPropertyDescriptor(object, sym).enumerable; })), keys.push.apply(keys, symbols); } return keys; }\n\nfunction _objectSpread(target) { for (var i = 1; i < arguments.length; i++) { var source = null != arguments[i] ? arguments[i] : {}; i % 2 ? ownKeys(Object(source), !0).forEach(function (key) { _defineProperty(target, key, source[key]); }) : Object.getOwnPropertyDescriptors ? Object.defineProperties(target, Object.getOwnPropertyDescriptors(source)) : ownKeys(Object(source)).forEach(function (key) { Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key)); }); } return target; }\n\nimport React from 'react';\nimport createElement from './create-element';\nimport checkForListedLanguage from './checkForListedLanguage';\nvar newLineRegex = /\\n/g;\n\nfunction getNewLines(str) {\n return str.match(newLineRegex);\n}\n\nfunction getAllLineNumbers(_ref) {\n var lines = _ref.lines,\n startingLineNumber = _ref.startingLineNumber,\n style = _ref.style;\n return lines.map(function (_, i) {\n var number = i + startingLineNumber;\n return /*#__PURE__*/React.createElement(\"span\", {\n key: \"line-\".concat(i),\n className: \"react-syntax-highlighter-line-number\",\n style: typeof style === 'function' ? style(number) : style\n }, \"\".concat(number, \"\\n\"));\n });\n}\n\nfunction AllLineNumbers(_ref2) {\n var codeString = _ref2.codeString,\n codeStyle = _ref2.codeStyle,\n _ref2$containerStyle = _ref2.containerStyle,\n containerStyle = _ref2$containerStyle === void 0 ? {\n \"float\": 'left',\n paddingRight: '10px'\n } : _ref2$containerStyle,\n _ref2$numberStyle = _ref2.numberStyle,\n numberStyle = _ref2$numberStyle === void 0 ? {} : _ref2$numberStyle,\n startingLineNumber = _ref2.startingLineNumber;\n return /*#__PURE__*/React.createElement(\"code\", {\n style: Object.assign({}, codeStyle, containerStyle)\n }, getAllLineNumbers({\n lines: codeString.replace(/\\n$/, '').split('\\n'),\n style: numberStyle,\n startingLineNumber: startingLineNumber\n }));\n}\n\nfunction getEmWidthOfNumber(num) {\n return \"\".concat(num.toString().length, \".25em\");\n}\n\nfunction getInlineLineNumber(lineNumber, inlineLineNumberStyle) {\n return {\n type: 'element',\n tagName: 'span',\n properties: {\n key: \"line-number--\".concat(lineNumber),\n className: ['comment', 'linenumber', 'react-syntax-highlighter-line-number'],\n style: inlineLineNumberStyle\n },\n children: [{\n type: 'text',\n value: lineNumber\n }]\n };\n}\n\nfunction assembleLineNumberStyles(lineNumberStyle, lineNumber, largestLineNumber) {\n // minimally necessary styling for line numbers\n var defaultLineNumberStyle = {\n display: 'inline-block',\n minWidth: getEmWidthOfNumber(largestLineNumber),\n paddingRight: '1em',\n textAlign: 'right',\n userSelect: 'none'\n }; // prep custom styling\n\n var customLineNumberStyle = typeof lineNumberStyle === 'function' ? lineNumberStyle(lineNumber) : lineNumberStyle; // combine\n\n var assembledStyle = _objectSpread(_objectSpread({}, defaultLineNumberStyle), customLineNumberStyle);\n\n return assembledStyle;\n}\n\nfunction createLineElement(_ref3) {\n var children = _ref3.children,\n lineNumber = _ref3.lineNumber,\n lineNumberStyle = _ref3.lineNumberStyle,\n largestLineNumber = _ref3.largestLineNumber,\n showInlineLineNumbers = _ref3.showInlineLineNumbers,\n _ref3$lineProps = _ref3.lineProps,\n lineProps = _ref3$lineProps === void 0 ? {} : _ref3$lineProps,\n _ref3$className = _ref3.className,\n className = _ref3$className === void 0 ? [] : _ref3$className,\n showLineNumbers = _ref3.showLineNumbers,\n wrapLongLines = _ref3.wrapLongLines;\n var properties = typeof lineProps === 'function' ? lineProps(lineNumber) : lineProps;\n properties['className'] = className;\n\n if (lineNumber && showInlineLineNumbers) {\n var inlineLineNumberStyle = assembleLineNumberStyles(lineNumberStyle, lineNumber, largestLineNumber);\n children.unshift(getInlineLineNumber(lineNumber, inlineLineNumberStyle));\n }\n\n if (wrapLongLines & showLineNumbers) {\n properties.style = _objectSpread(_objectSpread({}, properties.style), {}, {\n display: 'flex'\n });\n }\n\n return {\n type: 'element',\n tagName: 'span',\n properties: properties,\n children: children\n };\n}\n\nfunction flattenCodeTree(tree) {\n var className = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : [];\n var newTree = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : [];\n\n for (var i = 0; i < tree.length; i++) {\n var node = tree[i];\n\n if (node.type === 'text') {\n newTree.push(createLineElement({\n children: [node],\n className: _toConsumableArray(new Set(className))\n }));\n } else if (node.children) {\n var classNames = className.concat(node.properties.className);\n flattenCodeTree(node.children, classNames).forEach(function (i) {\n return newTree.push(i);\n });\n }\n }\n\n return newTree;\n}\n\nfunction processLines(codeTree, wrapLines, lineProps, showLineNumbers, showInlineLineNumbers, startingLineNumber, largestLineNumber, lineNumberStyle, wrapLongLines) {\n var _ref4;\n\n var tree = flattenCodeTree(codeTree.value);\n var newTree = [];\n var lastLineBreakIndex = -1;\n var index = 0;\n\n function createWrappedLine(children, lineNumber) {\n var className = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : [];\n return createLineElement({\n children: children,\n lineNumber: lineNumber,\n lineNumberStyle: lineNumberStyle,\n largestLineNumber: largestLineNumber,\n showInlineLineNumbers: showInlineLineNumbers,\n lineProps: lineProps,\n className: className,\n showLineNumbers: showLineNumbers,\n wrapLongLines: wrapLongLines\n });\n }\n\n function createUnwrappedLine(children, lineNumber) {\n if (showLineNumbers && lineNumber && showInlineLineNumbers) {\n var inlineLineNumberStyle = assembleLineNumberStyles(lineNumberStyle, lineNumber, largestLineNumber);\n children.unshift(getInlineLineNumber(lineNumber, inlineLineNumberStyle));\n }\n\n return children;\n }\n\n function createLine(children, lineNumber) {\n var className = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : [];\n return wrapLines || className.length > 0 ? createWrappedLine(children, lineNumber, className) : createUnwrappedLine(children, lineNumber);\n }\n\n var _loop = function _loop() {\n var node = tree[index];\n var value = node.children[0].value;\n var newLines = getNewLines(value);\n\n if (newLines) {\n var splitValue = value.split('\\n');\n splitValue.forEach(function (text, i) {\n var lineNumber = showLineNumbers && newTree.length + startingLineNumber;\n var newChild = {\n type: 'text',\n value: \"\".concat(text, \"\\n\")\n }; // if it's the first line\n\n if (i === 0) {\n var _children = tree.slice(lastLineBreakIndex + 1, index).concat(createLineElement({\n children: [newChild],\n className: node.properties.className\n }));\n\n var _line = createLine(_children, lineNumber);\n\n newTree.push(_line); // if it's the last line\n } else if (i === splitValue.length - 1) {\n var stringChild = tree[index + 1] && tree[index + 1].children && tree[index + 1].children[0];\n var lastLineInPreviousSpan = {\n type: 'text',\n value: \"\".concat(text)\n };\n\n if (stringChild) {\n var newElem = createLineElement({\n children: [lastLineInPreviousSpan],\n className: node.properties.className\n });\n tree.splice(index + 1, 0, newElem);\n } else {\n var _children2 = [lastLineInPreviousSpan];\n\n var _line2 = createLine(_children2, lineNumber, node.properties.className);\n\n newTree.push(_line2);\n } // if it's neither the first nor the last line\n\n } else {\n var _children3 = [newChild];\n\n var _line3 = createLine(_children3, lineNumber, node.properties.className);\n\n newTree.push(_line3);\n }\n });\n lastLineBreakIndex = index;\n }\n\n index++;\n };\n\n while (index < tree.length) {\n _loop();\n }\n\n if (lastLineBreakIndex !== tree.length - 1) {\n var children = tree.slice(lastLineBreakIndex + 1, tree.length);\n\n if (children && children.length) {\n var lineNumber = showLineNumbers && newTree.length + startingLineNumber;\n var line = createLine(children, lineNumber);\n newTree.push(line);\n }\n }\n\n return wrapLines ? newTree : (_ref4 = []).concat.apply(_ref4, newTree);\n}\n\nfunction defaultRenderer(_ref5) {\n var rows = _ref5.rows,\n stylesheet = _ref5.stylesheet,\n useInlineStyles = _ref5.useInlineStyles;\n return rows.map(function (node, i) {\n return createElement({\n node: node,\n stylesheet: stylesheet,\n useInlineStyles: useInlineStyles,\n key: \"code-segement\".concat(i)\n });\n });\n} // only highlight.js has the highlightAuto method\n\n\nfunction isHighlightJs(astGenerator) {\n return astGenerator && typeof astGenerator.highlightAuto !== 'undefined';\n}\n\nfunction getCodeTree(_ref6) {\n var astGenerator = _ref6.astGenerator,\n language = _ref6.language,\n code = _ref6.code,\n defaultCodeValue = _ref6.defaultCodeValue;\n\n // figure out whether we're using lowlight/highlight or refractor/prism\n // then attempt highlighting accordingly\n // lowlight/highlight?\n if (isHighlightJs(astGenerator)) {\n var hasLanguage = checkForListedLanguage(astGenerator, language);\n\n if (language === 'text') {\n return {\n value: defaultCodeValue,\n language: 'text'\n };\n } else if (hasLanguage) {\n return astGenerator.highlight(language, code);\n } else {\n return astGenerator.highlightAuto(code);\n }\n } // must be refractor/prism, then\n\n\n try {\n return language && language !== 'text' ? {\n value: astGenerator.highlight(code, language)\n } : {\n value: defaultCodeValue\n };\n } catch (e) {\n return {\n value: defaultCodeValue\n };\n }\n}\n\nexport default function (defaultAstGenerator, defaultStyle) {\n return function SyntaxHighlighter(_ref7) {\n var language = _ref7.language,\n children = _ref7.children,\n _ref7$style = _ref7.style,\n style = _ref7$style === void 0 ? defaultStyle : _ref7$style,\n _ref7$customStyle = _ref7.customStyle,\n customStyle = _ref7$customStyle === void 0 ? {} : _ref7$customStyle,\n _ref7$codeTagProps = _ref7.codeTagProps,\n codeTagProps = _ref7$codeTagProps === void 0 ? {\n className: language ? \"language-\".concat(language) : undefined,\n style: _objectSpread(_objectSpread({}, style['code[class*=\"language-\"]']), style[\"code[class*=\\\"language-\".concat(language, \"\\\"]\")])\n } : _ref7$codeTagProps,\n _ref7$useInlineStyles = _ref7.useInlineStyles,\n useInlineStyles = _ref7$useInlineStyles === void 0 ? true : _ref7$useInlineStyles,\n _ref7$showLineNumbers = _ref7.showLineNumbers,\n showLineNumbers = _ref7$showLineNumbers === void 0 ? false : _ref7$showLineNumbers,\n _ref7$showInlineLineN = _ref7.showInlineLineNumbers,\n showInlineLineNumbers = _ref7$showInlineLineN === void 0 ? true : _ref7$showInlineLineN,\n _ref7$startingLineNum = _ref7.startingLineNumber,\n startingLineNumber = _ref7$startingLineNum === void 0 ? 1 : _ref7$startingLineNum,\n lineNumberContainerStyle = _ref7.lineNumberContainerStyle,\n _ref7$lineNumberStyle = _ref7.lineNumberStyle,\n lineNumberStyle = _ref7$lineNumberStyle === void 0 ? {} : _ref7$lineNumberStyle,\n wrapLines = _ref7.wrapLines,\n _ref7$wrapLongLines = _ref7.wrapLongLines,\n wrapLongLines = _ref7$wrapLongLines === void 0 ? false : _ref7$wrapLongLines,\n _ref7$lineProps = _ref7.lineProps,\n lineProps = _ref7$lineProps === void 0 ? {} : _ref7$lineProps,\n renderer = _ref7.renderer,\n _ref7$PreTag = _ref7.PreTag,\n PreTag = _ref7$PreTag === void 0 ? 'pre' : _ref7$PreTag,\n _ref7$CodeTag = _ref7.CodeTag,\n CodeTag = _ref7$CodeTag === void 0 ? 'code' : _ref7$CodeTag,\n _ref7$code = _ref7.code,\n code = _ref7$code === void 0 ? (Array.isArray(children) ? children[0] : children) || '' : _ref7$code,\n astGenerator = _ref7.astGenerator,\n rest = _objectWithoutProperties(_ref7, _excluded);\n\n astGenerator = astGenerator || defaultAstGenerator;\n var allLineNumbers = showLineNumbers ? /*#__PURE__*/React.createElement(AllLineNumbers, {\n containerStyle: lineNumberContainerStyle,\n codeStyle: codeTagProps.style || {},\n numberStyle: lineNumberStyle,\n startingLineNumber: startingLineNumber,\n codeString: code\n }) : null;\n var defaultPreStyle = style.hljs || style['pre[class*=\"language-\"]'] || {\n backgroundColor: '#fff'\n };\n var generatorClassName = isHighlightJs(astGenerator) ? 'hljs' : 'prismjs';\n var preProps = useInlineStyles ? Object.assign({}, rest, {\n style: Object.assign({}, defaultPreStyle, customStyle)\n }) : Object.assign({}, rest, {\n className: rest.className ? \"\".concat(generatorClassName, \" \").concat(rest.className) : generatorClassName,\n style: Object.assign({}, customStyle)\n });\n\n if (wrapLongLines) {\n codeTagProps.style = _objectSpread(_objectSpread({}, codeTagProps.style), {}, {\n whiteSpace: 'pre-wrap'\n });\n } else {\n codeTagProps.style = _objectSpread(_objectSpread({}, codeTagProps.style), {}, {\n whiteSpace: 'pre'\n });\n }\n\n if (!astGenerator) {\n return /*#__PURE__*/React.createElement(PreTag, preProps, allLineNumbers, /*#__PURE__*/React.createElement(CodeTag, codeTagProps, code));\n }\n /*\n * Some custom renderers rely on individual row elements so we need to turn wrapLines on\n * if renderer is provided and wrapLines is undefined.\n */\n\n\n if (wrapLines === undefined && renderer || wrapLongLines) wrapLines = true;\n renderer = renderer || defaultRenderer;\n var defaultCodeValue = [{\n type: 'text',\n value: code\n }];\n var codeTree = getCodeTree({\n astGenerator: astGenerator,\n language: language,\n code: code,\n defaultCodeValue: defaultCodeValue\n });\n\n if (codeTree.language === null) {\n codeTree.value = defaultCodeValue;\n } // determine largest line number so that we can force minWidth on all linenumber elements\n\n\n var largestLineNumber = codeTree.value.length + startingLineNumber;\n var rows = processLines(codeTree, wrapLines, lineProps, showLineNumbers, showInlineLineNumbers, startingLineNumber, largestLineNumber, lineNumberStyle, wrapLongLines);\n return /*#__PURE__*/React.createElement(PreTag, preProps, /*#__PURE__*/React.createElement(CodeTag, codeTagProps, !showInlineLineNumbers && allLineNumbers, renderer({\n rows: rows,\n stylesheet: style,\n useInlineStyles: useInlineStyles\n })));\n };\n}","import highlight from './highlight';\nimport defaultStyle from './styles/prism/prism';\nimport refractor from 'refractor';\nimport supportedLanguages from './languages/prism/supported-languages';\nvar highlighter = highlight(refractor, defaultStyle);\nhighlighter.supportedLanguages = supportedLanguages;\nexport default highlighter;","export default {\n \"code[class*=\\\"language-\\\"]\": {\n \"color\": \"black\",\n \"background\": \"none\",\n \"textShadow\": \"0 1px white\",\n \"fontFamily\": \"Consolas, Monaco, 'Andale Mono', 'Ubuntu Mono', monospace\",\n \"fontSize\": \"1em\",\n \"textAlign\": \"left\",\n \"whiteSpace\": \"pre\",\n \"wordSpacing\": \"normal\",\n \"wordBreak\": \"normal\",\n \"wordWrap\": \"normal\",\n \"lineHeight\": \"1.5\",\n \"MozTabSize\": \"4\",\n \"OTabSize\": \"4\",\n \"tabSize\": \"4\",\n \"WebkitHyphens\": \"none\",\n \"MozHyphens\": \"none\",\n \"msHyphens\": \"none\",\n \"hyphens\": \"none\"\n },\n \"pre[class*=\\\"language-\\\"]\": {\n \"color\": \"black\",\n \"background\": \"#f5f2f0\",\n \"textShadow\": \"0 1px white\",\n \"fontFamily\": \"Consolas, Monaco, 'Andale Mono', 'Ubuntu Mono', monospace\",\n \"fontSize\": \"1em\",\n \"textAlign\": \"left\",\n \"whiteSpace\": \"pre\",\n \"wordSpacing\": \"normal\",\n \"wordBreak\": \"normal\",\n \"wordWrap\": \"normal\",\n \"lineHeight\": \"1.5\",\n \"MozTabSize\": \"4\",\n \"OTabSize\": \"4\",\n \"tabSize\": \"4\",\n \"WebkitHyphens\": \"none\",\n \"MozHyphens\": \"none\",\n \"msHyphens\": \"none\",\n \"hyphens\": \"none\",\n \"padding\": \"1em\",\n \"margin\": \".5em 0\",\n \"overflow\": \"auto\"\n },\n \"pre[class*=\\\"language-\\\"]::-moz-selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \"pre[class*=\\\"language-\\\"] ::-moz-selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \"code[class*=\\\"language-\\\"]::-moz-selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \"code[class*=\\\"language-\\\"] ::-moz-selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \"pre[class*=\\\"language-\\\"]::selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \"pre[class*=\\\"language-\\\"] ::selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \"code[class*=\\\"language-\\\"]::selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \"code[class*=\\\"language-\\\"] ::selection\": {\n \"textShadow\": \"none\",\n \"background\": \"#b3d4fc\"\n },\n \":not(pre) > code[class*=\\\"language-\\\"]\": {\n \"background\": \"#f5f2f0\",\n \"padding\": \".1em\",\n \"borderRadius\": \".3em\",\n \"whiteSpace\": \"normal\"\n },\n \"comment\": {\n \"color\": \"slategray\"\n },\n \"prolog\": {\n \"color\": \"slategray\"\n },\n \"doctype\": {\n \"color\": \"slategray\"\n },\n \"cdata\": {\n \"color\": \"slategray\"\n },\n \"punctuation\": {\n \"color\": \"#999\"\n },\n \"namespace\": {\n \"Opacity\": \".7\"\n },\n \"property\": {\n \"color\": \"#905\"\n },\n \"tag\": {\n \"color\": \"#905\"\n },\n \"boolean\": {\n \"color\": \"#905\"\n },\n \"number\": {\n \"color\": \"#905\"\n },\n \"constant\": {\n \"color\": \"#905\"\n },\n \"symbol\": {\n \"color\": \"#905\"\n },\n \"deleted\": {\n \"color\": \"#905\"\n },\n \"selector\": {\n \"color\": \"#690\"\n },\n \"attr-name\": {\n \"color\": \"#690\"\n },\n \"string\": {\n \"color\": \"#690\"\n },\n \"char\": {\n \"color\": \"#690\"\n },\n \"builtin\": {\n \"color\": \"#690\"\n },\n \"inserted\": {\n \"color\": \"#690\"\n },\n \"operator\": {\n \"color\": \"#9a6e3a\",\n \"background\": \"hsla(0, 0%, 100%, .5)\"\n },\n \"entity\": {\n \"color\": \"#9a6e3a\",\n \"background\": \"hsla(0, 0%, 100%, .5)\",\n \"cursor\": \"help\"\n },\n \"url\": {\n \"color\": \"#9a6e3a\",\n \"background\": \"hsla(0, 0%, 100%, .5)\"\n },\n \".language-css .token.string\": {\n \"color\": \"#9a6e3a\",\n \"background\": \"hsla(0, 0%, 100%, .5)\"\n },\n \".style .token.string\": {\n \"color\": \"#9a6e3a\",\n \"background\": \"hsla(0, 0%, 100%, .5)\"\n },\n \"atrule\": {\n \"color\": \"#07a\"\n },\n \"attr-value\": {\n \"color\": \"#07a\"\n },\n \"keyword\": {\n \"color\": \"#07a\"\n },\n \"function\": {\n \"color\": \"#DD4A68\"\n },\n \"class-name\": {\n \"color\": \"#DD4A68\"\n },\n \"regex\": {\n \"color\": \"#e90\"\n },\n \"important\": {\n \"color\": \"#e90\",\n \"fontWeight\": \"bold\"\n },\n \"variable\": {\n \"color\": \"#e90\"\n },\n \"bold\": {\n \"fontWeight\": \"bold\"\n },\n \"italic\": {\n \"fontStyle\": \"italic\"\n }\n};","import objectWithoutPropertiesLoose from \"./objectWithoutPropertiesLoose.js\";\nfunction _objectWithoutProperties(e, t) {\n if (null == e) return {};\n var o,\n r,\n i = objectWithoutPropertiesLoose(e, t);\n if (Object.getOwnPropertySymbols) {\n var n = Object.getOwnPropertySymbols(e);\n for (r = 0; r < n.length; r++) o = n[r], t.indexOf(o) >= 0 || {}.propertyIsEnumerable.call(e, o) && (i[o] = e[o]);\n }\n return i;\n}\nexport { _objectWithoutProperties as default };","function _objectWithoutPropertiesLoose(r, e) {\n if (null == r) return {};\n var t = {};\n for (var n in r) if ({}.hasOwnProperty.call(r, n)) {\n if (e.indexOf(n) >= 0) continue;\n t[n] = r[n];\n }\n return t;\n}\nexport { _objectWithoutPropertiesLoose as default };","//\n// This file has been auto-generated by the `npm run build-languages-prism` task\n//\nexport default ['abap', 'abnf', 'actionscript', 'ada', 'agda', 'al', 'antlr4', 'apacheconf', 'apex', 'apl', 'applescript', 'aql', 'arduino', 'arff', 'asciidoc', 'asm6502', 'asmatmel', 'aspnet', 'autohotkey', 'autoit', 'avisynth', 'avro-idl', 'bash', 'basic', 'batch', 'bbcode', 'bicep', 'birb', 'bison', 'bnf', 'brainfuck', 'brightscript', 'bro', 'bsl', 'c', 'cfscript', 'chaiscript', 'cil', 'clike', 'clojure', 'cmake', 'cobol', 'coffeescript', 'concurnas', 'coq', 'cpp', 'crystal', 'csharp', 'cshtml', 'csp', 'css-extras', 'css', 'csv', 'cypher', 'd', 'dart', 'dataweave', 'dax', 'dhall', 'diff', 'django', 'dns-zone-file', 'docker', 'dot', 'ebnf', 'editorconfig', 'eiffel', 'ejs', 'elixir', 'elm', 'erb', 'erlang', 'etlua', 'excel-formula', 'factor', 'false', 'firestore-security-rules', 'flow', 'fortran', 'fsharp', 'ftl', 'gap', 'gcode', 'gdscript', 'gedcom', 'gherkin', 'git', 'glsl', 'gml', 'gn', 'go-module', 'go', 'graphql', 'groovy', 'haml', 'handlebars', 'haskell', 'haxe', 'hcl', 'hlsl', 'hoon', 'hpkp', 'hsts', 'http', 'ichigojam', 'icon', 'icu-message-format', 'idris', 'iecst', 'ignore', 'inform7', 'ini', 'io', 'j', 'java', 'javadoc', 'javadoclike', 'javascript', 'javastacktrace', 'jexl', 'jolie', 'jq', 'js-extras', 'js-templates', 'jsdoc', 'json', 'json5', 'jsonp', 'jsstacktrace', 'jsx', 'julia', 'keepalived', 'keyman', 'kotlin', 'kumir', 'kusto', 'latex', 'latte', 'less', 'lilypond', 'liquid', 'lisp', 'livescript', 'llvm', 'log', 'lolcode', 'lua', 'magma', 'makefile', 'markdown', 'markup-templating', 'markup', 'matlab', 'maxscript', 'mel', 'mermaid', 'mizar', 'mongodb', 'monkey', 'moonscript', 'n1ql', 'n4js', 'nand2tetris-hdl', 'naniscript', 'nasm', 'neon', 'nevod', 'nginx', 'nim', 'nix', 'nsis', 'objectivec', 'ocaml', 'opencl', 'openqasm', 'oz', 'parigp', 'parser', 'pascal', 'pascaligo', 'pcaxis', 'peoplecode', 'perl', 'php-extras', 'php', 'phpdoc', 'plsql', 'powerquery', 'powershell', 'processing', 'prolog', 'promql', 'properties', 'protobuf', 'psl', 'pug', 'puppet', 'pure', 'purebasic', 'purescript', 'python', 'q', 'qml', 'qore', 'qsharp', 'r', 'racket', 'reason', 'regex', 'rego', 'renpy', 'rest', 'rip', 'roboconf', 'robotframework', 'ruby', 'rust', 'sas', 'sass', 'scala', 'scheme', 'scss', 'shell-session', 'smali', 'smalltalk', 'smarty', 'sml', 'solidity', 'solution-file', 'soy', 'sparql', 'splunk-spl', 'sqf', 'sql', 'squirrel', 'stan', 'stylus', 'swift', 'systemd', 't4-cs', 't4-templating', 't4-vb', 'tap', 'tcl', 'textile', 'toml', 'tremor', 'tsx', 'tt2', 'turtle', 'twig', 'typescript', 'typoscript', 'unrealscript', 'uorazor', 'uri', 'v', 'vala', 'vbnet', 'velocity', 'verilog', 'vhdl', 'vim', 'visual-basic', 'warpscript', 'wasm', 'web-idl', 'wiki', 'wolfram', 'wren', 'xeora', 'xml-doc', 'xojo', 'xquery', 'yaml', 'yang', 'zig'];","export default {\n \"code[class*=\\\"language-\\\"]\": {\n \"color\": \"#e3eaf2\",\n \"background\": \"none\",\n \"fontFamily\": \"Consolas, Monaco, \\\"Andale Mono\\\", \\\"Ubuntu Mono\\\", monospace\",\n \"textAlign\": \"left\",\n \"whiteSpace\": \"pre\",\n \"wordSpacing\": \"normal\",\n \"wordBreak\": \"normal\",\n \"wordWrap\": \"normal\",\n \"lineHeight\": \"1.5\",\n \"MozTabSize\": \"4\",\n \"OTabSize\": \"4\",\n \"tabSize\": \"4\",\n \"WebkitHyphens\": \"none\",\n \"MozHyphens\": \"none\",\n \"msHyphens\": \"none\",\n \"hyphens\": \"none\"\n },\n \"pre[class*=\\\"language-\\\"]\": {\n \"color\": \"#e3eaf2\",\n \"background\": \"#111b27\",\n \"fontFamily\": \"Consolas, Monaco, \\\"Andale Mono\\\", \\\"Ubuntu Mono\\\", monospace\",\n \"textAlign\": \"left\",\n \"whiteSpace\": \"pre\",\n \"wordSpacing\": \"normal\",\n \"wordBreak\": \"normal\",\n \"wordWrap\": \"normal\",\n \"lineHeight\": \"1.5\",\n \"MozTabSize\": \"4\",\n \"OTabSize\": \"4\",\n \"tabSize\": \"4\",\n \"WebkitHyphens\": \"none\",\n \"MozHyphens\": \"none\",\n \"msHyphens\": \"none\",\n \"hyphens\": \"none\",\n \"padding\": \"1em\",\n \"margin\": \"0.5em 0\",\n \"overflow\": \"auto\"\n },\n \"pre[class*=\\\"language-\\\"]::-moz-selection\": {\n \"background\": \"#3c526d\"\n },\n \"pre[class*=\\\"language-\\\"] ::-moz-selection\": {\n \"background\": \"#3c526d\"\n },\n \"code[class*=\\\"language-\\\"]::-moz-selection\": {\n \"background\": \"#3c526d\"\n },\n \"code[class*=\\\"language-\\\"] ::-moz-selection\": {\n \"background\": \"#3c526d\"\n },\n \"pre[class*=\\\"language-\\\"]::selection\": {\n \"background\": \"#3c526d\"\n },\n \"pre[class*=\\\"language-\\\"] ::selection\": {\n \"background\": \"#3c526d\"\n },\n \"code[class*=\\\"language-\\\"]::selection\": {\n \"background\": \"#3c526d\"\n },\n \"code[class*=\\\"language-\\\"] ::selection\": {\n \"background\": \"#3c526d\"\n },\n \":not(pre) > code[class*=\\\"language-\\\"]\": {\n \"background\": \"#111b27\",\n \"padding\": \"0.1em 0.3em\",\n \"borderRadius\": \"0.3em\",\n \"whiteSpace\": \"normal\"\n },\n \"comment\": {\n \"color\": \"#8da1b9\"\n },\n \"prolog\": {\n \"color\": \"#8da1b9\"\n },\n \"doctype\": {\n \"color\": \"#8da1b9\"\n },\n \"cdata\": {\n \"color\": \"#8da1b9\"\n },\n \"punctuation\": {\n \"color\": \"#e3eaf2\"\n },\n \"delimiter.important\": {\n \"color\": \"#66cccc\",\n \"fontWeight\": \"inherit\"\n },\n \"selector.parent\": {\n \"color\": \"#66cccc\"\n },\n \"tag\": {\n \"color\": \"#66cccc\"\n },\n \"tag.punctuation\": {\n \"color\": \"#66cccc\"\n },\n \"attr-name\": {\n \"color\": \"#e6d37a\"\n },\n \"boolean\": {\n \"color\": \"#e6d37a\"\n },\n \"boolean.important\": {\n \"color\": \"#e6d37a\"\n },\n \"number\": {\n \"color\": \"#e6d37a\"\n },\n \"constant\": {\n \"color\": \"#e6d37a\"\n },\n \"selector.attribute\": {\n \"color\": \"#e6d37a\"\n },\n \"class-name\": {\n \"color\": \"#6cb8e6\"\n },\n \"key\": {\n \"color\": \"#6cb8e6\"\n },\n \"parameter\": {\n \"color\": \"#6cb8e6\"\n },\n \"property\": {\n \"color\": \"#6cb8e6\"\n },\n \"property-access\": {\n \"color\": \"#6cb8e6\"\n },\n \"variable\": {\n \"color\": \"#6cb8e6\"\n },\n \"attr-value\": {\n \"color\": \"#91d076\"\n },\n \"inserted\": {\n \"color\": \"#91d076\"\n },\n \"color\": {\n \"color\": \"#91d076\"\n },\n \"selector.value\": {\n \"color\": \"#91d076\"\n },\n \"string\": {\n \"color\": \"#91d076\"\n },\n \"string.url-link\": {\n \"color\": \"#91d076\"\n },\n \"builtin\": {\n \"color\": \"#f4adf4\"\n },\n \"keyword-array\": {\n \"color\": \"#f4adf4\"\n },\n \"package\": {\n \"color\": \"#f4adf4\"\n },\n \"regex\": {\n \"color\": \"#f4adf4\"\n },\n \"function\": {\n \"color\": \"#c699e3\"\n },\n \"selector.class\": {\n \"color\": \"#c699e3\"\n },\n \"selector.id\": {\n \"color\": \"#c699e3\"\n },\n \"atrule.rule\": {\n \"color\": \"#e9ae7e\"\n },\n \"combinator\": {\n \"color\": \"#e9ae7e\"\n },\n \"keyword\": {\n \"color\": \"#e9ae7e\"\n },\n \"operator\": {\n \"color\": \"#e9ae7e\"\n },\n \"pseudo-class\": {\n \"color\": \"#e9ae7e\"\n },\n \"pseudo-element\": {\n \"color\": \"#e9ae7e\"\n },\n \"selector\": {\n \"color\": \"#e9ae7e\"\n },\n \"unit\": {\n \"color\": \"#e9ae7e\"\n },\n \"deleted\": {\n \"color\": \"#cd6660\"\n },\n \"important\": {\n \"color\": \"#cd6660\",\n \"fontWeight\": \"bold\"\n },\n \"keyword-this\": {\n \"color\": \"#6cb8e6\",\n \"fontWeight\": \"bold\"\n },\n \"this\": {\n \"color\": \"#6cb8e6\",\n \"fontWeight\": \"bold\"\n },\n \"bold\": {\n \"fontWeight\": \"bold\"\n },\n \"italic\": {\n \"fontStyle\": \"italic\"\n },\n \"entity\": {\n \"cursor\": \"help\"\n },\n \".language-markdown .token.title\": {\n \"color\": \"#6cb8e6\",\n \"fontWeight\": \"bold\"\n },\n \".language-markdown .token.title .token.punctuation\": {\n \"color\": \"#6cb8e6\",\n \"fontWeight\": \"bold\"\n },\n \".language-markdown .token.blockquote.punctuation\": {\n \"color\": \"#f4adf4\"\n },\n \".language-markdown .token.code\": {\n \"color\": \"#66cccc\"\n },\n \".language-markdown .token.hr.punctuation\": {\n \"color\": \"#6cb8e6\"\n },\n \".language-markdown .token.url .token.content\": {\n \"color\": \"#91d076\"\n },\n \".language-markdown .token.url-link\": {\n \"color\": \"#e6d37a\"\n },\n \".language-markdown .token.list.punctuation\": {\n \"color\": \"#f4adf4\"\n },\n \".language-markdown .token.table-header\": {\n \"color\": \"#e3eaf2\"\n },\n \".language-json .token.operator\": {\n \"color\": \"#e3eaf2\"\n },\n \".language-scss .token.variable\": {\n \"color\": \"#66cccc\"\n },\n \"token.tab:not(:empty):before\": {\n \"color\": \"#8da1b9\"\n },\n \"token.cr:before\": {\n \"color\": \"#8da1b9\"\n },\n \"token.lf:before\": {\n \"color\": \"#8da1b9\"\n },\n \"token.space:before\": {\n \"color\": \"#8da1b9\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > a\": {\n \"color\": \"#111b27\",\n \"background\": \"#6cb8e6\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > button\": {\n \"color\": \"#111b27\",\n \"background\": \"#6cb8e6\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > a:hover\": {\n \"color\": \"#111b27\",\n \"background\": \"#6cb8e6da\",\n \"textDecoration\": \"none\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > a:focus\": {\n \"color\": \"#111b27\",\n \"background\": \"#6cb8e6da\",\n \"textDecoration\": \"none\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > button:hover\": {\n \"color\": \"#111b27\",\n \"background\": \"#6cb8e6da\",\n \"textDecoration\": \"none\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > button:focus\": {\n \"color\": \"#111b27\",\n \"background\": \"#6cb8e6da\",\n \"textDecoration\": \"none\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > span\": {\n \"color\": \"#111b27\",\n \"background\": \"#8da1b9\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > span:hover\": {\n \"color\": \"#111b27\",\n \"background\": \"#8da1b9\"\n },\n \"div.code-toolbar > .toolbar.toolbar > .toolbar-item > span:focus\": {\n \"color\": \"#111b27\",\n \"background\": \"#8da1b9\"\n },\n \".line-highlight.line-highlight\": {\n \"background\": \"linear-gradient(to right, #3c526d5f 70%, #3c526d55)\"\n },\n \".line-highlight.line-highlight:before\": {\n \"backgroundColor\": \"#8da1b9\",\n \"color\": \"#111b27\",\n \"boxShadow\": \"0 1px #3c526d\"\n },\n \".line-highlight.line-highlight[data-end]:after\": {\n \"backgroundColor\": \"#8da1b9\",\n \"color\": \"#111b27\",\n \"boxShadow\": \"0 1px #3c526d\"\n },\n \"pre[id].linkable-line-numbers.linkable-line-numbers span.line-numbers-rows > span:hover:before\": {\n \"backgroundColor\": \"#8da1b918\"\n },\n \".line-numbers.line-numbers .line-numbers-rows\": {\n \"borderRight\": \"1px solid #0b121b\",\n \"background\": \"#0b121b7a\"\n },\n \".line-numbers .line-numbers-rows > span:before\": {\n \"color\": \"#8da1b9da\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-1\": {\n \"color\": \"#e6d37a\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-5\": {\n \"color\": \"#e6d37a\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-9\": {\n \"color\": \"#e6d37a\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-2\": {\n \"color\": \"#f4adf4\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-6\": {\n \"color\": \"#f4adf4\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-10\": {\n \"color\": \"#f4adf4\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-3\": {\n \"color\": \"#6cb8e6\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-7\": {\n \"color\": \"#6cb8e6\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-11\": {\n \"color\": \"#6cb8e6\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-4\": {\n \"color\": \"#c699e3\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-8\": {\n \"color\": \"#c699e3\"\n },\n \".rainbow-braces .token.token.punctuation.brace-level-12\": {\n \"color\": \"#c699e3\"\n },\n \"pre.diff-highlight > code .token.token.deleted:not(.prefix)\": {\n \"backgroundColor\": \"#cd66601f\"\n },\n \"pre > code.diff-highlight .token.token.deleted:not(.prefix)\": {\n \"backgroundColor\": \"#cd66601f\"\n },\n \"pre.diff-highlight > code .token.token.inserted:not(.prefix)\": {\n \"backgroundColor\": \"#91d0761f\"\n },\n \"pre > code.diff-highlight .token.token.inserted:not(.prefix)\": {\n \"backgroundColor\": \"#91d0761f\"\n },\n \".command-line .command-line-prompt\": {\n \"borderRight\": \"1px solid #0b121b\"\n },\n \".command-line .command-line-prompt > span:before\": {\n \"color\": \"#8da1b9da\"\n }\n};","/**\n * @typedef {import('micromark-util-types').Code} Code\n * @typedef {import('micromark-util-types').ConstructRecord} ConstructRecord\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Extension} Extension\n * @typedef {import('micromark-util-types').Previous} Previous\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {\n asciiAlpha,\n asciiAlphanumeric,\n asciiControl,\n markdownLineEndingOrSpace,\n unicodePunctuation,\n unicodeWhitespace\n} from 'micromark-util-character'\nconst wwwPrefix = {\n tokenize: tokenizeWwwPrefix,\n partial: true\n}\nconst domain = {\n tokenize: tokenizeDomain,\n partial: true\n}\nconst path = {\n tokenize: tokenizePath,\n partial: true\n}\nconst trail = {\n tokenize: tokenizeTrail,\n partial: true\n}\nconst emailDomainDotTrail = {\n tokenize: tokenizeEmailDomainDotTrail,\n partial: true\n}\nconst wwwAutolink = {\n tokenize: tokenizeWwwAutolink,\n previous: previousWww\n}\nconst protocolAutolink = {\n tokenize: tokenizeProtocolAutolink,\n previous: previousProtocol\n}\nconst emailAutolink = {\n tokenize: tokenizeEmailAutolink,\n previous: previousEmail\n}\n\n/** @type {ConstructRecord} */\nconst text = {}\n\n// To do: next major: expose functions that yields extension.\n\n/**\n * Extension for `micromark` that can be passed in `extensions` to enable GFM\n * autolink literal syntax.\n *\n * @type {Extension}\n */\nexport const gfmAutolinkLiteral = {\n text\n}\n\n/** @type {Code} */\nlet code = 48\n\n// Add alphanumerics.\nwhile (code < 123) {\n text[code] = emailAutolink\n code++\n if (code === 58) code = 65\n else if (code === 91) code = 97\n}\ntext[43] = emailAutolink\ntext[45] = emailAutolink\ntext[46] = emailAutolink\ntext[95] = emailAutolink\ntext[72] = [emailAutolink, protocolAutolink]\ntext[104] = [emailAutolink, protocolAutolink]\ntext[87] = [emailAutolink, wwwAutolink]\ntext[119] = [emailAutolink, wwwAutolink]\n\n// To do: perform email autolink literals on events, afterwards.\n// That’s where `markdown-rs` and `cmark-gfm` perform it.\n// It should look for `@`, then for atext backwards, and then for a label\n// forwards.\n// To do: `mailto:`, `xmpp:` protocol as prefix.\n\n/**\n * Email autolink literal.\n *\n * ```markdown\n * > | a contact@example.org b\n * ^^^^^^^^^^^^^^^^^^^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeEmailAutolink(effects, ok, nok) {\n const self = this\n /** @type {boolean | undefined} */\n let dot\n /** @type {boolean} */\n let data\n return start\n\n /**\n * Start of email autolink literal.\n *\n * ```markdown\n * > | a contact@example.org b\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n if (\n !gfmAtext(code) ||\n !previousEmail.call(self, self.previous) ||\n previousUnbalanced(self.events)\n ) {\n return nok(code)\n }\n effects.enter('literalAutolink')\n effects.enter('literalAutolinkEmail')\n return atext(code)\n }\n\n /**\n * In email atext.\n *\n * ```markdown\n * > | a contact@example.org b\n * ^\n * ```\n *\n * @type {State}\n */\n function atext(code) {\n if (gfmAtext(code)) {\n effects.consume(code)\n return atext\n }\n if (code === 64) {\n effects.consume(code)\n return emailDomain\n }\n return nok(code)\n }\n\n /**\n * In email domain.\n *\n * The reference code is a bit overly complex as it handles the `@`, of which\n * there may be just one.\n * Source: <https://github.com/github/cmark-gfm/blob/ef1cfcb/extensions/autolink.c#L318>\n *\n * ```markdown\n * > | a contact@example.org b\n * ^\n * ```\n *\n * @type {State}\n */\n function emailDomain(code) {\n // Dot followed by alphanumerical (not `-` or `_`).\n if (code === 46) {\n return effects.check(\n emailDomainDotTrail,\n emailDomainAfter,\n emailDomainDot\n )(code)\n }\n\n // Alphanumerical, `-`, and `_`.\n if (code === 45 || code === 95 || asciiAlphanumeric(code)) {\n data = true\n effects.consume(code)\n return emailDomain\n }\n\n // To do: `/` if xmpp.\n\n // Note: normally we’d truncate trailing punctuation from the link.\n // However, email autolink literals cannot contain any of those markers,\n // except for `.`, but that can only occur if it isn’t trailing.\n // So we can ignore truncating!\n return emailDomainAfter(code)\n }\n\n /**\n * In email domain, on dot that is not a trail.\n *\n * ```markdown\n * > | a contact@example.org b\n * ^\n * ```\n *\n * @type {State}\n */\n function emailDomainDot(code) {\n effects.consume(code)\n dot = true\n return emailDomain\n }\n\n /**\n * After email domain.\n *\n * ```markdown\n * > | a contact@example.org b\n * ^\n * ```\n *\n * @type {State}\n */\n function emailDomainAfter(code) {\n // Domain must not be empty, must include a dot, and must end in alphabetical.\n // Source: <https://github.com/github/cmark-gfm/blob/ef1cfcb/extensions/autolink.c#L332>.\n if (data && dot && asciiAlpha(self.previous)) {\n effects.exit('literalAutolinkEmail')\n effects.exit('literalAutolink')\n return ok(code)\n }\n return nok(code)\n }\n}\n\n/**\n * `www` autolink literal.\n *\n * ```markdown\n * > | a www.example.org b\n * ^^^^^^^^^^^^^^^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeWwwAutolink(effects, ok, nok) {\n const self = this\n return wwwStart\n\n /**\n * Start of www autolink literal.\n *\n * ```markdown\n * > | www.example.com/a?b#c\n * ^\n * ```\n *\n * @type {State}\n */\n function wwwStart(code) {\n if (\n (code !== 87 && code !== 119) ||\n !previousWww.call(self, self.previous) ||\n previousUnbalanced(self.events)\n ) {\n return nok(code)\n }\n effects.enter('literalAutolink')\n effects.enter('literalAutolinkWww')\n // Note: we *check*, so we can discard the `www.` we parsed.\n // If it worked, we consider it as a part of the domain.\n return effects.check(\n wwwPrefix,\n effects.attempt(domain, effects.attempt(path, wwwAfter), nok),\n nok\n )(code)\n }\n\n /**\n * After a www autolink literal.\n *\n * ```markdown\n * > | www.example.com/a?b#c\n * ^\n * ```\n *\n * @type {State}\n */\n function wwwAfter(code) {\n effects.exit('literalAutolinkWww')\n effects.exit('literalAutolink')\n return ok(code)\n }\n}\n\n/**\n * Protocol autolink literal.\n *\n * ```markdown\n * > | a https://example.org b\n * ^^^^^^^^^^^^^^^^^^^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeProtocolAutolink(effects, ok, nok) {\n const self = this\n let buffer = ''\n let seen = false\n return protocolStart\n\n /**\n * Start of protocol autolink literal.\n *\n * ```markdown\n * > | https://example.com/a?b#c\n * ^\n * ```\n *\n * @type {State}\n */\n function protocolStart(code) {\n if (\n (code === 72 || code === 104) &&\n previousProtocol.call(self, self.previous) &&\n !previousUnbalanced(self.events)\n ) {\n effects.enter('literalAutolink')\n effects.enter('literalAutolinkHttp')\n buffer += String.fromCodePoint(code)\n effects.consume(code)\n return protocolPrefixInside\n }\n return nok(code)\n }\n\n /**\n * In protocol.\n *\n * ```markdown\n * > | https://example.com/a?b#c\n * ^^^^^\n * ```\n *\n * @type {State}\n */\n function protocolPrefixInside(code) {\n // `5` is size of `https`\n if (asciiAlpha(code) && buffer.length < 5) {\n // @ts-expect-error: definitely number.\n buffer += String.fromCodePoint(code)\n effects.consume(code)\n return protocolPrefixInside\n }\n if (code === 58) {\n const protocol = buffer.toLowerCase()\n if (protocol === 'http' || protocol === 'https') {\n effects.consume(code)\n return protocolSlashesInside\n }\n }\n return nok(code)\n }\n\n /**\n * In slashes.\n *\n * ```markdown\n * > | https://example.com/a?b#c\n * ^^\n * ```\n *\n * @type {State}\n */\n function protocolSlashesInside(code) {\n if (code === 47) {\n effects.consume(code)\n if (seen) {\n return afterProtocol\n }\n seen = true\n return protocolSlashesInside\n }\n return nok(code)\n }\n\n /**\n * After protocol, before domain.\n *\n * ```markdown\n * > | https://example.com/a?b#c\n * ^\n * ```\n *\n * @type {State}\n */\n function afterProtocol(code) {\n // To do: this is different from `markdown-rs`:\n // https://github.com/wooorm/markdown-rs/blob/b3a921c761309ae00a51fe348d8a43adbc54b518/src/construct/gfm_autolink_literal.rs#L172-L182\n return code === null ||\n asciiControl(code) ||\n markdownLineEndingOrSpace(code) ||\n unicodeWhitespace(code) ||\n unicodePunctuation(code)\n ? nok(code)\n : effects.attempt(domain, effects.attempt(path, protocolAfter), nok)(code)\n }\n\n /**\n * After a protocol autolink literal.\n *\n * ```markdown\n * > | https://example.com/a?b#c\n * ^\n * ```\n *\n * @type {State}\n */\n function protocolAfter(code) {\n effects.exit('literalAutolinkHttp')\n effects.exit('literalAutolink')\n return ok(code)\n }\n}\n\n/**\n * `www` prefix.\n *\n * ```markdown\n * > | a www.example.org b\n * ^^^^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeWwwPrefix(effects, ok, nok) {\n let size = 0\n return wwwPrefixInside\n\n /**\n * In www prefix.\n *\n * ```markdown\n * > | www.example.com\n * ^^^^\n * ```\n *\n * @type {State}\n */\n function wwwPrefixInside(code) {\n if ((code === 87 || code === 119) && size < 3) {\n size++\n effects.consume(code)\n return wwwPrefixInside\n }\n if (code === 46 && size === 3) {\n effects.consume(code)\n return wwwPrefixAfter\n }\n return nok(code)\n }\n\n /**\n * After www prefix.\n *\n * ```markdown\n * > | www.example.com\n * ^\n * ```\n *\n * @type {State}\n */\n function wwwPrefixAfter(code) {\n // If there is *anything*, we can link.\n return code === null ? nok(code) : ok(code)\n }\n}\n\n/**\n * Domain.\n *\n * ```markdown\n * > | a https://example.org b\n * ^^^^^^^^^^^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeDomain(effects, ok, nok) {\n /** @type {boolean | undefined} */\n let underscoreInLastSegment\n /** @type {boolean | undefined} */\n let underscoreInLastLastSegment\n /** @type {boolean | undefined} */\n let seen\n return domainInside\n\n /**\n * In domain.\n *\n * ```markdown\n * > | https://example.com/a\n * ^^^^^^^^^^^\n * ```\n *\n * @type {State}\n */\n function domainInside(code) {\n // Check whether this marker, which is a trailing punctuation\n // marker, optionally followed by more trailing markers, and then\n // followed by an end.\n if (code === 46 || code === 95) {\n return effects.check(trail, domainAfter, domainAtPunctuation)(code)\n }\n\n // GH documents that only alphanumerics (other than `-`, `.`, and `_`) can\n // occur, which sounds like ASCII only, but they also support `www.點看.com`,\n // so that’s Unicode.\n // Instead of some new production for Unicode alphanumerics, markdown\n // already has that for Unicode punctuation and whitespace, so use those.\n // Source: <https://github.com/github/cmark-gfm/blob/ef1cfcb/extensions/autolink.c#L12>.\n if (\n code === null ||\n markdownLineEndingOrSpace(code) ||\n unicodeWhitespace(code) ||\n (code !== 45 && unicodePunctuation(code))\n ) {\n return domainAfter(code)\n }\n seen = true\n effects.consume(code)\n return domainInside\n }\n\n /**\n * In domain, at potential trailing punctuation, that was not trailing.\n *\n * ```markdown\n * > | https://example.com\n * ^\n * ```\n *\n * @type {State}\n */\n function domainAtPunctuation(code) {\n // There is an underscore in the last segment of the domain\n if (code === 95) {\n underscoreInLastSegment = true\n }\n // Otherwise, it’s a `.`: save the last segment underscore in the\n // penultimate segment slot.\n else {\n underscoreInLastLastSegment = underscoreInLastSegment\n underscoreInLastSegment = undefined\n }\n effects.consume(code)\n return domainInside\n }\n\n /**\n * After domain.\n *\n * ```markdown\n * > | https://example.com/a\n * ^\n * ```\n *\n * @type {State} */\n function domainAfter(code) {\n // Note: that’s GH says a dot is needed, but it’s not true:\n // <https://github.com/github/cmark-gfm/issues/279>\n if (underscoreInLastLastSegment || underscoreInLastSegment || !seen) {\n return nok(code)\n }\n return ok(code)\n }\n}\n\n/**\n * Path.\n *\n * ```markdown\n * > | a https://example.org/stuff b\n * ^^^^^^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizePath(effects, ok) {\n let sizeOpen = 0\n let sizeClose = 0\n return pathInside\n\n /**\n * In path.\n *\n * ```markdown\n * > | https://example.com/a\n * ^^\n * ```\n *\n * @type {State}\n */\n function pathInside(code) {\n if (code === 40) {\n sizeOpen++\n effects.consume(code)\n return pathInside\n }\n\n // To do: `markdown-rs` also needs this.\n // If this is a paren, and there are less closings than openings,\n // we don’t check for a trail.\n if (code === 41 && sizeClose < sizeOpen) {\n return pathAtPunctuation(code)\n }\n\n // Check whether this trailing punctuation marker is optionally\n // followed by more trailing markers, and then followed\n // by an end.\n if (\n code === 33 ||\n code === 34 ||\n code === 38 ||\n code === 39 ||\n code === 41 ||\n code === 42 ||\n code === 44 ||\n code === 46 ||\n code === 58 ||\n code === 59 ||\n code === 60 ||\n code === 63 ||\n code === 93 ||\n code === 95 ||\n code === 126\n ) {\n return effects.check(trail, ok, pathAtPunctuation)(code)\n }\n if (\n code === null ||\n markdownLineEndingOrSpace(code) ||\n unicodeWhitespace(code)\n ) {\n return ok(code)\n }\n effects.consume(code)\n return pathInside\n }\n\n /**\n * In path, at potential trailing punctuation, that was not trailing.\n *\n * ```markdown\n * > | https://example.com/a\"b\n * ^\n * ```\n *\n * @type {State}\n */\n function pathAtPunctuation(code) {\n // Count closing parens.\n if (code === 41) {\n sizeClose++\n }\n effects.consume(code)\n return pathInside\n }\n}\n\n/**\n * Trail.\n *\n * This calls `ok` if this *is* the trail, followed by an end, which means\n * the entire trail is not part of the link.\n * It calls `nok` if this *is* part of the link.\n *\n * ```markdown\n * > | https://example.com\").\n * ^^^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeTrail(effects, ok, nok) {\n return trail\n\n /**\n * In trail of domain or path.\n *\n * ```markdown\n * > | https://example.com\").\n * ^\n * ```\n *\n * @type {State}\n */\n function trail(code) {\n // Regular trailing punctuation.\n if (\n code === 33 ||\n code === 34 ||\n code === 39 ||\n code === 41 ||\n code === 42 ||\n code === 44 ||\n code === 46 ||\n code === 58 ||\n code === 59 ||\n code === 63 ||\n code === 95 ||\n code === 126\n ) {\n effects.consume(code)\n return trail\n }\n\n // `&` followed by one or more alphabeticals and then a `;`, is\n // as a whole considered as trailing punctuation.\n // In all other cases, it is considered as continuation of the URL.\n if (code === 38) {\n effects.consume(code)\n return trailCharRefStart\n }\n\n // Needed because we allow literals after `[`, as we fix:\n // <https://github.com/github/cmark-gfm/issues/278>.\n // Check that it is not followed by `(` or `[`.\n if (code === 93) {\n effects.consume(code)\n return trailBracketAfter\n }\n if (\n // `<` is an end.\n code === 60 ||\n // So is whitespace.\n code === null ||\n markdownLineEndingOrSpace(code) ||\n unicodeWhitespace(code)\n ) {\n return ok(code)\n }\n return nok(code)\n }\n\n /**\n * In trail, after `]`.\n *\n * > 👉 **Note**: this deviates from `cmark-gfm` to fix a bug.\n * > See end of <https://github.com/github/cmark-gfm/issues/278> for more.\n *\n * ```markdown\n * > | https://example.com](\n * ^\n * ```\n *\n * @type {State}\n */\n function trailBracketAfter(code) {\n // Whitespace or something that could start a resource or reference is the end.\n // Switch back to trail otherwise.\n if (\n code === null ||\n code === 40 ||\n code === 91 ||\n markdownLineEndingOrSpace(code) ||\n unicodeWhitespace(code)\n ) {\n return ok(code)\n }\n return trail(code)\n }\n\n /**\n * In character-reference like trail, after `&`.\n *\n * ```markdown\n * > | https://example.com&).\n * ^\n * ```\n *\n * @type {State}\n */\n function trailCharRefStart(code) {\n // When non-alpha, it’s not a trail.\n return asciiAlpha(code) ? trailCharRefInside(code) : nok(code)\n }\n\n /**\n * In character-reference like trail.\n *\n * ```markdown\n * > | https://example.com&).\n * ^\n * ```\n *\n * @type {State}\n */\n function trailCharRefInside(code) {\n // Switch back to trail if this is well-formed.\n if (code === 59) {\n effects.consume(code)\n return trail\n }\n if (asciiAlpha(code)) {\n effects.consume(code)\n return trailCharRefInside\n }\n\n // It’s not a trail.\n return nok(code)\n }\n}\n\n/**\n * Dot in email domain trail.\n *\n * This calls `ok` if this *is* the trail, followed by an end, which means\n * the trail is not part of the link.\n * It calls `nok` if this *is* part of the link.\n *\n * ```markdown\n * > | contact@example.org.\n * ^\n * ```\n *\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeEmailDomainDotTrail(effects, ok, nok) {\n return start\n\n /**\n * Dot.\n *\n * ```markdown\n * > | contact@example.org.\n * ^ ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n // Must be dot.\n effects.consume(code)\n return after\n }\n\n /**\n * After dot.\n *\n * ```markdown\n * > | contact@example.org.\n * ^ ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n // Not a trail if alphanumeric.\n return asciiAlphanumeric(code) ? nok(code) : ok(code)\n }\n}\n\n/**\n * See:\n * <https://github.com/github/cmark-gfm/blob/ef1cfcb/extensions/autolink.c#L156>.\n *\n * @type {Previous}\n */\nfunction previousWww(code) {\n return (\n code === null ||\n code === 40 ||\n code === 42 ||\n code === 95 ||\n code === 91 ||\n code === 93 ||\n code === 126 ||\n markdownLineEndingOrSpace(code)\n )\n}\n\n/**\n * See:\n * <https://github.com/github/cmark-gfm/blob/ef1cfcb/extensions/autolink.c#L214>.\n *\n * @type {Previous}\n */\nfunction previousProtocol(code) {\n return !asciiAlpha(code)\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Previous}\n */\nfunction previousEmail(code) {\n // Do not allow a slash “inside” atext.\n // The reference code is a bit weird, but that’s what it results in.\n // Source: <https://github.com/github/cmark-gfm/blob/ef1cfcb/extensions/autolink.c#L307>.\n // Other than slash, every preceding character is allowed.\n return !(code === 47 || gfmAtext(code))\n}\n\n/**\n * @param {Code} code\n * @returns {boolean}\n */\nfunction gfmAtext(code) {\n return (\n code === 43 ||\n code === 45 ||\n code === 46 ||\n code === 95 ||\n asciiAlphanumeric(code)\n )\n}\n\n/**\n * @param {Array<Event>} events\n * @returns {boolean}\n */\nfunction previousUnbalanced(events) {\n let index = events.length\n let result = false\n while (index--) {\n const token = events[index][1]\n if (\n (token.type === 'labelLink' || token.type === 'labelImage') &&\n !token._balanced\n ) {\n result = true\n break\n }\n\n // If we’ve seen this token, and it was marked as not having any unbalanced\n // bracket before it, we can exit.\n if (token._gfmAutolinkLiteralWalkedInto) {\n result = false\n break\n }\n }\n if (events.length > 0 && !result) {\n // Mark the last token as “walked into” w/o finding\n // anything.\n events[events.length - 1][1]._gfmAutolinkLiteralWalkedInto = true\n }\n return result\n}\n","/**\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Exiter} Exiter\n * @typedef {import('micromark-util-types').Extension} Extension\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {blankLine} from 'micromark-core-commonmark'\nimport {factorySpace} from 'micromark-factory-space'\nimport {markdownLineEndingOrSpace} from 'micromark-util-character'\nimport {normalizeIdentifier} from 'micromark-util-normalize-identifier'\nconst indent = {\n tokenize: tokenizeIndent,\n partial: true\n}\n\n// To do: micromark should support a `_hiddenGfmFootnoteSupport`, which only\n// affects label start (image).\n// That will let us drop `tokenizePotentialGfmFootnote*`.\n// It currently has a `_hiddenFootnoteSupport`, which affects that and more.\n// That can be removed when `micromark-extension-footnote` is archived.\n\n/**\n * Create an extension for `micromark` to enable GFM footnote syntax.\n *\n * @returns {Extension}\n * Extension for `micromark` that can be passed in `extensions` to\n * enable GFM footnote syntax.\n */\nexport function gfmFootnote() {\n /** @type {Extension} */\n return {\n document: {\n [91]: {\n tokenize: tokenizeDefinitionStart,\n continuation: {\n tokenize: tokenizeDefinitionContinuation\n },\n exit: gfmFootnoteDefinitionEnd\n }\n },\n text: {\n [91]: {\n tokenize: tokenizeGfmFootnoteCall\n },\n [93]: {\n add: 'after',\n tokenize: tokenizePotentialGfmFootnoteCall,\n resolveTo: resolveToPotentialGfmFootnoteCall\n }\n }\n }\n}\n\n// To do: remove after micromark update.\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizePotentialGfmFootnoteCall(effects, ok, nok) {\n const self = this\n let index = self.events.length\n /** @type {Array<string>} */\n // @ts-expect-error It’s fine!\n const defined = self.parser.gfmFootnotes || (self.parser.gfmFootnotes = [])\n /** @type {Token} */\n let labelStart\n\n // Find an opening.\n while (index--) {\n const token = self.events[index][1]\n if (token.type === 'labelImage') {\n labelStart = token\n break\n }\n\n // Exit if we’ve walked far enough.\n if (\n token.type === 'gfmFootnoteCall' ||\n token.type === 'labelLink' ||\n token.type === 'label' ||\n token.type === 'image' ||\n token.type === 'link'\n ) {\n break\n }\n }\n return start\n\n /**\n * @type {State}\n */\n function start(code) {\n if (!labelStart || !labelStart._balanced) {\n return nok(code)\n }\n const id = normalizeIdentifier(\n self.sliceSerialize({\n start: labelStart.end,\n end: self.now()\n })\n )\n if (id.codePointAt(0) !== 94 || !defined.includes(id.slice(1))) {\n return nok(code)\n }\n effects.enter('gfmFootnoteCallLabelMarker')\n effects.consume(code)\n effects.exit('gfmFootnoteCallLabelMarker')\n return ok(code)\n }\n}\n\n// To do: remove after micromark update.\n/** @type {Resolver} */\nfunction resolveToPotentialGfmFootnoteCall(events, context) {\n let index = events.length\n /** @type {Token | undefined} */\n let labelStart\n\n // Find an opening.\n while (index--) {\n if (\n events[index][1].type === 'labelImage' &&\n events[index][0] === 'enter'\n ) {\n labelStart = events[index][1]\n break\n }\n }\n // Change the `labelImageMarker` to a `data`.\n events[index + 1][1].type = 'data'\n events[index + 3][1].type = 'gfmFootnoteCallLabelMarker'\n\n // The whole (without `!`):\n /** @type {Token} */\n const call = {\n type: 'gfmFootnoteCall',\n start: Object.assign({}, events[index + 3][1].start),\n end: Object.assign({}, events[events.length - 1][1].end)\n }\n // The `^` marker\n /** @type {Token} */\n const marker = {\n type: 'gfmFootnoteCallMarker',\n start: Object.assign({}, events[index + 3][1].end),\n end: Object.assign({}, events[index + 3][1].end)\n }\n // Increment the end 1 character.\n marker.end.column++\n marker.end.offset++\n marker.end._bufferIndex++\n /** @type {Token} */\n const string = {\n type: 'gfmFootnoteCallString',\n start: Object.assign({}, marker.end),\n end: Object.assign({}, events[events.length - 1][1].start)\n }\n /** @type {Token} */\n const chunk = {\n type: 'chunkString',\n contentType: 'string',\n start: Object.assign({}, string.start),\n end: Object.assign({}, string.end)\n }\n\n /** @type {Array<Event>} */\n const replacement = [\n // Take the `labelImageMarker` (now `data`, the `!`)\n events[index + 1],\n events[index + 2],\n ['enter', call, context],\n // The `[`\n events[index + 3],\n events[index + 4],\n // The `^`.\n ['enter', marker, context],\n ['exit', marker, context],\n // Everything in between.\n ['enter', string, context],\n ['enter', chunk, context],\n ['exit', chunk, context],\n ['exit', string, context],\n // The ending (`]`, properly parsed and labelled).\n events[events.length - 2],\n events[events.length - 1],\n ['exit', call, context]\n ]\n events.splice(index, events.length - index + 1, ...replacement)\n return events\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeGfmFootnoteCall(effects, ok, nok) {\n const self = this\n /** @type {Array<string>} */\n // @ts-expect-error It’s fine!\n const defined = self.parser.gfmFootnotes || (self.parser.gfmFootnotes = [])\n let size = 0\n /** @type {boolean} */\n let data\n\n // Note: the implementation of `markdown-rs` is different, because it houses\n // core *and* extensions in one project.\n // Therefore, it can include footnote logic inside `label-end`.\n // We can’t do that, but luckily, we can parse footnotes in a simpler way than\n // needed for labels.\n return start\n\n /**\n * Start of footnote label.\n *\n * ```markdown\n * > | a [^b] c\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('gfmFootnoteCall')\n effects.enter('gfmFootnoteCallLabelMarker')\n effects.consume(code)\n effects.exit('gfmFootnoteCallLabelMarker')\n return callStart\n }\n\n /**\n * After `[`, at `^`.\n *\n * ```markdown\n * > | a [^b] c\n * ^\n * ```\n *\n * @type {State}\n */\n function callStart(code) {\n if (code !== 94) return nok(code)\n effects.enter('gfmFootnoteCallMarker')\n effects.consume(code)\n effects.exit('gfmFootnoteCallMarker')\n effects.enter('gfmFootnoteCallString')\n effects.enter('chunkString').contentType = 'string'\n return callData\n }\n\n /**\n * In label.\n *\n * ```markdown\n * > | a [^b] c\n * ^\n * ```\n *\n * @type {State}\n */\n function callData(code) {\n if (\n // Too long.\n size > 999 ||\n // Closing brace with nothing.\n (code === 93 && !data) ||\n // Space or tab is not supported by GFM for some reason.\n // `\\n` and `[` not being supported makes sense.\n code === null ||\n code === 91 ||\n markdownLineEndingOrSpace(code)\n ) {\n return nok(code)\n }\n if (code === 93) {\n effects.exit('chunkString')\n const token = effects.exit('gfmFootnoteCallString')\n if (!defined.includes(normalizeIdentifier(self.sliceSerialize(token)))) {\n return nok(code)\n }\n effects.enter('gfmFootnoteCallLabelMarker')\n effects.consume(code)\n effects.exit('gfmFootnoteCallLabelMarker')\n effects.exit('gfmFootnoteCall')\n return ok\n }\n if (!markdownLineEndingOrSpace(code)) {\n data = true\n }\n size++\n effects.consume(code)\n return code === 92 ? callEscape : callData\n }\n\n /**\n * On character after escape.\n *\n * ```markdown\n * > | a [^b\\c] d\n * ^\n * ```\n *\n * @type {State}\n */\n function callEscape(code) {\n if (code === 91 || code === 92 || code === 93) {\n effects.consume(code)\n size++\n return callData\n }\n return callData(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeDefinitionStart(effects, ok, nok) {\n const self = this\n /** @type {Array<string>} */\n // @ts-expect-error It’s fine!\n const defined = self.parser.gfmFootnotes || (self.parser.gfmFootnotes = [])\n /** @type {string} */\n let identifier\n let size = 0\n /** @type {boolean | undefined} */\n let data\n return start\n\n /**\n * Start of GFM footnote definition.\n *\n * ```markdown\n * > | [^a]: b\n * ^\n * ```\n *\n * @type {State}\n */\n function start(code) {\n effects.enter('gfmFootnoteDefinition')._container = true\n effects.enter('gfmFootnoteDefinitionLabel')\n effects.enter('gfmFootnoteDefinitionLabelMarker')\n effects.consume(code)\n effects.exit('gfmFootnoteDefinitionLabelMarker')\n return labelAtMarker\n }\n\n /**\n * In label, at caret.\n *\n * ```markdown\n * > | [^a]: b\n * ^\n * ```\n *\n * @type {State}\n */\n function labelAtMarker(code) {\n if (code === 94) {\n effects.enter('gfmFootnoteDefinitionMarker')\n effects.consume(code)\n effects.exit('gfmFootnoteDefinitionMarker')\n effects.enter('gfmFootnoteDefinitionLabelString')\n effects.enter('chunkString').contentType = 'string'\n return labelInside\n }\n return nok(code)\n }\n\n /**\n * In label.\n *\n * > 👉 **Note**: `cmark-gfm` prevents whitespace from occurring in footnote\n * > definition labels.\n *\n * ```markdown\n * > | [^a]: b\n * ^\n * ```\n *\n * @type {State}\n */\n function labelInside(code) {\n if (\n // Too long.\n size > 999 ||\n // Closing brace with nothing.\n (code === 93 && !data) ||\n // Space or tab is not supported by GFM for some reason.\n // `\\n` and `[` not being supported makes sense.\n code === null ||\n code === 91 ||\n markdownLineEndingOrSpace(code)\n ) {\n return nok(code)\n }\n if (code === 93) {\n effects.exit('chunkString')\n const token = effects.exit('gfmFootnoteDefinitionLabelString')\n identifier = normalizeIdentifier(self.sliceSerialize(token))\n effects.enter('gfmFootnoteDefinitionLabelMarker')\n effects.consume(code)\n effects.exit('gfmFootnoteDefinitionLabelMarker')\n effects.exit('gfmFootnoteDefinitionLabel')\n return labelAfter\n }\n if (!markdownLineEndingOrSpace(code)) {\n data = true\n }\n size++\n effects.consume(code)\n return code === 92 ? labelEscape : labelInside\n }\n\n /**\n * After `\\`, at a special character.\n *\n * > 👉 **Note**: `cmark-gfm` currently does not support escaped brackets:\n * > <https://github.com/github/cmark-gfm/issues/240>\n *\n * ```markdown\n * > | [^a\\*b]: c\n * ^\n * ```\n *\n * @type {State}\n */\n function labelEscape(code) {\n if (code === 91 || code === 92 || code === 93) {\n effects.consume(code)\n size++\n return labelInside\n }\n return labelInside(code)\n }\n\n /**\n * After definition label.\n *\n * ```markdown\n * > | [^a]: b\n * ^\n * ```\n *\n * @type {State}\n */\n function labelAfter(code) {\n if (code === 58) {\n effects.enter('definitionMarker')\n effects.consume(code)\n effects.exit('definitionMarker')\n if (!defined.includes(identifier)) {\n defined.push(identifier)\n }\n\n // Any whitespace after the marker is eaten, forming indented code\n // is not possible.\n // No space is also fine, just like a block quote marker.\n return factorySpace(\n effects,\n whitespaceAfter,\n 'gfmFootnoteDefinitionWhitespace'\n )\n }\n return nok(code)\n }\n\n /**\n * After definition prefix.\n *\n * ```markdown\n * > | [^a]: b\n * ^\n * ```\n *\n * @type {State}\n */\n function whitespaceAfter(code) {\n // `markdown-rs` has a wrapping token for the prefix that is closed here.\n return ok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeDefinitionContinuation(effects, ok, nok) {\n /// Start of footnote definition continuation.\n ///\n /// ```markdown\n /// | [^a]: b\n /// > | c\n /// ^\n /// ```\n //\n // Either a blank line, which is okay, or an indented thing.\n return effects.check(blankLine, ok, effects.attempt(indent, ok, nok))\n}\n\n/** @type {Exiter} */\nfunction gfmFootnoteDefinitionEnd(effects) {\n effects.exit('gfmFootnoteDefinition')\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeIndent(effects, ok, nok) {\n const self = this\n return factorySpace(\n effects,\n afterPrefix,\n 'gfmFootnoteDefinitionIndent',\n 4 + 1\n )\n\n /**\n * @type {State}\n */\n function afterPrefix(code) {\n const tail = self.events[self.events.length - 1]\n return tail &&\n tail[1].type === 'gfmFootnoteDefinitionIndent' &&\n tail[2].sliceSerialize(tail[1], true).length === 4\n ? ok(code)\n : nok(code)\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Extension} Extension\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n *\n * @typedef Options\n * Configuration (optional).\n * @property {boolean} [singleTilde=true]\n * Whether to support strikethrough with a single tilde.\n *\n * Single tildes work on github.com, but are technically prohibited by the\n * GFM spec.\n */\n\nimport {splice} from 'micromark-util-chunked'\nimport {classifyCharacter} from 'micromark-util-classify-character'\nimport {resolveAll} from 'micromark-util-resolve-all'\n/**\n * Create an extension for `micromark` to enable GFM strikethrough syntax.\n *\n * @param {Options | null | undefined} [options]\n * Configuration.\n * @returns {Extension}\n * Extension for `micromark` that can be passed in `extensions`, to\n * enable GFM strikethrough syntax.\n */\nexport function gfmStrikethrough(options) {\n const options_ = options || {}\n let single = options_.singleTilde\n const tokenizer = {\n tokenize: tokenizeStrikethrough,\n resolveAll: resolveAllStrikethrough\n }\n if (single === null || single === undefined) {\n single = true\n }\n return {\n text: {\n [126]: tokenizer\n },\n insideSpan: {\n null: [tokenizer]\n },\n attentionMarkers: {\n null: [126]\n }\n }\n\n /**\n * Take events and resolve strikethrough.\n *\n * @type {Resolver}\n */\n function resolveAllStrikethrough(events, context) {\n let index = -1\n\n // Walk through all events.\n while (++index < events.length) {\n // Find a token that can close.\n if (\n events[index][0] === 'enter' &&\n events[index][1].type === 'strikethroughSequenceTemporary' &&\n events[index][1]._close\n ) {\n let open = index\n\n // Now walk back to find an opener.\n while (open--) {\n // Find a token that can open the closer.\n if (\n events[open][0] === 'exit' &&\n events[open][1].type === 'strikethroughSequenceTemporary' &&\n events[open][1]._open &&\n // If the sizes are the same:\n events[index][1].end.offset - events[index][1].start.offset ===\n events[open][1].end.offset - events[open][1].start.offset\n ) {\n events[index][1].type = 'strikethroughSequence'\n events[open][1].type = 'strikethroughSequence'\n\n /** @type {Token} */\n const strikethrough = {\n type: 'strikethrough',\n start: Object.assign({}, events[open][1].start),\n end: Object.assign({}, events[index][1].end)\n }\n\n /** @type {Token} */\n const text = {\n type: 'strikethroughText',\n start: Object.assign({}, events[open][1].end),\n end: Object.assign({}, events[index][1].start)\n }\n\n // Opening.\n /** @type {Array<Event>} */\n const nextEvents = [\n ['enter', strikethrough, context],\n ['enter', events[open][1], context],\n ['exit', events[open][1], context],\n ['enter', text, context]\n ]\n const insideSpan = context.parser.constructs.insideSpan.null\n if (insideSpan) {\n // Between.\n splice(\n nextEvents,\n nextEvents.length,\n 0,\n resolveAll(insideSpan, events.slice(open + 1, index), context)\n )\n }\n\n // Closing.\n splice(nextEvents, nextEvents.length, 0, [\n ['exit', text, context],\n ['enter', events[index][1], context],\n ['exit', events[index][1], context],\n ['exit', strikethrough, context]\n ])\n splice(events, open - 1, index - open + 3, nextEvents)\n index = open + nextEvents.length - 2\n break\n }\n }\n }\n }\n index = -1\n while (++index < events.length) {\n if (events[index][1].type === 'strikethroughSequenceTemporary') {\n events[index][1].type = 'data'\n }\n }\n return events\n }\n\n /**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\n function tokenizeStrikethrough(effects, ok, nok) {\n const previous = this.previous\n const events = this.events\n let size = 0\n return start\n\n /** @type {State} */\n function start(code) {\n if (\n previous === 126 &&\n events[events.length - 1][1].type !== 'characterEscape'\n ) {\n return nok(code)\n }\n effects.enter('strikethroughSequenceTemporary')\n return more(code)\n }\n\n /** @type {State} */\n function more(code) {\n const before = classifyCharacter(previous)\n if (code === 126) {\n // If this is the third marker, exit.\n if (size > 1) return nok(code)\n effects.consume(code)\n size++\n return more\n }\n if (size < 2 && !single) return nok(code)\n const token = effects.exit('strikethroughSequenceTemporary')\n const after = classifyCharacter(code)\n token._open = !after || (after === 2 && Boolean(before))\n token._close = !before || (before === 2 && Boolean(after))\n return ok(code)\n }\n }\n}\n","/**\n * @typedef {import('micromark-util-types').Event} Event\n */\n\n// Port of `edit_map.rs` from `markdown-rs`.\n// This should move to `markdown-js` later.\n\n// Deal with several changes in events, batching them together.\n//\n// Preferably, changes should be kept to a minimum.\n// Sometimes, it’s needed to change the list of events, because parsing can be\n// messy, and it helps to expose a cleaner interface of events to the compiler\n// and other users.\n// It can also help to merge many adjacent similar events.\n// And, in other cases, it’s needed to parse subcontent: pass some events\n// through another tokenizer and inject the result.\n\n/**\n * @typedef {[number, number, Array<Event>]} Change\n * @typedef {[number, number, number]} Jump\n */\n\n/**\n * Tracks a bunch of edits.\n */\nexport class EditMap {\n /**\n * Create a new edit map.\n */\n constructor() {\n /**\n * Record of changes.\n *\n * @type {Array<Change>}\n */\n this.map = []\n }\n\n /**\n * Create an edit: a remove and/or add at a certain place.\n *\n * @param {number} index\n * @param {number} remove\n * @param {Array<Event>} add\n * @returns {void}\n */\n add(index, remove, add) {\n addImpl(this, index, remove, add)\n }\n\n // To do: not used here.\n // /**\n // * Create an edit: but insert `add` before existing additions.\n // *\n // * @param {number} index\n // * @param {number} remove\n // * @param {Array<Event>} add\n // * @returns {void}\n // */\n // addBefore(index, remove, add) {\n // addImpl(this, index, remove, add, true)\n // }\n\n /**\n * Done, change the events.\n *\n * @param {Array<Event>} events\n * @returns {void}\n */\n consume(events) {\n this.map.sort((a, b) => a[0] - b[0])\n\n /* c8 ignore next 3 -- `resolve` is never called without tables, so without edits. */\n if (this.map.length === 0) {\n return\n }\n\n // To do: if links are added in events, like they are in `markdown-rs`,\n // this is needed.\n // // Calculate jumps: where items in the current list move to.\n // /** @type {Array<Jump>} */\n // const jumps = []\n // let index = 0\n // let addAcc = 0\n // let removeAcc = 0\n // while (index < this.map.length) {\n // const [at, remove, add] = this.map[index]\n // removeAcc += remove\n // addAcc += add.length\n // jumps.push([at, removeAcc, addAcc])\n // index += 1\n // }\n //\n // . shiftLinks(events, jumps)\n\n let index = this.map.length\n /** @type {Array<Array<Event>>} */\n const vecs = []\n while (index > 0) {\n index -= 1\n vecs.push(events.slice(this.map[index][0] + this.map[index][1]))\n // eslint-disable-next-line unicorn/no-array-push-push\n vecs.push(this.map[index][2])\n\n // Truncate rest.\n events.length = this.map[index][0]\n }\n vecs.push([...events])\n events.length = 0\n let slice = vecs.pop()\n while (slice) {\n events.push(...slice)\n slice = vecs.pop()\n }\n\n // Truncate everything.\n this.map.length = 0\n }\n}\n\n/**\n * Create an edit.\n *\n * @param {EditMap} editMap\n * @param {number} at\n * @param {number} remove\n * @param {Array<Event>} add\n * @returns {void}\n */\nfunction addImpl(editMap, at, remove, add) {\n let index = 0\n\n /* c8 ignore next 3 -- `resolve` is never called without tables, so without edits. */\n if (remove === 0 && add.length === 0) {\n return\n }\n while (index < editMap.map.length) {\n if (editMap.map[index][0] === at) {\n editMap.map[index][1] += remove\n\n // To do: before not used.\n // if (before) {\n // add.push(...editMap.map[index][2])\n // editMap.map[index][2] = add\n // } else {\n editMap.map[index][2].push(...add)\n // }\n\n return\n }\n index += 1\n }\n editMap.map.push([at, remove, add])\n}\n\n// /**\n// * Shift `previous` and `next` links according to `jumps`.\n// *\n// * This fixes links in case there are events removed or added between them.\n// *\n// * @param {Array<Event>} events\n// * @param {Array<Jump>} jumps\n// */\n// function shiftLinks(events, jumps) {\n// let jumpIndex = 0\n// let index = 0\n// let add = 0\n// let rm = 0\n\n// while (index < events.length) {\n// const rmCurr = rm\n\n// while (jumpIndex < jumps.length && jumps[jumpIndex][0] <= index) {\n// add = jumps[jumpIndex][2]\n// rm = jumps[jumpIndex][1]\n// jumpIndex += 1\n// }\n\n// // Ignore items that will be removed.\n// if (rm > rmCurr) {\n// index += rm - rmCurr\n// } else {\n// console.log('to do: links?', add, rmCurr)\n// // ?\n// // if let Some(link) = &events[index].link {\n// // if let Some(next) = link.next {\n// // events[next].link.as_mut().unwrap().previous = Some(index + add - rm);\n// // while jumpIndex < jumps.len() && jumps[jumpIndex].0 <= next {\n// // add = jumps[jumpIndex].2;\n// // rm = jumps[jumpIndex].1;\n// // jumpIndex += 1;\n// // }\n// // events[index].link.as_mut().unwrap().next = Some(next + add - rm);\n// // index = next;\n// // continue;\n// // }\n// // }\n// index += 1\n// }\n// }\n// }\n","/**\n * @typedef {import('micromark-util-types').Event} Event\n */\n\n/**\n * @typedef {'left' | 'center' | 'right' | 'none'} Align\n */\n\n/**\n * Figure out the alignment of a GFM table.\n *\n * @param {Array<Event>} events\n * @param {number} index\n * @returns {Array<Align>}\n */\nexport function gfmTableAlign(events, index) {\n let inDelimiterRow = false\n /** @type {Array<Align>} */\n const align = []\n while (index < events.length) {\n const event = events[index]\n if (inDelimiterRow) {\n if (event[0] === 'enter') {\n // Start of alignment value: set a new column.\n // To do: `markdown-rs` uses `tableDelimiterCellValue`.\n if (event[1].type === 'tableContent') {\n align.push(\n events[index + 1][1].type === 'tableDelimiterMarker'\n ? 'left'\n : 'none'\n )\n }\n }\n // Exits:\n // End of alignment value: change the column.\n // To do: `markdown-rs` uses `tableDelimiterCellValue`.\n else if (event[1].type === 'tableContent') {\n if (events[index - 1][1].type === 'tableDelimiterMarker') {\n const alignIndex = align.length - 1\n align[alignIndex] = align[alignIndex] === 'left' ? 'center' : 'right'\n }\n }\n // Done!\n else if (event[1].type === 'tableDelimiterRow') {\n break\n }\n } else if (event[0] === 'enter' && event[1].type === 'tableDelimiterRow') {\n inDelimiterRow = true\n }\n index += 1\n }\n return align\n}\n","/**\n * @typedef {import('micromark-util-types').Event} Event\n * @typedef {import('micromark-util-types').Extension} Extension\n * @typedef {import('micromark-util-types').Point} Point\n * @typedef {import('micromark-util-types').Resolver} Resolver\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').Token} Token\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\n/**\n * @typedef {[number, number, number, number]} Range\n * Cell info.\n *\n * @typedef {0 | 1 | 2 | 3} RowKind\n * Where we are: `1` for head row, `2` for delimiter row, `3` for body row.\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {\n markdownLineEnding,\n markdownLineEndingOrSpace,\n markdownSpace\n} from 'micromark-util-character'\nimport {EditMap} from './edit-map.js'\nimport {gfmTableAlign} from './infer.js'\n\n// To do: next major: expose functions.\n\n/**\n * Extension for `micromark` that can be passed in `extensions` to enable GFM\n * table syntax.\n *\n * @type {Extension}\n */\nexport const gfmTable = {\n flow: {\n null: {\n tokenize: tokenizeTable,\n resolveAll: resolveTable\n }\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeTable(effects, ok, nok) {\n const self = this\n let size = 0\n let sizeB = 0\n /** @type {boolean | undefined} */\n let seen\n return start\n\n /**\n * Start of a GFM table.\n *\n * If there is a valid table row or table head before, then we try to parse\n * another row.\n * Otherwise, we try to parse a head.\n *\n * ```markdown\n * > | | a |\n * ^\n * | | - |\n * > | | b |\n * ^\n * ```\n * @type {State}\n */\n function start(code) {\n let index = self.events.length - 1\n while (index > -1) {\n const type = self.events[index][1].type\n if (\n type === 'lineEnding' ||\n // Note: markdown-rs uses `whitespace` instead of `linePrefix`\n type === 'linePrefix'\n )\n index--\n else break\n }\n const tail = index > -1 ? self.events[index][1].type : null\n const next =\n tail === 'tableHead' || tail === 'tableRow' ? bodyRowStart : headRowBefore\n\n // Don’t allow lazy body rows.\n if (next === bodyRowStart && self.parser.lazy[self.now().line]) {\n return nok(code)\n }\n return next(code)\n }\n\n /**\n * Before table head row.\n *\n * ```markdown\n * > | | a |\n * ^\n * | | - |\n * | | b |\n * ```\n *\n * @type {State}\n */\n function headRowBefore(code) {\n effects.enter('tableHead')\n effects.enter('tableRow')\n return headRowStart(code)\n }\n\n /**\n * Before table head row, after whitespace.\n *\n * ```markdown\n * > | | a |\n * ^\n * | | - |\n * | | b |\n * ```\n *\n * @type {State}\n */\n function headRowStart(code) {\n if (code === 124) {\n return headRowBreak(code)\n }\n\n // To do: micromark-js should let us parse our own whitespace in extensions,\n // like `markdown-rs`:\n //\n // ```js\n // // 4+ spaces.\n // if (markdownSpace(code)) {\n // return nok(code)\n // }\n // ```\n\n seen = true\n // Count the first character, that isn’t a pipe, double.\n sizeB += 1\n return headRowBreak(code)\n }\n\n /**\n * At break in table head row.\n *\n * ```markdown\n * > | | a |\n * ^\n * ^\n * ^\n * | | - |\n * | | b |\n * ```\n *\n * @type {State}\n */\n function headRowBreak(code) {\n if (code === null) {\n // Note: in `markdown-rs`, we need to reset, in `micromark-js` we don‘t.\n return nok(code)\n }\n if (markdownLineEnding(code)) {\n // If anything other than one pipe (ignoring whitespace) was used, it’s fine.\n if (sizeB > 1) {\n sizeB = 0\n // To do: check if this works.\n // Feel free to interrupt:\n self.interrupt = true\n effects.exit('tableRow')\n effects.enter('lineEnding')\n effects.consume(code)\n effects.exit('lineEnding')\n return headDelimiterStart\n }\n\n // Note: in `markdown-rs`, we need to reset, in `micromark-js` we don‘t.\n return nok(code)\n }\n if (markdownSpace(code)) {\n // To do: check if this is fine.\n // effects.attempt(State::Next(StateName::GfmTableHeadRowBreak), State::Nok)\n // State::Retry(space_or_tab(tokenizer))\n return factorySpace(effects, headRowBreak, 'whitespace')(code)\n }\n sizeB += 1\n if (seen) {\n seen = false\n // Header cell count.\n size += 1\n }\n if (code === 124) {\n effects.enter('tableCellDivider')\n effects.consume(code)\n effects.exit('tableCellDivider')\n // Whether a delimiter was seen.\n seen = true\n return headRowBreak\n }\n\n // Anything else is cell data.\n effects.enter('data')\n return headRowData(code)\n }\n\n /**\n * In table head row data.\n *\n * ```markdown\n * > | | a |\n * ^\n * | | - |\n * | | b |\n * ```\n *\n * @type {State}\n */\n function headRowData(code) {\n if (code === null || code === 124 || markdownLineEndingOrSpace(code)) {\n effects.exit('data')\n return headRowBreak(code)\n }\n effects.consume(code)\n return code === 92 ? headRowEscape : headRowData\n }\n\n /**\n * In table head row escape.\n *\n * ```markdown\n * > | | a\\-b |\n * ^\n * | | ---- |\n * | | c |\n * ```\n *\n * @type {State}\n */\n function headRowEscape(code) {\n if (code === 92 || code === 124) {\n effects.consume(code)\n return headRowData\n }\n return headRowData(code)\n }\n\n /**\n * Before delimiter row.\n *\n * ```markdown\n * | | a |\n * > | | - |\n * ^\n * | | b |\n * ```\n *\n * @type {State}\n */\n function headDelimiterStart(code) {\n // Reset `interrupt`.\n self.interrupt = false\n\n // Note: in `markdown-rs`, we need to handle piercing here too.\n if (self.parser.lazy[self.now().line]) {\n return nok(code)\n }\n effects.enter('tableDelimiterRow')\n // Track if we’ve seen a `:` or `|`.\n seen = false\n if (markdownSpace(code)) {\n return factorySpace(\n effects,\n headDelimiterBefore,\n 'linePrefix',\n self.parser.constructs.disable.null.includes('codeIndented')\n ? undefined\n : 4\n )(code)\n }\n return headDelimiterBefore(code)\n }\n\n /**\n * Before delimiter row, after optional whitespace.\n *\n * Reused when a `|` is found later, to parse another cell.\n *\n * ```markdown\n * | | a |\n * > | | - |\n * ^\n * | | b |\n * ```\n *\n * @type {State}\n */\n function headDelimiterBefore(code) {\n if (code === 45 || code === 58) {\n return headDelimiterValueBefore(code)\n }\n if (code === 124) {\n seen = true\n // If we start with a pipe, we open a cell marker.\n effects.enter('tableCellDivider')\n effects.consume(code)\n effects.exit('tableCellDivider')\n return headDelimiterCellBefore\n }\n\n // More whitespace / empty row not allowed at start.\n return headDelimiterNok(code)\n }\n\n /**\n * After `|`, before delimiter cell.\n *\n * ```markdown\n * | | a |\n * > | | - |\n * ^\n * ```\n *\n * @type {State}\n */\n function headDelimiterCellBefore(code) {\n if (markdownSpace(code)) {\n return factorySpace(effects, headDelimiterValueBefore, 'whitespace')(code)\n }\n return headDelimiterValueBefore(code)\n }\n\n /**\n * Before delimiter cell value.\n *\n * ```markdown\n * | | a |\n * > | | - |\n * ^\n * ```\n *\n * @type {State}\n */\n function headDelimiterValueBefore(code) {\n // Align: left.\n if (code === 58) {\n sizeB += 1\n seen = true\n effects.enter('tableDelimiterMarker')\n effects.consume(code)\n effects.exit('tableDelimiterMarker')\n return headDelimiterLeftAlignmentAfter\n }\n\n // Align: none.\n if (code === 45) {\n sizeB += 1\n // To do: seems weird that this *isn’t* left aligned, but that state is used?\n return headDelimiterLeftAlignmentAfter(code)\n }\n if (code === null || markdownLineEnding(code)) {\n return headDelimiterCellAfter(code)\n }\n return headDelimiterNok(code)\n }\n\n /**\n * After delimiter cell left alignment marker.\n *\n * ```markdown\n * | | a |\n * > | | :- |\n * ^\n * ```\n *\n * @type {State}\n */\n function headDelimiterLeftAlignmentAfter(code) {\n if (code === 45) {\n effects.enter('tableDelimiterFiller')\n return headDelimiterFiller(code)\n }\n\n // Anything else is not ok after the left-align colon.\n return headDelimiterNok(code)\n }\n\n /**\n * In delimiter cell filler.\n *\n * ```markdown\n * | | a |\n * > | | - |\n * ^\n * ```\n *\n * @type {State}\n */\n function headDelimiterFiller(code) {\n if (code === 45) {\n effects.consume(code)\n return headDelimiterFiller\n }\n\n // Align is `center` if it was `left`, `right` otherwise.\n if (code === 58) {\n seen = true\n effects.exit('tableDelimiterFiller')\n effects.enter('tableDelimiterMarker')\n effects.consume(code)\n effects.exit('tableDelimiterMarker')\n return headDelimiterRightAlignmentAfter\n }\n effects.exit('tableDelimiterFiller')\n return headDelimiterRightAlignmentAfter(code)\n }\n\n /**\n * After delimiter cell right alignment marker.\n *\n * ```markdown\n * | | a |\n * > | | -: |\n * ^\n * ```\n *\n * @type {State}\n */\n function headDelimiterRightAlignmentAfter(code) {\n if (markdownSpace(code)) {\n return factorySpace(effects, headDelimiterCellAfter, 'whitespace')(code)\n }\n return headDelimiterCellAfter(code)\n }\n\n /**\n * After delimiter cell.\n *\n * ```markdown\n * | | a |\n * > | | -: |\n * ^\n * ```\n *\n * @type {State}\n */\n function headDelimiterCellAfter(code) {\n if (code === 124) {\n return headDelimiterBefore(code)\n }\n if (code === null || markdownLineEnding(code)) {\n // Exit when:\n // * there was no `:` or `|` at all (it’s a thematic break or setext\n // underline instead)\n // * the header cell count is not the delimiter cell count\n if (!seen || size !== sizeB) {\n return headDelimiterNok(code)\n }\n\n // Note: in markdown-rs`, a reset is needed here.\n effects.exit('tableDelimiterRow')\n effects.exit('tableHead')\n // To do: in `markdown-rs`, resolvers need to be registered manually.\n // effects.register_resolver(ResolveName::GfmTable)\n return ok(code)\n }\n return headDelimiterNok(code)\n }\n\n /**\n * In delimiter row, at a disallowed byte.\n *\n * ```markdown\n * | | a |\n * > | | x |\n * ^\n * ```\n *\n * @type {State}\n */\n function headDelimiterNok(code) {\n // Note: in `markdown-rs`, we need to reset, in `micromark-js` we don‘t.\n return nok(code)\n }\n\n /**\n * Before table body row.\n *\n * ```markdown\n * | | a |\n * | | - |\n * > | | b |\n * ^\n * ```\n *\n * @type {State}\n */\n function bodyRowStart(code) {\n // Note: in `markdown-rs` we need to manually take care of a prefix,\n // but in `micromark-js` that is done for us, so if we’re here, we’re\n // never at whitespace.\n effects.enter('tableRow')\n return bodyRowBreak(code)\n }\n\n /**\n * At break in table body row.\n *\n * ```markdown\n * | | a |\n * | | - |\n * > | | b |\n * ^\n * ^\n * ^\n * ```\n *\n * @type {State}\n */\n function bodyRowBreak(code) {\n if (code === 124) {\n effects.enter('tableCellDivider')\n effects.consume(code)\n effects.exit('tableCellDivider')\n return bodyRowBreak\n }\n if (code === null || markdownLineEnding(code)) {\n effects.exit('tableRow')\n return ok(code)\n }\n if (markdownSpace(code)) {\n return factorySpace(effects, bodyRowBreak, 'whitespace')(code)\n }\n\n // Anything else is cell content.\n effects.enter('data')\n return bodyRowData(code)\n }\n\n /**\n * In table body row data.\n *\n * ```markdown\n * | | a |\n * | | - |\n * > | | b |\n * ^\n * ```\n *\n * @type {State}\n */\n function bodyRowData(code) {\n if (code === null || code === 124 || markdownLineEndingOrSpace(code)) {\n effects.exit('data')\n return bodyRowBreak(code)\n }\n effects.consume(code)\n return code === 92 ? bodyRowEscape : bodyRowData\n }\n\n /**\n * In table body row escape.\n *\n * ```markdown\n * | | a |\n * | | ---- |\n * > | | b\\-c |\n * ^\n * ```\n *\n * @type {State}\n */\n function bodyRowEscape(code) {\n if (code === 92 || code === 124) {\n effects.consume(code)\n return bodyRowData\n }\n return bodyRowData(code)\n }\n}\n\n/** @type {Resolver} */\n// eslint-disable-next-line complexity\nfunction resolveTable(events, context) {\n let index = -1\n let inFirstCellAwaitingPipe = true\n /** @type {RowKind} */\n let rowKind = 0\n /** @type {Range} */\n let lastCell = [0, 0, 0, 0]\n /** @type {Range} */\n let cell = [0, 0, 0, 0]\n let afterHeadAwaitingFirstBodyRow = false\n let lastTableEnd = 0\n /** @type {Token | undefined} */\n let currentTable\n /** @type {Token | undefined} */\n let currentBody\n /** @type {Token | undefined} */\n let currentCell\n const map = new EditMap()\n while (++index < events.length) {\n const event = events[index]\n const token = event[1]\n if (event[0] === 'enter') {\n // Start of head.\n if (token.type === 'tableHead') {\n afterHeadAwaitingFirstBodyRow = false\n\n // Inject previous (body end and) table end.\n if (lastTableEnd !== 0) {\n flushTableEnd(map, context, lastTableEnd, currentTable, currentBody)\n currentBody = undefined\n lastTableEnd = 0\n }\n\n // Inject table start.\n currentTable = {\n type: 'table',\n start: Object.assign({}, token.start),\n // Note: correct end is set later.\n end: Object.assign({}, token.end)\n }\n map.add(index, 0, [['enter', currentTable, context]])\n } else if (\n token.type === 'tableRow' ||\n token.type === 'tableDelimiterRow'\n ) {\n inFirstCellAwaitingPipe = true\n currentCell = undefined\n lastCell = [0, 0, 0, 0]\n cell = [0, index + 1, 0, 0]\n\n // Inject table body start.\n if (afterHeadAwaitingFirstBodyRow) {\n afterHeadAwaitingFirstBodyRow = false\n currentBody = {\n type: 'tableBody',\n start: Object.assign({}, token.start),\n // Note: correct end is set later.\n end: Object.assign({}, token.end)\n }\n map.add(index, 0, [['enter', currentBody, context]])\n }\n rowKind = token.type === 'tableDelimiterRow' ? 2 : currentBody ? 3 : 1\n }\n // Cell data.\n else if (\n rowKind &&\n (token.type === 'data' ||\n token.type === 'tableDelimiterMarker' ||\n token.type === 'tableDelimiterFiller')\n ) {\n inFirstCellAwaitingPipe = false\n\n // First value in cell.\n if (cell[2] === 0) {\n if (lastCell[1] !== 0) {\n cell[0] = cell[1]\n currentCell = flushCell(\n map,\n context,\n lastCell,\n rowKind,\n undefined,\n currentCell\n )\n lastCell = [0, 0, 0, 0]\n }\n cell[2] = index\n }\n } else if (token.type === 'tableCellDivider') {\n if (inFirstCellAwaitingPipe) {\n inFirstCellAwaitingPipe = false\n } else {\n if (lastCell[1] !== 0) {\n cell[0] = cell[1]\n currentCell = flushCell(\n map,\n context,\n lastCell,\n rowKind,\n undefined,\n currentCell\n )\n }\n lastCell = cell\n cell = [lastCell[1], index, 0, 0]\n }\n }\n }\n // Exit events.\n else if (token.type === 'tableHead') {\n afterHeadAwaitingFirstBodyRow = true\n lastTableEnd = index\n } else if (\n token.type === 'tableRow' ||\n token.type === 'tableDelimiterRow'\n ) {\n lastTableEnd = index\n if (lastCell[1] !== 0) {\n cell[0] = cell[1]\n currentCell = flushCell(\n map,\n context,\n lastCell,\n rowKind,\n index,\n currentCell\n )\n } else if (cell[1] !== 0) {\n currentCell = flushCell(map, context, cell, rowKind, index, currentCell)\n }\n rowKind = 0\n } else if (\n rowKind &&\n (token.type === 'data' ||\n token.type === 'tableDelimiterMarker' ||\n token.type === 'tableDelimiterFiller')\n ) {\n cell[3] = index\n }\n }\n if (lastTableEnd !== 0) {\n flushTableEnd(map, context, lastTableEnd, currentTable, currentBody)\n }\n map.consume(context.events)\n\n // To do: move this into `html`, when events are exposed there.\n // That’s what `markdown-rs` does.\n // That needs updates to `mdast-util-gfm-table`.\n index = -1\n while (++index < context.events.length) {\n const event = context.events[index]\n if (event[0] === 'enter' && event[1].type === 'table') {\n event[1]._align = gfmTableAlign(context.events, index)\n }\n }\n return events\n}\n\n/// Generate a cell.\n/**\n *\n * @param {EditMap} map\n * @param {TokenizeContext} context\n * @param {Range} range\n * @param {RowKind} rowKind\n * @param {number | undefined} rowEnd\n * @param {Token | undefined} previousCell\n * @returns {Token | undefined}\n */\n// eslint-disable-next-line max-params\nfunction flushCell(map, context, range, rowKind, rowEnd, previousCell) {\n // `markdown-rs` uses:\n // rowKind === 2 ? 'tableDelimiterCell' : 'tableCell'\n const groupName =\n rowKind === 1\n ? 'tableHeader'\n : rowKind === 2\n ? 'tableDelimiter'\n : 'tableData'\n // `markdown-rs` uses:\n // rowKind === 2 ? 'tableDelimiterCellValue' : 'tableCellText'\n const valueName = 'tableContent'\n\n // Insert an exit for the previous cell, if there is one.\n //\n // ```markdown\n // > | | aa | bb | cc |\n // ^-- exit\n // ^^^^-- this cell\n // ```\n if (range[0] !== 0) {\n previousCell.end = Object.assign({}, getPoint(context.events, range[0]))\n map.add(range[0], 0, [['exit', previousCell, context]])\n }\n\n // Insert enter of this cell.\n //\n // ```markdown\n // > | | aa | bb | cc |\n // ^-- enter\n // ^^^^-- this cell\n // ```\n const now = getPoint(context.events, range[1])\n previousCell = {\n type: groupName,\n start: Object.assign({}, now),\n // Note: correct end is set later.\n end: Object.assign({}, now)\n }\n map.add(range[1], 0, [['enter', previousCell, context]])\n\n // Insert text start at first data start and end at last data end, and\n // remove events between.\n //\n // ```markdown\n // > | | aa | bb | cc |\n // ^-- enter\n // ^-- exit\n // ^^^^-- this cell\n // ```\n if (range[2] !== 0) {\n const relatedStart = getPoint(context.events, range[2])\n const relatedEnd = getPoint(context.events, range[3])\n /** @type {Token} */\n const valueToken = {\n type: valueName,\n start: Object.assign({}, relatedStart),\n end: Object.assign({}, relatedEnd)\n }\n map.add(range[2], 0, [['enter', valueToken, context]])\n if (rowKind !== 2) {\n // Fix positional info on remaining events\n const start = context.events[range[2]]\n const end = context.events[range[3]]\n start[1].end = Object.assign({}, end[1].end)\n start[1].type = 'chunkText'\n start[1].contentType = 'text'\n\n // Remove if needed.\n if (range[3] > range[2] + 1) {\n const a = range[2] + 1\n const b = range[3] - range[2] - 1\n map.add(a, b, [])\n }\n }\n map.add(range[3] + 1, 0, [['exit', valueToken, context]])\n }\n\n // Insert an exit for the last cell, if at the row end.\n //\n // ```markdown\n // > | | aa | bb | cc |\n // ^-- exit\n // ^^^^^^-- this cell (the last one contains two “between” parts)\n // ```\n if (rowEnd !== undefined) {\n previousCell.end = Object.assign({}, getPoint(context.events, rowEnd))\n map.add(rowEnd, 0, [['exit', previousCell, context]])\n previousCell = undefined\n }\n return previousCell\n}\n\n/**\n * Generate table end (and table body end).\n *\n * @param {EditMap} map\n * @param {TokenizeContext} context\n * @param {number} index\n * @param {Token} table\n * @param {Token | undefined} tableBody\n */\n// eslint-disable-next-line max-params\nfunction flushTableEnd(map, context, index, table, tableBody) {\n /** @type {Array<Event>} */\n const exits = []\n const related = getPoint(context.events, index)\n if (tableBody) {\n tableBody.end = Object.assign({}, related)\n exits.push(['exit', tableBody, context])\n }\n table.end = Object.assign({}, related)\n exits.push(['exit', table, context])\n map.add(index + 1, 0, exits)\n}\n\n/**\n * @param {Array<Event>} events\n * @param {number} index\n * @returns {readonly Point}\n */\nfunction getPoint(events, index) {\n const event = events[index]\n const side = event[0] === 'enter' ? 'start' : 'end'\n return event[1][side]\n}\n","/**\n * @typedef {import('micromark-util-types').Extension} Extension\n * @typedef {import('micromark-util-types').State} State\n * @typedef {import('micromark-util-types').TokenizeContext} TokenizeContext\n * @typedef {import('micromark-util-types').Tokenizer} Tokenizer\n */\n\nimport {factorySpace} from 'micromark-factory-space'\nimport {\n markdownLineEndingOrSpace,\n markdownLineEnding,\n markdownSpace\n} from 'micromark-util-character'\nconst tasklistCheck = {\n tokenize: tokenizeTasklistCheck\n}\n\n// To do: next major: expose function to make extension.\n\n/**\n * Extension for `micromark` that can be passed in `extensions`, to\n * enable GFM task list items syntax.\n *\n * @type {Extension}\n */\nexport const gfmTaskListItem = {\n text: {\n [91]: tasklistCheck\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction tokenizeTasklistCheck(effects, ok, nok) {\n const self = this\n return open\n\n /**\n * At start of task list item check.\n *\n * ```markdown\n * > | * [x] y.\n * ^\n * ```\n *\n * @type {State}\n */\n function open(code) {\n if (\n // Exit if there’s stuff before.\n self.previous !== null ||\n // Exit if not in the first content that is the first child of a list\n // item.\n !self._gfmTasklistFirstContentOfListItem\n ) {\n return nok(code)\n }\n effects.enter('taskListCheck')\n effects.enter('taskListCheckMarker')\n effects.consume(code)\n effects.exit('taskListCheckMarker')\n return inside\n }\n\n /**\n * In task list item check.\n *\n * ```markdown\n * > | * [x] y.\n * ^\n * ```\n *\n * @type {State}\n */\n function inside(code) {\n // Currently we match how GH works in files.\n // To match how GH works in comments, use `markdownSpace` (`[\\t ]`) instead\n // of `markdownLineEndingOrSpace` (`[\\t\\n\\r ]`).\n if (markdownLineEndingOrSpace(code)) {\n effects.enter('taskListCheckValueUnchecked')\n effects.consume(code)\n effects.exit('taskListCheckValueUnchecked')\n return close\n }\n if (code === 88 || code === 120) {\n effects.enter('taskListCheckValueChecked')\n effects.consume(code)\n effects.exit('taskListCheckValueChecked')\n return close\n }\n return nok(code)\n }\n\n /**\n * At close of task list item check.\n *\n * ```markdown\n * > | * [x] y.\n * ^\n * ```\n *\n * @type {State}\n */\n function close(code) {\n if (code === 93) {\n effects.enter('taskListCheckMarker')\n effects.consume(code)\n effects.exit('taskListCheckMarker')\n effects.exit('taskListCheck')\n return after\n }\n return nok(code)\n }\n\n /**\n * @type {State}\n */\n function after(code) {\n // EOL in paragraph means there must be something else after it.\n if (markdownLineEnding(code)) {\n return ok(code)\n }\n\n // Space or tab?\n // Check what comes after.\n if (markdownSpace(code)) {\n return effects.check(\n {\n tokenize: spaceThenNonSpace\n },\n ok,\n nok\n )(code)\n }\n\n // EOF, or non-whitespace, both wrong.\n return nok(code)\n }\n}\n\n/**\n * @this {TokenizeContext}\n * @type {Tokenizer}\n */\nfunction spaceThenNonSpace(effects, ok, nok) {\n return factorySpace(effects, after, 'whitespace')\n\n /**\n * After whitespace, after task list item check.\n *\n * ```markdown\n * > | * [x] y.\n * ^\n * ```\n *\n * @type {State}\n */\n function after(code) {\n // EOF means there was nothing, so bad.\n // EOL means there’s content after it, so good.\n // Impossible to have more spaces.\n // Anything else is good.\n return code === null ? nok(code) : ok(code)\n }\n}\n","/**\n * Count how often a character (or substring) is used in a string.\n *\n * @param {string} value\n * Value to search in.\n * @param {string} character\n * Character (or substring) to look for.\n * @return {number}\n * Number of times `character` occurred in `value`.\n */\nexport function ccount(value, character) {\n const source = String(value)\n\n if (typeof character !== 'string') {\n throw new TypeError('Expected character')\n }\n\n let count = 0\n let index = source.indexOf(character)\n\n while (index !== -1) {\n count++\n index = source.indexOf(character, index + character.length)\n }\n\n return count\n}\n","/**\n * @typedef {import('mdast').Parent} MdastParent\n * @typedef {import('mdast').Root} Root\n * @typedef {import('mdast').Content} Content\n * @typedef {import('mdast').PhrasingContent} PhrasingContent\n * @typedef {import('mdast').Text} Text\n * @typedef {import('unist-util-visit-parents').Test} Test\n * @typedef {import('unist-util-visit-parents').VisitorResult} VisitorResult\n */\n\n/**\n * @typedef {Content | Root} Node\n * @typedef {Extract<Node, MdastParent>} Parent\n * @typedef {Exclude<Parent, Root>} ContentParent\n *\n * @typedef RegExpMatchObject\n * Info on the match.\n * @property {number} index\n * The index of the search at which the result was found.\n * @property {string} input\n * A copy of the search string in the text node.\n * @property {[Root, ...Array<ContentParent>, Text]} stack\n * All ancestors of the text node, where the last node is the text itself.\n *\n * @callback ReplaceFunction\n * Callback called when a search matches.\n * @param {...any} parameters\n * The parameters are the result of corresponding search expression:\n *\n * * `value` (`string`) — whole match\n * * `...capture` (`Array<string>`) — matches from regex capture groups\n * * `match` (`RegExpMatchObject`) — info on the match\n * @returns {Array<PhrasingContent> | PhrasingContent | string | false | undefined | null}\n * Thing to replace with.\n *\n * * when `null`, `undefined`, `''`, remove the match\n * * …or when `false`, do not replace at all\n * * …or when `string`, replace with a text node of that value\n * * …or when `Node` or `Array<Node>`, replace with those nodes\n *\n * @typedef {string | RegExp} Find\n * Pattern to find.\n *\n * Strings are escaped and then turned into global expressions.\n *\n * @typedef {Array<FindAndReplaceTuple>} FindAndReplaceList\n * Several find and replaces, in array form.\n * @typedef {Record<string, Replace>} FindAndReplaceSchema\n * Several find and replaces, in object form.\n * @typedef {[Find, Replace]} FindAndReplaceTuple\n * Find and replace in tuple form.\n * @typedef {string | ReplaceFunction} Replace\n * Thing to replace with.\n * @typedef {[RegExp, ReplaceFunction]} Pair\n * Normalized find and replace.\n * @typedef {Array<Pair>} Pairs\n * All find and replaced.\n *\n * @typedef Options\n * Configuration.\n * @property {Test | null | undefined} [ignore]\n * Test for which nodes to ignore.\n */\n\nimport escape from 'escape-string-regexp'\nimport {visitParents} from 'unist-util-visit-parents'\nimport {convert} from 'unist-util-is'\n\nconst own = {}.hasOwnProperty\n\n/**\n * Find patterns in a tree and replace them.\n *\n * The algorithm searches the tree in *preorder* for complete values in `Text`\n * nodes.\n * Partial matches are not supported.\n *\n * @param tree\n * Tree to change.\n * @param find\n * Patterns to find.\n * @param replace\n * Things to replace with (when `find` is `Find`) or configuration.\n * @param options\n * Configuration (when `find` is not `Find`).\n * @returns\n * Given, modified, tree.\n */\n// To do: next major: remove `find` & `replace` combo, remove schema.\nexport const findAndReplace =\n /**\n * @type {(\n * (<Tree extends Node>(tree: Tree, find: Find, replace?: Replace | null | undefined, options?: Options | null | undefined) => Tree) &\n * (<Tree extends Node>(tree: Tree, schema: FindAndReplaceSchema | FindAndReplaceList, options?: Options | null | undefined) => Tree)\n * )}\n **/\n (\n /**\n * @template {Node} Tree\n * @param {Tree} tree\n * @param {Find | FindAndReplaceSchema | FindAndReplaceList} find\n * @param {Replace | Options | null | undefined} [replace]\n * @param {Options | null | undefined} [options]\n * @returns {Tree}\n */\n function (tree, find, replace, options) {\n /** @type {Options | null | undefined} */\n let settings\n /** @type {FindAndReplaceSchema|FindAndReplaceList} */\n let schema\n\n if (typeof find === 'string' || find instanceof RegExp) {\n // @ts-expect-error don’t expect options twice.\n schema = [[find, replace]]\n settings = options\n } else {\n schema = find\n // @ts-expect-error don’t expect replace twice.\n settings = replace\n }\n\n if (!settings) {\n settings = {}\n }\n\n const ignored = convert(settings.ignore || [])\n const pairs = toPairs(schema)\n let pairIndex = -1\n\n while (++pairIndex < pairs.length) {\n visitParents(tree, 'text', visitor)\n }\n\n // To do next major: don’t return the given tree.\n return tree\n\n /** @type {import('unist-util-visit-parents/complex-types.js').BuildVisitor<Root, 'text'>} */\n function visitor(node, parents) {\n let index = -1\n /** @type {Parent | undefined} */\n let grandparent\n\n while (++index < parents.length) {\n const parent = parents[index]\n\n if (\n ignored(\n parent,\n // @ts-expect-error: TS doesn’t understand but it’s perfect.\n grandparent ? grandparent.children.indexOf(parent) : undefined,\n grandparent\n )\n ) {\n return\n }\n\n grandparent = parent\n }\n\n if (grandparent) {\n return handler(node, parents)\n }\n }\n\n /**\n * Handle a text node which is not in an ignored parent.\n *\n * @param {Text} node\n * Text node.\n * @param {Array<Parent>} parents\n * Parents.\n * @returns {VisitorResult}\n * Result.\n */\n function handler(node, parents) {\n const parent = parents[parents.length - 1]\n const find = pairs[pairIndex][0]\n const replace = pairs[pairIndex][1]\n let start = 0\n // @ts-expect-error: TS is wrong, some of these children can be text.\n const index = parent.children.indexOf(node)\n let change = false\n /** @type {Array<PhrasingContent>} */\n let nodes = []\n\n find.lastIndex = 0\n\n let match = find.exec(node.value)\n\n while (match) {\n const position = match.index\n /** @type {RegExpMatchObject} */\n const matchObject = {\n index: match.index,\n input: match.input,\n // @ts-expect-error: stack is fine.\n stack: [...parents, node]\n }\n let value = replace(...match, matchObject)\n\n if (typeof value === 'string') {\n value = value.length > 0 ? {type: 'text', value} : undefined\n }\n\n // It wasn’t a match after all.\n if (value !== false) {\n if (start !== position) {\n nodes.push({\n type: 'text',\n value: node.value.slice(start, position)\n })\n }\n\n if (Array.isArray(value)) {\n nodes.push(...value)\n } else if (value) {\n nodes.push(value)\n }\n\n start = position + match[0].length\n change = true\n }\n\n if (!find.global) {\n break\n }\n\n match = find.exec(node.value)\n }\n\n if (change) {\n if (start < node.value.length) {\n nodes.push({type: 'text', value: node.value.slice(start)})\n }\n\n parent.children.splice(index, 1, ...nodes)\n } else {\n nodes = [node]\n }\n\n return index + nodes.length\n }\n }\n )\n\n/**\n * Turn a schema into pairs.\n *\n * @param {FindAndReplaceSchema | FindAndReplaceList} schema\n * Schema.\n * @returns {Pairs}\n * Clean pairs.\n */\nfunction toPairs(schema) {\n /** @type {Pairs} */\n const result = []\n\n if (typeof schema !== 'object') {\n throw new TypeError('Expected array or object as schema')\n }\n\n if (Array.isArray(schema)) {\n let index = -1\n\n while (++index < schema.length) {\n result.push([\n toExpression(schema[index][0]),\n toFunction(schema[index][1])\n ])\n }\n } else {\n /** @type {string} */\n let key\n\n for (key in schema) {\n if (own.call(schema, key)) {\n result.push([toExpression(key), toFunction(schema[key])])\n }\n }\n }\n\n return result\n}\n\n/**\n * Turn a find into an expression.\n *\n * @param {Find} find\n * Find.\n * @returns {RegExp}\n * Expression.\n */\nfunction toExpression(find) {\n return typeof find === 'string' ? new RegExp(escape(find), 'g') : find\n}\n\n/**\n * Turn a replace into a function.\n *\n * @param {Replace} replace\n * Replace.\n * @returns {ReplaceFunction}\n * Function.\n */\nfunction toFunction(replace) {\n return typeof replace === 'function' ? replace : () => replace\n}\n","export default function escapeStringRegexp(string) {\n\tif (typeof string !== 'string') {\n\t\tthrow new TypeError('Expected a string');\n\t}\n\n\t// Escape characters with special meaning either inside or outside character sets.\n\t// Use a simple backslash escape when it’s always valid, and a `\\xnn` escape when the simpler form would be disallowed by Unicode patterns’ stricter grammar.\n\treturn string\n\t\t.replace(/[|\\\\{}()[\\]^$+*?.]/g, '\\\\$&')\n\t\t.replace(/-/g, '\\\\x2d');\n}\n","/**\n * @typedef {import('mdast').Link} Link\n * @typedef {import('mdast').PhrasingContent} PhrasingContent\n *\n * @typedef {import('mdast-util-from-markdown').CompileContext} CompileContext\n * @typedef {import('mdast-util-from-markdown').Extension} FromMarkdownExtension\n * @typedef {import('mdast-util-from-markdown').Handle} FromMarkdownHandle\n * @typedef {import('mdast-util-from-markdown').Transform} FromMarkdownTransform\n *\n * @typedef {import('mdast-util-to-markdown').ConstructName} ConstructName\n * @typedef {import('mdast-util-to-markdown').Options} ToMarkdownExtension\n *\n * @typedef {import('mdast-util-find-and-replace').ReplaceFunction} ReplaceFunction\n * @typedef {import('mdast-util-find-and-replace').RegExpMatchObject} RegExpMatchObject\n */\n\nimport {ccount} from 'ccount'\nimport {findAndReplace} from 'mdast-util-find-and-replace'\nimport {unicodePunctuation, unicodeWhitespace} from 'micromark-util-character'\n\n/** @type {ConstructName} */\nconst inConstruct = 'phrasing'\n/** @type {Array<ConstructName>} */\nconst notInConstruct = ['autolink', 'link', 'image', 'label']\n\n// To do: next major: expose functions instead of extensions.\n\n/**\n * Extension for `mdast-util-from-markdown` to enable GFM autolink literals.\n *\n * @type {FromMarkdownExtension}\n */\nexport const gfmAutolinkLiteralFromMarkdown = {\n transforms: [transformGfmAutolinkLiterals],\n enter: {\n literalAutolink: enterLiteralAutolink,\n literalAutolinkEmail: enterLiteralAutolinkValue,\n literalAutolinkHttp: enterLiteralAutolinkValue,\n literalAutolinkWww: enterLiteralAutolinkValue\n },\n exit: {\n literalAutolink: exitLiteralAutolink,\n literalAutolinkEmail: exitLiteralAutolinkEmail,\n literalAutolinkHttp: exitLiteralAutolinkHttp,\n literalAutolinkWww: exitLiteralAutolinkWww\n }\n}\n\n/**\n * Extension for `mdast-util-to-markdown` to enable GFM autolink literals.\n *\n * @type {ToMarkdownExtension}\n */\nexport const gfmAutolinkLiteralToMarkdown = {\n unsafe: [\n {\n character: '@',\n before: '[+\\\\-.\\\\w]',\n after: '[\\\\-.\\\\w]',\n inConstruct,\n notInConstruct\n },\n {\n character: '.',\n before: '[Ww]',\n after: '[\\\\-.\\\\w]',\n inConstruct,\n notInConstruct\n },\n {character: ':', before: '[ps]', after: '\\\\/', inConstruct, notInConstruct}\n ]\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterLiteralAutolink(token) {\n this.enter({type: 'link', title: null, url: '', children: []}, token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterLiteralAutolinkValue(token) {\n this.config.enter.autolinkProtocol.call(this, token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitLiteralAutolinkHttp(token) {\n this.config.exit.autolinkProtocol.call(this, token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitLiteralAutolinkWww(token) {\n this.config.exit.data.call(this, token)\n const node = /** @type {Link} */ (this.stack[this.stack.length - 1])\n node.url = 'http://' + this.sliceSerialize(token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitLiteralAutolinkEmail(token) {\n this.config.exit.autolinkEmail.call(this, token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitLiteralAutolink(token) {\n this.exit(token)\n}\n\n/** @type {FromMarkdownTransform} */\nfunction transformGfmAutolinkLiterals(tree) {\n findAndReplace(\n tree,\n [\n [/(https?:\\/\\/|www(?=\\.))([-.\\w]+)([^ \\t\\r\\n]*)/gi, findUrl],\n [/([-.\\w+]+)@([-\\w]+(?:\\.[-\\w]+)+)/g, findEmail]\n ],\n {ignore: ['link', 'linkReference']}\n )\n}\n\n/**\n * @type {ReplaceFunction}\n * @param {string} _\n * @param {string} protocol\n * @param {string} domain\n * @param {string} path\n * @param {RegExpMatchObject} match\n * @returns {Link | Array<PhrasingContent> | false}\n */\n// eslint-disable-next-line max-params\nfunction findUrl(_, protocol, domain, path, match) {\n let prefix = ''\n\n // Not an expected previous character.\n if (!previous(match)) {\n return false\n }\n\n // Treat `www` as part of the domain.\n if (/^w/i.test(protocol)) {\n domain = protocol + domain\n protocol = ''\n prefix = 'http://'\n }\n\n if (!isCorrectDomain(domain)) {\n return false\n }\n\n const parts = splitUrl(domain + path)\n\n if (!parts[0]) return false\n\n /** @type {Link} */\n const result = {\n type: 'link',\n title: null,\n url: prefix + protocol + parts[0],\n children: [{type: 'text', value: protocol + parts[0]}]\n }\n\n if (parts[1]) {\n return [result, {type: 'text', value: parts[1]}]\n }\n\n return result\n}\n\n/**\n * @type {ReplaceFunction}\n * @param {string} _\n * @param {string} atext\n * @param {string} label\n * @param {RegExpMatchObject} match\n * @returns {Link | false}\n */\nfunction findEmail(_, atext, label, match) {\n if (\n // Not an expected previous character.\n !previous(match, true) ||\n // Label ends in not allowed character.\n /[-\\d_]$/.test(label)\n ) {\n return false\n }\n\n return {\n type: 'link',\n title: null,\n url: 'mailto:' + atext + '@' + label,\n children: [{type: 'text', value: atext + '@' + label}]\n }\n}\n\n/**\n * @param {string} domain\n * @returns {boolean}\n */\nfunction isCorrectDomain(domain) {\n const parts = domain.split('.')\n\n if (\n parts.length < 2 ||\n (parts[parts.length - 1] &&\n (/_/.test(parts[parts.length - 1]) ||\n !/[a-zA-Z\\d]/.test(parts[parts.length - 1]))) ||\n (parts[parts.length - 2] &&\n (/_/.test(parts[parts.length - 2]) ||\n !/[a-zA-Z\\d]/.test(parts[parts.length - 2])))\n ) {\n return false\n }\n\n return true\n}\n\n/**\n * @param {string} url\n * @returns {[string, string | undefined]}\n */\nfunction splitUrl(url) {\n const trailExec = /[!\"&'),.:;<>?\\]}]+$/.exec(url)\n\n if (!trailExec) {\n return [url, undefined]\n }\n\n url = url.slice(0, trailExec.index)\n\n let trail = trailExec[0]\n let closingParenIndex = trail.indexOf(')')\n const openingParens = ccount(url, '(')\n let closingParens = ccount(url, ')')\n\n while (closingParenIndex !== -1 && openingParens > closingParens) {\n url += trail.slice(0, closingParenIndex + 1)\n trail = trail.slice(closingParenIndex + 1)\n closingParenIndex = trail.indexOf(')')\n closingParens++\n }\n\n return [url, trail]\n}\n\n/**\n * @param {RegExpMatchObject} match\n * @param {boolean | null | undefined} [email=false]\n * @returns {boolean}\n */\nfunction previous(match, email) {\n const code = match.input.charCodeAt(match.index - 1)\n\n return (\n (match.index === 0 ||\n unicodeWhitespace(code) ||\n unicodePunctuation(code)) &&\n (!email || code !== 47)\n )\n}\n","/**\n * @typedef {import('../types.js').AssociationId} AssociationId\n */\n\nimport {decodeString} from 'micromark-util-decode-string'\n\n/**\n * Get an identifier from an association to match it to others.\n *\n * Associations are nodes that match to something else through an ID:\n * <https://github.com/syntax-tree/mdast#association>.\n *\n * The `label` of an association is the string value: character escapes and\n * references work, and casing is intact.\n * The `identifier` is used to match one association to another:\n * controversially, character escapes and references don’t work in this\n * matching: `©` does not match `©`, and `\\+` does not match `+`.\n *\n * But casing is ignored (and whitespace) is trimmed and collapsed: ` A\\nb`\n * matches `a b`.\n * So, we do prefer the label when figuring out how we’re going to serialize:\n * it has whitespace, casing, and we can ignore most useless character\n * escapes and all character references.\n *\n * @type {AssociationId}\n */\nexport function association(node) {\n if (node.label || !node.identifier) {\n return node.label || ''\n }\n\n return decodeString(node.identifier)\n}\n","/**\n * @typedef {import('../types.js').FlowContent} FlowContent\n * @typedef {import('../types.js').Node} Node\n * @typedef {import('../types.js').Parent} Parent\n * @typedef {import('../types.js').State} State\n * @typedef {import('../types.js').TrackFields} TrackFields\n */\n\n/**\n * @param {Parent & {children: Array<FlowContent>}} parent\n * Parent of flow nodes.\n * @param {State} state\n * Info passed around about the current state.\n * @param {TrackFields} info\n * Info on where we are in the document we are generating.\n * @returns {string}\n * Serialized children, joined by (blank) lines.\n */\nexport function containerFlow(parent, state, info) {\n const indexStack = state.indexStack\n const children = parent.children || []\n const tracker = state.createTracker(info)\n /** @type {Array<string>} */\n const results = []\n let index = -1\n\n indexStack.push(-1)\n\n while (++index < children.length) {\n const child = children[index]\n\n indexStack[indexStack.length - 1] = index\n\n results.push(\n tracker.move(\n state.handle(child, parent, state, {\n before: '\\n',\n after: '\\n',\n ...tracker.current()\n })\n )\n )\n\n if (child.type !== 'list') {\n state.bulletLastUsed = undefined\n }\n\n if (index < children.length - 1) {\n results.push(\n tracker.move(between(child, children[index + 1], parent, state))\n )\n }\n }\n\n indexStack.pop()\n\n return results.join('')\n}\n\n/**\n * @param {Node} left\n * @param {Node} right\n * @param {Parent} parent\n * @param {State} state\n * @returns {string}\n */\nfunction between(left, right, parent, state) {\n let index = state.join.length\n\n while (index--) {\n const result = state.join[index](left, right, parent, state)\n\n if (result === true || result === 1) {\n break\n }\n\n if (typeof result === 'number') {\n return '\\n'.repeat(1 + result)\n }\n\n if (result === false) {\n return '\\n\\n<!---->\\n\\n'\n }\n }\n\n return '\\n\\n'\n}\n","/**\n * @typedef {import('../types.js').IndentLines} IndentLines\n */\n\nconst eol = /\\r?\\n|\\r/g\n\n/**\n * @type {IndentLines}\n */\nexport function indentLines(value, map) {\n /** @type {Array<string>} */\n const result = []\n let start = 0\n let line = 0\n /** @type {RegExpExecArray | null} */\n let match\n\n while ((match = eol.exec(value))) {\n one(value.slice(start, match.index))\n result.push(match[0])\n start = match.index + match[0].length\n line++\n }\n\n one(value.slice(start))\n\n return result.join('')\n\n /**\n * @param {string} value\n */\n function one(value) {\n result.push(map(value, line, !value))\n }\n}\n","/**\n * @typedef {import('../types.js').Unsafe} Unsafe\n */\n\n/**\n * @param {Unsafe} pattern\n * @returns {RegExp}\n */\nexport function patternCompile(pattern) {\n if (!pattern._compiled) {\n const before =\n (pattern.atBreak ? '[\\\\r\\\\n][\\\\t ]*' : '') +\n (pattern.before ? '(?:' + pattern.before + ')' : '')\n\n pattern._compiled = new RegExp(\n (before ? '(' + before + ')' : '') +\n (/[|\\\\{}()[\\]^$+*?.-]/.test(pattern.character) ? '\\\\' : '') +\n pattern.character +\n (pattern.after ? '(?:' + pattern.after + ')' : ''),\n 'g'\n )\n }\n\n return pattern._compiled\n}\n","/**\n * @typedef {import('../types.js').Unsafe} Unsafe\n * @typedef {import('../types.js').ConstructName} ConstructName\n */\n\n/**\n * @param {Array<ConstructName>} stack\n * @param {Unsafe} pattern\n * @returns {boolean}\n */\nexport function patternInScope(stack, pattern) {\n return (\n listInScope(stack, pattern.inConstruct, true) &&\n !listInScope(stack, pattern.notInConstruct, false)\n )\n}\n\n/**\n * @param {Array<ConstructName>} stack\n * @param {Unsafe['inConstruct']} list\n * @param {boolean} none\n * @returns {boolean}\n */\nfunction listInScope(stack, list, none) {\n if (typeof list === 'string') {\n list = [list]\n }\n\n if (!list || list.length === 0) {\n return none\n }\n\n let index = -1\n\n while (++index < list.length) {\n if (stack.includes(list[index])) {\n return true\n }\n }\n\n return false\n}\n","/**\n * @typedef {import('../types.js').State} State\n * @typedef {import('../types.js').SafeConfig} SafeConfig\n */\n\nimport {patternCompile} from './pattern-compile.js'\nimport {patternInScope} from './pattern-in-scope.js'\n\n/**\n * Make a string safe for embedding in markdown constructs.\n *\n * In markdown, almost all punctuation characters can, in certain cases,\n * result in something.\n * Whether they do is highly subjective to where they happen and in what\n * they happen.\n *\n * To solve this, `mdast-util-to-markdown` tracks:\n *\n * * Characters before and after something;\n * * What “constructs” we are in.\n *\n * This information is then used by this function to escape or encode\n * special characters.\n *\n * @param {State} state\n * Info passed around about the current state.\n * @param {string | null | undefined} input\n * Raw value to make safe.\n * @param {SafeConfig} config\n * Configuration.\n * @returns {string}\n * Serialized markdown safe for embedding.\n */\nexport function safe(state, input, config) {\n const value = (config.before || '') + (input || '') + (config.after || '')\n /** @type {Array<number>} */\n const positions = []\n /** @type {Array<string>} */\n const result = []\n /** @type {Record<number, {before: boolean, after: boolean}>} */\n const infos = {}\n let index = -1\n\n while (++index < state.unsafe.length) {\n const pattern = state.unsafe[index]\n\n if (!patternInScope(state.stack, pattern)) {\n continue\n }\n\n const expression = patternCompile(pattern)\n /** @type {RegExpExecArray | null} */\n let match\n\n while ((match = expression.exec(value))) {\n const before = 'before' in pattern || Boolean(pattern.atBreak)\n const after = 'after' in pattern\n const position = match.index + (before ? match[1].length : 0)\n\n if (positions.includes(position)) {\n if (infos[position].before && !before) {\n infos[position].before = false\n }\n\n if (infos[position].after && !after) {\n infos[position].after = false\n }\n } else {\n positions.push(position)\n infos[position] = {before, after}\n }\n }\n }\n\n positions.sort(numerical)\n\n let start = config.before ? config.before.length : 0\n const end = value.length - (config.after ? config.after.length : 0)\n index = -1\n\n while (++index < positions.length) {\n const position = positions[index]\n\n // Character before or after matched:\n if (position < start || position >= end) {\n continue\n }\n\n // If this character is supposed to be escaped because it has a condition on\n // the next character, and the next character is definitly being escaped,\n // then skip this escape.\n if (\n (position + 1 < end &&\n positions[index + 1] === position + 1 &&\n infos[position].after &&\n !infos[position + 1].before &&\n !infos[position + 1].after) ||\n (positions[index - 1] === position - 1 &&\n infos[position].before &&\n !infos[position - 1].before &&\n !infos[position - 1].after)\n ) {\n continue\n }\n\n if (start !== position) {\n // If we have to use a character reference, an ampersand would be more\n // correct, but as backslashes only care about punctuation, either will\n // do the trick\n result.push(escapeBackslashes(value.slice(start, position), '\\\\'))\n }\n\n start = position\n\n if (\n /[!-/:-@[-`{-~]/.test(value.charAt(position)) &&\n (!config.encode || !config.encode.includes(value.charAt(position)))\n ) {\n // Character escape.\n result.push('\\\\')\n } else {\n // Character reference.\n result.push(\n '&#x' + value.charCodeAt(position).toString(16).toUpperCase() + ';'\n )\n start++\n }\n }\n\n result.push(escapeBackslashes(value.slice(start, end), config.after))\n\n return result.join('')\n}\n\n/**\n * @param {number} a\n * @param {number} b\n * @returns {number}\n */\nfunction numerical(a, b) {\n return a - b\n}\n\n/**\n * @param {string} value\n * @param {string} after\n * @returns {string}\n */\nfunction escapeBackslashes(value, after) {\n const expression = /\\\\(?=[!-/:-@[-`{-~])/g\n /** @type {Array<number>} */\n const positions = []\n /** @type {Array<string>} */\n const results = []\n const whole = value + after\n let index = -1\n let start = 0\n /** @type {RegExpExecArray | null} */\n let match\n\n while ((match = expression.exec(whole))) {\n positions.push(match.index)\n }\n\n while (++index < positions.length) {\n if (start !== positions[index]) {\n results.push(value.slice(start, positions[index]))\n }\n\n results.push('\\\\')\n start = positions[index]\n }\n\n results.push(value.slice(start))\n\n return results.join('')\n}\n","/**\n * @typedef {import('../types.js').CreateTracker} CreateTracker\n * @typedef {import('../types.js').TrackCurrent} TrackCurrent\n * @typedef {import('../types.js').TrackMove} TrackMove\n * @typedef {import('../types.js').TrackShift} TrackShift\n */\n\n/**\n * Track positional info in the output.\n *\n * @type {CreateTracker}\n */\nexport function track(config) {\n // Defaults are used to prevent crashes when older utilities somehow activate\n // this code.\n /* c8 ignore next 5 */\n const options = config || {}\n const now = options.now || {}\n let lineShift = options.lineShift || 0\n let line = now.line || 1\n let column = now.column || 1\n\n return {move, current, shift}\n\n /**\n * Get the current tracked info.\n *\n * @type {TrackCurrent}\n */\n function current() {\n return {now: {line, column}, lineShift}\n }\n\n /**\n * Define an increased line shift (the typical indent for lines).\n *\n * @type {TrackShift}\n */\n function shift(value) {\n lineShift += value\n }\n\n /**\n * Move past some generated markdown.\n *\n * @type {TrackMove}\n */\n function move(input) {\n // eslint-disable-next-line unicorn/prefer-default-parameters\n const value = input || ''\n const chunks = value.split(/\\r?\\n|\\r/g)\n const tail = chunks[chunks.length - 1]\n line += chunks.length - 1\n column =\n chunks.length === 1 ? column + tail.length : 1 + tail.length + lineShift\n return value\n }\n}\n","/**\n * @typedef {import('mdast').FootnoteReference} FootnoteReference\n * @typedef {import('mdast').FootnoteDefinition} FootnoteDefinition\n * @typedef {import('mdast-util-from-markdown').CompileContext} CompileContext\n * @typedef {import('mdast-util-from-markdown').Extension} FromMarkdownExtension\n * @typedef {import('mdast-util-from-markdown').Handle} FromMarkdownHandle\n * @typedef {import('mdast-util-to-markdown').Options} ToMarkdownExtension\n * @typedef {import('mdast-util-to-markdown').Handle} ToMarkdownHandle\n * @typedef {import('mdast-util-to-markdown').Map} Map\n */\n\nimport {normalizeIdentifier} from 'micromark-util-normalize-identifier'\nimport {association} from 'mdast-util-to-markdown/lib/util/association.js'\nimport {containerFlow} from 'mdast-util-to-markdown/lib/util/container-flow.js'\nimport {indentLines} from 'mdast-util-to-markdown/lib/util/indent-lines.js'\nimport {safe} from 'mdast-util-to-markdown/lib/util/safe.js'\nimport {track} from 'mdast-util-to-markdown/lib/util/track.js'\n\nfootnoteReference.peek = footnoteReferencePeek\n\n// To do: next major: rename `context` -> `state`, `safeOptions` to `info`, use\n// utilities on `state`.\n\n/**\n * Create an extension for `mdast-util-from-markdown` to enable GFM footnotes\n * in markdown.\n *\n * @returns {FromMarkdownExtension}\n * Extension for `mdast-util-from-markdown`.\n */\nexport function gfmFootnoteFromMarkdown() {\n return {\n enter: {\n gfmFootnoteDefinition: enterFootnoteDefinition,\n gfmFootnoteDefinitionLabelString: enterFootnoteDefinitionLabelString,\n gfmFootnoteCall: enterFootnoteCall,\n gfmFootnoteCallString: enterFootnoteCallString\n },\n exit: {\n gfmFootnoteDefinition: exitFootnoteDefinition,\n gfmFootnoteDefinitionLabelString: exitFootnoteDefinitionLabelString,\n gfmFootnoteCall: exitFootnoteCall,\n gfmFootnoteCallString: exitFootnoteCallString\n }\n }\n}\n\n/**\n * Create an extension for `mdast-util-to-markdown` to enable GFM footnotes\n * in markdown.\n *\n * @returns {ToMarkdownExtension}\n * Extension for `mdast-util-to-markdown`.\n */\nexport function gfmFootnoteToMarkdown() {\n return {\n // This is on by default already.\n unsafe: [{character: '[', inConstruct: ['phrasing', 'label', 'reference']}],\n handlers: {footnoteDefinition, footnoteReference}\n }\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterFootnoteDefinition(token) {\n this.enter(\n {type: 'footnoteDefinition', identifier: '', label: '', children: []},\n token\n )\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterFootnoteDefinitionLabelString() {\n this.buffer()\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitFootnoteDefinitionLabelString(token) {\n const label = this.resume()\n const node = /** @type {FootnoteDefinition} */ (\n this.stack[this.stack.length - 1]\n )\n node.label = label\n node.identifier = normalizeIdentifier(\n this.sliceSerialize(token)\n ).toLowerCase()\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitFootnoteDefinition(token) {\n this.exit(token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterFootnoteCall(token) {\n this.enter({type: 'footnoteReference', identifier: '', label: ''}, token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterFootnoteCallString() {\n this.buffer()\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitFootnoteCallString(token) {\n const label = this.resume()\n const node = /** @type {FootnoteDefinition} */ (\n this.stack[this.stack.length - 1]\n )\n node.label = label\n node.identifier = normalizeIdentifier(\n this.sliceSerialize(token)\n ).toLowerCase()\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitFootnoteCall(token) {\n this.exit(token)\n}\n\n/**\n * @type {ToMarkdownHandle}\n * @param {FootnoteReference} node\n */\nfunction footnoteReference(node, _, context, safeOptions) {\n const tracker = track(safeOptions)\n let value = tracker.move('[^')\n const exit = context.enter('footnoteReference')\n const subexit = context.enter('reference')\n value += tracker.move(\n safe(context, association(node), {\n ...tracker.current(),\n before: value,\n after: ']'\n })\n )\n subexit()\n exit()\n value += tracker.move(']')\n return value\n}\n\n/** @type {ToMarkdownHandle} */\nfunction footnoteReferencePeek() {\n return '['\n}\n\n/**\n * @type {ToMarkdownHandle}\n * @param {FootnoteDefinition} node\n */\nfunction footnoteDefinition(node, _, context, safeOptions) {\n const tracker = track(safeOptions)\n let value = tracker.move('[^')\n const exit = context.enter('footnoteDefinition')\n const subexit = context.enter('label')\n value += tracker.move(\n safe(context, association(node), {\n ...tracker.current(),\n before: value,\n after: ']'\n })\n )\n subexit()\n value += tracker.move(\n ']:' + (node.children && node.children.length > 0 ? ' ' : '')\n )\n tracker.shift(4)\n value += tracker.move(\n indentLines(containerFlow(node, context, tracker.current()), map)\n )\n exit()\n\n return value\n}\n\n/** @type {Map} */\nfunction map(line, index, blank) {\n if (index === 0) {\n return line\n }\n\n return (blank ? '' : ' ') + line\n}\n","/**\n * @typedef {import('../types.js').Handle} Handle\n * @typedef {import('../types.js').Info} Info\n * @typedef {import('../types.js').Parent} Parent\n * @typedef {import('../types.js').PhrasingContent} PhrasingContent\n * @typedef {import('../types.js').State} State\n */\n\n/**\n * Serialize the children of a parent that contains phrasing children.\n *\n * These children will be joined flush together.\n *\n * @param {Parent & {children: Array<PhrasingContent>}} parent\n * Parent of flow nodes.\n * @param {State} state\n * Info passed around about the current state.\n * @param {Info} info\n * Info on where we are in the document we are generating.\n * @returns {string}\n * Serialized children, joined together.\n */\nexport function containerPhrasing(parent, state, info) {\n const indexStack = state.indexStack\n const children = parent.children || []\n /** @type {Array<string>} */\n const results = []\n let index = -1\n let before = info.before\n\n indexStack.push(-1)\n let tracker = state.createTracker(info)\n\n while (++index < children.length) {\n const child = children[index]\n /** @type {string} */\n let after\n\n indexStack[indexStack.length - 1] = index\n\n if (index + 1 < children.length) {\n /** @type {Handle} */\n // @ts-expect-error: hush, it’s actually a `zwitch`.\n let handle = state.handle.handlers[children[index + 1].type]\n /** @type {Handle} */\n // @ts-expect-error: hush, it’s actually a `zwitch`.\n if (handle && handle.peek) handle = handle.peek\n after = handle\n ? handle(children[index + 1], parent, state, {\n before: '',\n after: '',\n ...tracker.current()\n }).charAt(0)\n : ''\n } else {\n after = info.after\n }\n\n // In some cases, html (text) can be found in phrasing right after an eol.\n // When we’d serialize that, in most cases that would be seen as html\n // (flow).\n // As we can’t escape or so to prevent it from happening, we take a somewhat\n // reasonable approach: replace that eol with a space.\n // See: <https://github.com/syntax-tree/mdast-util-to-markdown/issues/15>\n if (\n results.length > 0 &&\n (before === '\\r' || before === '\\n') &&\n child.type === 'html'\n ) {\n results[results.length - 1] = results[results.length - 1].replace(\n /(\\r?\\n|\\r)$/,\n ' '\n )\n before = ' '\n\n // To do: does this work to reset tracker?\n tracker = state.createTracker(info)\n tracker.move(results.join(''))\n }\n\n results.push(\n tracker.move(\n state.handle(child, parent, state, {\n ...tracker.current(),\n before,\n after\n })\n )\n )\n\n before = results[results.length - 1].slice(-1)\n }\n\n indexStack.pop()\n\n return results.join('')\n}\n","/**\n * @typedef {import('mdast').Delete} Delete\n *\n * @typedef {import('mdast-util-from-markdown').CompileContext} CompileContext\n * @typedef {import('mdast-util-from-markdown').Extension} FromMarkdownExtension\n * @typedef {import('mdast-util-from-markdown').Handle} FromMarkdownHandle\n *\n * @typedef {import('mdast-util-to-markdown').ConstructName} ConstructName\n * @typedef {import('mdast-util-to-markdown').Options} ToMarkdownExtension\n * @typedef {import('mdast-util-to-markdown').Handle} ToMarkdownHandle\n */\n\nimport {containerPhrasing} from 'mdast-util-to-markdown/lib/util/container-phrasing.js'\nimport {track} from 'mdast-util-to-markdown/lib/util/track.js'\n\n// To do: next major: expose functions.\n// To do: next major: use `state`, state utilities.\n\n/**\n * List of constructs that occur in phrasing (paragraphs, headings), but cannot\n * contain strikethrough.\n * So they sort of cancel each other out.\n * Note: could use a better name.\n *\n * Note: keep in sync with: <https://github.com/syntax-tree/mdast-util-to-markdown/blob/8ce8dbf/lib/unsafe.js#L14>\n *\n * @type {Array<ConstructName>}\n */\nconst constructsWithoutStrikethrough = [\n 'autolink',\n 'destinationLiteral',\n 'destinationRaw',\n 'reference',\n 'titleQuote',\n 'titleApostrophe'\n]\n\nhandleDelete.peek = peekDelete\n\n/**\n * Extension for `mdast-util-from-markdown` to enable GFM strikethrough.\n *\n * @type {FromMarkdownExtension}\n */\nexport const gfmStrikethroughFromMarkdown = {\n canContainEols: ['delete'],\n enter: {strikethrough: enterStrikethrough},\n exit: {strikethrough: exitStrikethrough}\n}\n\n/**\n * Extension for `mdast-util-to-markdown` to enable GFM strikethrough.\n *\n * @type {ToMarkdownExtension}\n */\nexport const gfmStrikethroughToMarkdown = {\n unsafe: [\n {\n character: '~',\n inConstruct: 'phrasing',\n notInConstruct: constructsWithoutStrikethrough\n }\n ],\n handlers: {delete: handleDelete}\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterStrikethrough(token) {\n this.enter({type: 'delete', children: []}, token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitStrikethrough(token) {\n this.exit(token)\n}\n\n/**\n * @type {ToMarkdownHandle}\n * @param {Delete} node\n */\nfunction handleDelete(node, _, context, safeOptions) {\n const tracker = track(safeOptions)\n const exit = context.enter('strikethrough')\n let value = tracker.move('~~')\n value += containerPhrasing(node, context, {\n ...tracker.current(),\n before: value,\n after: '~'\n })\n value += tracker.move('~~')\n exit()\n return value\n}\n\n/** @type {ToMarkdownHandle} */\nfunction peekDelete() {\n return '~'\n}\n","/**\n * @typedef {import('mdast').InlineCode} InlineCode\n * @typedef {import('../types.js').Parent} Parent\n * @typedef {import('../types.js').State} State\n */\n\nimport {patternCompile} from '../util/pattern-compile.js'\n\ninlineCode.peek = inlineCodePeek\n\n/**\n * @param {InlineCode} node\n * @param {Parent | undefined} _\n * @param {State} state\n * @returns {string}\n */\nexport function inlineCode(node, _, state) {\n let value = node.value || ''\n let sequence = '`'\n let index = -1\n\n // If there is a single grave accent on its own in the code, use a fence of\n // two.\n // If there are two in a row, use one.\n while (new RegExp('(^|[^`])' + sequence + '([^`]|$)').test(value)) {\n sequence += '`'\n }\n\n // If this is not just spaces or eols (tabs don’t count), and either the\n // first or last character are a space, eol, or tick, then pad with spaces.\n if (\n /[^ \\r\\n]/.test(value) &&\n ((/^[ \\r\\n]/.test(value) && /[ \\r\\n]$/.test(value)) || /^`|`$/.test(value))\n ) {\n value = ' ' + value + ' '\n }\n\n // We have a potential problem: certain characters after eols could result in\n // blocks being seen.\n // For example, if someone injected the string `'\\n# b'`, then that would\n // result in an ATX heading.\n // We can’t escape characters in `inlineCode`, but because eols are\n // transformed to spaces when going from markdown to HTML anyway, we can swap\n // them out.\n while (++index < state.unsafe.length) {\n const pattern = state.unsafe[index]\n const expression = patternCompile(pattern)\n /** @type {RegExpExecArray | null} */\n let match\n\n // Only look for `atBreak`s.\n // Btw: note that `atBreak` patterns will always start the regex at LF or\n // CR.\n if (!pattern.atBreak) continue\n\n while ((match = expression.exec(value))) {\n let position = match.index\n\n // Support CRLF (patterns only look for one of the characters).\n if (\n value.charCodeAt(position) === 10 /* `\\n` */ &&\n value.charCodeAt(position - 1) === 13 /* `\\r` */\n ) {\n position--\n }\n\n value = value.slice(0, position) + ' ' + value.slice(match.index + 1)\n }\n }\n\n return sequence + value + sequence\n}\n\n/**\n * @returns {string}\n */\nfunction inlineCodePeek() {\n return '`'\n}\n","/**\n * @typedef Options\n * Configuration (optional).\n * @property {string|null|ReadonlyArray<string|null|undefined>} [align]\n * One style for all columns, or styles for their respective columns.\n * Each style is either `'l'` (left), `'r'` (right), or `'c'` (center).\n * Other values are treated as `''`, which doesn’t place the colon in the\n * alignment row but does align left.\n * *Only the lowercased first character is used, so `Right` is fine.*\n * @property {boolean} [padding=true]\n * Whether to add a space of padding between delimiters and cells.\n *\n * When `true`, there is padding:\n *\n * ```markdown\n * | Alpha | B |\n * | ----- | ----- |\n * | C | Delta |\n * ```\n *\n * When `false`, there is no padding:\n *\n * ```markdown\n * |Alpha|B |\n * |-----|-----|\n * |C |Delta|\n * ```\n * @property {boolean} [delimiterStart=true]\n * Whether to begin each row with the delimiter.\n *\n * > 👉 **Note**: please don’t use this: it could create fragile structures\n * > that aren’t understandable to some markdown parsers.\n *\n * When `true`, there are starting delimiters:\n *\n * ```markdown\n * | Alpha | B |\n * | ----- | ----- |\n * | C | Delta |\n * ```\n *\n * When `false`, there are no starting delimiters:\n *\n * ```markdown\n * Alpha | B |\n * ----- | ----- |\n * C | Delta |\n * ```\n * @property {boolean} [delimiterEnd=true]\n * Whether to end each row with the delimiter.\n *\n * > 👉 **Note**: please don’t use this: it could create fragile structures\n * > that aren’t understandable to some markdown parsers.\n *\n * When `true`, there are ending delimiters:\n *\n * ```markdown\n * | Alpha | B |\n * | ----- | ----- |\n * | C | Delta |\n * ```\n *\n * When `false`, there are no ending delimiters:\n *\n * ```markdown\n * | Alpha | B\n * | ----- | -----\n * | C | Delta\n * ```\n * @property {boolean} [alignDelimiters=true]\n * Whether to align the delimiters.\n * By default, they are aligned:\n *\n * ```markdown\n * | Alpha | B |\n * | ----- | ----- |\n * | C | Delta |\n * ```\n *\n * Pass `false` to make them staggered:\n *\n * ```markdown\n * | Alpha | B |\n * | - | - |\n * | C | Delta |\n * ```\n * @property {(value: string) => number} [stringLength]\n * Function to detect the length of table cell content.\n * This is used when aligning the delimiters (`|`) between table cells.\n * Full-width characters and emoji mess up delimiter alignment when viewing\n * the markdown source.\n * To fix this, you can pass this function, which receives the cell content\n * and returns its “visible” size.\n * Note that what is and isn’t visible depends on where the text is displayed.\n *\n * Without such a function, the following:\n *\n * ```js\n * markdownTable([\n * ['Alpha', 'Bravo'],\n * ['中文', 'Charlie'],\n * ['👩❤️👩', 'Delta']\n * ])\n * ```\n *\n * Yields:\n *\n * ```markdown\n * | Alpha | Bravo |\n * | - | - |\n * | 中文 | Charlie |\n * | 👩❤️👩 | Delta |\n * ```\n *\n * With [`string-width`](https://github.com/sindresorhus/string-width):\n *\n * ```js\n * import stringWidth from 'string-width'\n *\n * markdownTable(\n * [\n * ['Alpha', 'Bravo'],\n * ['中文', 'Charlie'],\n * ['👩❤️👩', 'Delta']\n * ],\n * {stringLength: stringWidth}\n * )\n * ```\n *\n * Yields:\n *\n * ```markdown\n * | Alpha | Bravo |\n * | ----- | ------- |\n * | 中文 | Charlie |\n * | 👩❤️👩 | Delta |\n * ```\n */\n\n/**\n * @typedef {Options} MarkdownTableOptions\n * @todo\n * Remove next major.\n */\n\n/**\n * Generate a markdown ([GFM](https://docs.github.com/en/github/writing-on-github/working-with-advanced-formatting/organizing-information-with-tables)) table..\n *\n * @param {ReadonlyArray<ReadonlyArray<string|null|undefined>>} table\n * Table data (matrix of strings).\n * @param {Options} [options]\n * Configuration (optional).\n * @returns {string}\n */\nexport function markdownTable(table, options = {}) {\n const align = (options.align || []).concat()\n const stringLength = options.stringLength || defaultStringLength\n /** @type {Array<number>} Character codes as symbols for alignment per column. */\n const alignments = []\n /** @type {Array<Array<string>>} Cells per row. */\n const cellMatrix = []\n /** @type {Array<Array<number>>} Sizes of each cell per row. */\n const sizeMatrix = []\n /** @type {Array<number>} */\n const longestCellByColumn = []\n let mostCellsPerRow = 0\n let rowIndex = -1\n\n // This is a superfluous loop if we don’t align delimiters, but otherwise we’d\n // do superfluous work when aligning, so optimize for aligning.\n while (++rowIndex < table.length) {\n /** @type {Array<string>} */\n const row = []\n /** @type {Array<number>} */\n const sizes = []\n let columnIndex = -1\n\n if (table[rowIndex].length > mostCellsPerRow) {\n mostCellsPerRow = table[rowIndex].length\n }\n\n while (++columnIndex < table[rowIndex].length) {\n const cell = serialize(table[rowIndex][columnIndex])\n\n if (options.alignDelimiters !== false) {\n const size = stringLength(cell)\n sizes[columnIndex] = size\n\n if (\n longestCellByColumn[columnIndex] === undefined ||\n size > longestCellByColumn[columnIndex]\n ) {\n longestCellByColumn[columnIndex] = size\n }\n }\n\n row.push(cell)\n }\n\n cellMatrix[rowIndex] = row\n sizeMatrix[rowIndex] = sizes\n }\n\n // Figure out which alignments to use.\n let columnIndex = -1\n\n if (typeof align === 'object' && 'length' in align) {\n while (++columnIndex < mostCellsPerRow) {\n alignments[columnIndex] = toAlignment(align[columnIndex])\n }\n } else {\n const code = toAlignment(align)\n\n while (++columnIndex < mostCellsPerRow) {\n alignments[columnIndex] = code\n }\n }\n\n // Inject the alignment row.\n columnIndex = -1\n /** @type {Array<string>} */\n const row = []\n /** @type {Array<number>} */\n const sizes = []\n\n while (++columnIndex < mostCellsPerRow) {\n const code = alignments[columnIndex]\n let before = ''\n let after = ''\n\n if (code === 99 /* `c` */) {\n before = ':'\n after = ':'\n } else if (code === 108 /* `l` */) {\n before = ':'\n } else if (code === 114 /* `r` */) {\n after = ':'\n }\n\n // There *must* be at least one hyphen-minus in each alignment cell.\n let size =\n options.alignDelimiters === false\n ? 1\n : Math.max(\n 1,\n longestCellByColumn[columnIndex] - before.length - after.length\n )\n\n const cell = before + '-'.repeat(size) + after\n\n if (options.alignDelimiters !== false) {\n size = before.length + size + after.length\n\n if (size > longestCellByColumn[columnIndex]) {\n longestCellByColumn[columnIndex] = size\n }\n\n sizes[columnIndex] = size\n }\n\n row[columnIndex] = cell\n }\n\n // Inject the alignment row.\n cellMatrix.splice(1, 0, row)\n sizeMatrix.splice(1, 0, sizes)\n\n rowIndex = -1\n /** @type {Array<string>} */\n const lines = []\n\n while (++rowIndex < cellMatrix.length) {\n const row = cellMatrix[rowIndex]\n const sizes = sizeMatrix[rowIndex]\n columnIndex = -1\n /** @type {Array<string>} */\n const line = []\n\n while (++columnIndex < mostCellsPerRow) {\n const cell = row[columnIndex] || ''\n let before = ''\n let after = ''\n\n if (options.alignDelimiters !== false) {\n const size =\n longestCellByColumn[columnIndex] - (sizes[columnIndex] || 0)\n const code = alignments[columnIndex]\n\n if (code === 114 /* `r` */) {\n before = ' '.repeat(size)\n } else if (code === 99 /* `c` */) {\n if (size % 2) {\n before = ' '.repeat(size / 2 + 0.5)\n after = ' '.repeat(size / 2 - 0.5)\n } else {\n before = ' '.repeat(size / 2)\n after = before\n }\n } else {\n after = ' '.repeat(size)\n }\n }\n\n if (options.delimiterStart !== false && !columnIndex) {\n line.push('|')\n }\n\n if (\n options.padding !== false &&\n // Don’t add the opening space if we’re not aligning and the cell is\n // empty: there will be a closing space.\n !(options.alignDelimiters === false && cell === '') &&\n (options.delimiterStart !== false || columnIndex)\n ) {\n line.push(' ')\n }\n\n if (options.alignDelimiters !== false) {\n line.push(before)\n }\n\n line.push(cell)\n\n if (options.alignDelimiters !== false) {\n line.push(after)\n }\n\n if (options.padding !== false) {\n line.push(' ')\n }\n\n if (\n options.delimiterEnd !== false ||\n columnIndex !== mostCellsPerRow - 1\n ) {\n line.push('|')\n }\n }\n\n lines.push(\n options.delimiterEnd === false\n ? line.join('').replace(/ +$/, '')\n : line.join('')\n )\n }\n\n return lines.join('\\n')\n}\n\n/**\n * @param {string|null|undefined} [value]\n * @returns {string}\n */\nfunction serialize(value) {\n return value === null || value === undefined ? '' : String(value)\n}\n\n/**\n * @param {string} value\n * @returns {number}\n */\nfunction defaultStringLength(value) {\n return value.length\n}\n\n/**\n * @param {string|null|undefined} value\n * @returns {number}\n */\nfunction toAlignment(value) {\n const code = typeof value === 'string' ? value.codePointAt(0) : 0\n\n return code === 67 /* `C` */ || code === 99 /* `c` */\n ? 99 /* `c` */\n : code === 76 /* `L` */ || code === 108 /* `l` */\n ? 108 /* `l` */\n : code === 82 /* `R` */ || code === 114 /* `r` */\n ? 114 /* `r` */\n : 0\n}\n","/**\n * @typedef {import('mdast').Table} Table\n * @typedef {import('mdast').TableRow} TableRow\n * @typedef {import('mdast').TableCell} TableCell\n * @typedef {import('mdast').InlineCode} InlineCode\n *\n * @typedef {import('markdown-table').MarkdownTableOptions} MarkdownTableOptions\n *\n * @typedef {import('mdast-util-from-markdown').CompileContext} CompileContext\n * @typedef {import('mdast-util-from-markdown').Extension} FromMarkdownExtension\n * @typedef {import('mdast-util-from-markdown').Handle} FromMarkdownHandle\n *\n * @typedef {import('mdast-util-to-markdown').Options} ToMarkdownExtension\n * @typedef {import('mdast-util-to-markdown').Handle} ToMarkdownHandle\n * @typedef {import('mdast-util-to-markdown').Context} ToMarkdownContext\n * @typedef {import('mdast-util-to-markdown').SafeOptions} SafeOptions\n */\n\n/**\n * @typedef Options\n * Configuration.\n * @property {boolean | null | undefined} [tableCellPadding=true]\n * Whether to add a space of padding between delimiters and cells.\n * @property {boolean | null | undefined} [tablePipeAlign=true]\n * Whether to align the delimiters.\n * @property {MarkdownTableOptions['stringLength'] | null | undefined} [stringLength]\n * Function to detect the length of table cell content, used when aligning\n * the delimiters between cells\n */\n\nimport {containerPhrasing} from 'mdast-util-to-markdown/lib/util/container-phrasing.js'\nimport {inlineCode} from 'mdast-util-to-markdown/lib/handle/inline-code.js'\nimport {markdownTable} from 'markdown-table'\n\n// To do: next major: use `state` and `state` utilities from `mdast-util-to-markdown`.\n// To do: next major: use `defaultHandlers.inlineCode`.\n// To do: next major: expose functions.\n\n/**\n * Extension for `mdast-util-from-markdown` to enable GFM tables.\n *\n * @type {FromMarkdownExtension}\n */\nexport const gfmTableFromMarkdown = {\n enter: {\n table: enterTable,\n tableData: enterCell,\n tableHeader: enterCell,\n tableRow: enterRow\n },\n exit: {\n codeText: exitCodeText,\n table: exitTable,\n tableData: exit,\n tableHeader: exit,\n tableRow: exit\n }\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterTable(token) {\n /** @type {Array<'left' | 'right' | 'center' | 'none'>} */\n // @ts-expect-error: `align` is custom.\n const align = token._align\n this.enter(\n {\n type: 'table',\n align: align.map((d) => (d === 'none' ? null : d)),\n children: []\n },\n token\n )\n this.setData('inTable', true)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitTable(token) {\n this.exit(token)\n this.setData('inTable')\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterRow(token) {\n this.enter({type: 'tableRow', children: []}, token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exit(token) {\n this.exit(token)\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction enterCell(token) {\n this.enter({type: 'tableCell', children: []}, token)\n}\n\n// Overwrite the default code text data handler to unescape escaped pipes when\n// they are in tables.\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitCodeText(token) {\n let value = this.resume()\n\n if (this.getData('inTable')) {\n value = value.replace(/\\\\([\\\\|])/g, replace)\n }\n\n const node = /** @type {InlineCode} */ (this.stack[this.stack.length - 1])\n node.value = value\n this.exit(token)\n}\n\n/**\n * @param {string} $0\n * @param {string} $1\n * @returns {string}\n */\nfunction replace($0, $1) {\n // Pipes work, backslashes don’t (but can’t escape pipes).\n return $1 === '|' ? $1 : $0\n}\n\n/**\n * Create an extension for `mdast-util-to-markdown` to enable GFM tables in\n * markdown.\n *\n * @param {Options | null | undefined} [options]\n * Configuration.\n * @returns {ToMarkdownExtension}\n * Extension for `mdast-util-to-markdown` to enable GFM tables.\n */\nexport function gfmTableToMarkdown(options) {\n const settings = options || {}\n const padding = settings.tableCellPadding\n const alignDelimiters = settings.tablePipeAlign\n const stringLength = settings.stringLength\n const around = padding ? ' ' : '|'\n\n return {\n unsafe: [\n {character: '\\r', inConstruct: 'tableCell'},\n {character: '\\n', inConstruct: 'tableCell'},\n // A pipe, when followed by a tab or space (padding), or a dash or colon\n // (unpadded delimiter row), could result in a table.\n {atBreak: true, character: '|', after: '[\\t :-]'},\n // A pipe in a cell must be encoded.\n {character: '|', inConstruct: 'tableCell'},\n // A colon must be followed by a dash, in which case it could start a\n // delimiter row.\n {atBreak: true, character: ':', after: '-'},\n // A delimiter row can also start with a dash, when followed by more\n // dashes, a colon, or a pipe.\n // This is a stricter version than the built in check for lists, thematic\n // breaks, and setex heading underlines though:\n // <https://github.com/syntax-tree/mdast-util-to-markdown/blob/51a2038/lib/unsafe.js#L57>\n {atBreak: true, character: '-', after: '[:|-]'}\n ],\n handlers: {\n table: handleTable,\n tableRow: handleTableRow,\n tableCell: handleTableCell,\n inlineCode: inlineCodeWithTable\n }\n }\n\n /**\n * @type {ToMarkdownHandle}\n * @param {Table} node\n */\n function handleTable(node, _, context, safeOptions) {\n return serializeData(\n handleTableAsData(node, context, safeOptions),\n node.align\n )\n }\n\n /**\n * This function isn’t really used normally, because we handle rows at the\n * table level.\n * But, if someone passes in a table row, this ensures we make somewhat sense.\n *\n * @type {ToMarkdownHandle}\n * @param {TableRow} node\n */\n function handleTableRow(node, _, context, safeOptions) {\n const row = handleTableRowAsData(node, context, safeOptions)\n const value = serializeData([row])\n // `markdown-table` will always add an align row\n return value.slice(0, value.indexOf('\\n'))\n }\n\n /**\n * @type {ToMarkdownHandle}\n * @param {TableCell} node\n */\n function handleTableCell(node, _, context, safeOptions) {\n const exit = context.enter('tableCell')\n const subexit = context.enter('phrasing')\n const value = containerPhrasing(node, context, {\n ...safeOptions,\n before: around,\n after: around\n })\n subexit()\n exit()\n return value\n }\n\n /**\n * @param {Array<Array<string>>} matrix\n * @param {Array<string | null | undefined> | null | undefined} [align]\n */\n function serializeData(matrix, align) {\n return markdownTable(matrix, {\n align,\n // @ts-expect-error: `markdown-table` types should support `null`.\n alignDelimiters,\n // @ts-expect-error: `markdown-table` types should support `null`.\n padding,\n // @ts-expect-error: `markdown-table` types should support `null`.\n stringLength\n })\n }\n\n /**\n * @param {Table} node\n * @param {ToMarkdownContext} context\n * @param {SafeOptions} safeOptions\n */\n function handleTableAsData(node, context, safeOptions) {\n const children = node.children\n let index = -1\n /** @type {Array<Array<string>>} */\n const result = []\n const subexit = context.enter('table')\n\n while (++index < children.length) {\n result[index] = handleTableRowAsData(\n children[index],\n context,\n safeOptions\n )\n }\n\n subexit()\n\n return result\n }\n\n /**\n * @param {TableRow} node\n * @param {ToMarkdownContext} context\n * @param {SafeOptions} safeOptions\n */\n function handleTableRowAsData(node, context, safeOptions) {\n const children = node.children\n let index = -1\n /** @type {Array<string>} */\n const result = []\n const subexit = context.enter('tableRow')\n\n while (++index < children.length) {\n // Note: the positional info as used here is incorrect.\n // Making it correct would be impossible due to aligning cells?\n // And it would need copy/pasting `markdown-table` into this project.\n result[index] = handleTableCell(\n children[index],\n node,\n context,\n safeOptions\n )\n }\n\n subexit()\n\n return result\n }\n\n /**\n * @type {ToMarkdownHandle}\n * @param {InlineCode} node\n */\n function inlineCodeWithTable(node, parent, context) {\n let value = inlineCode(node, parent, context)\n\n if (context.stack.includes('tableCell')) {\n value = value.replace(/\\|/g, '\\\\$&')\n }\n\n return value\n }\n}\n","/**\n * @typedef {import('mdast').ListItem} ListItem\n * @typedef {import('../types.js').Map} Map\n * @typedef {import('../types.js').Parent} Parent\n * @typedef {import('../types.js').State} State\n * @typedef {import('../types.js').Info} Info\n */\n\nimport {checkBullet} from '../util/check-bullet.js'\nimport {checkListItemIndent} from '../util/check-list-item-indent.js'\n\n/**\n * @param {ListItem} node\n * @param {Parent | undefined} parent\n * @param {State} state\n * @param {Info} info\n * @returns {string}\n */\nexport function listItem(node, parent, state, info) {\n const listItemIndent = checkListItemIndent(state)\n let bullet = state.bulletCurrent || checkBullet(state)\n\n // Add the marker value for ordered lists.\n if (parent && parent.type === 'list' && parent.ordered) {\n bullet =\n (typeof parent.start === 'number' && parent.start > -1\n ? parent.start\n : 1) +\n (state.options.incrementListMarker === false\n ? 0\n : parent.children.indexOf(node)) +\n bullet\n }\n\n let size = bullet.length + 1\n\n if (\n listItemIndent === 'tab' ||\n (listItemIndent === 'mixed' &&\n ((parent && parent.type === 'list' && parent.spread) || node.spread))\n ) {\n size = Math.ceil(size / 4) * 4\n }\n\n const tracker = state.createTracker(info)\n tracker.move(bullet + ' '.repeat(size - bullet.length))\n tracker.shift(size)\n const exit = state.enter('listItem')\n const value = state.indentLines(\n state.containerFlow(node, tracker.current()),\n map\n )\n exit()\n\n return value\n\n /** @type {Map} */\n function map(line, index, blank) {\n if (index) {\n return (blank ? '' : ' '.repeat(size)) + line\n }\n\n return (blank ? bullet : bullet + ' '.repeat(size - bullet.length)) + line\n }\n}\n","/**\n * @typedef {import('../types.js').State} State\n * @typedef {import('../types.js').Options} Options\n */\n\n/**\n * @param {State} state\n * @returns {Exclude<Options['listItemIndent'], null | undefined>}\n */\nexport function checkListItemIndent(state) {\n const style = state.options.listItemIndent || 'tab'\n\n // To do: remove in a major.\n // @ts-expect-error: deprecated.\n if (style === 1 || style === '1') {\n return 'one'\n }\n\n if (style !== 'tab' && style !== 'one' && style !== 'mixed') {\n throw new Error(\n 'Cannot serialize items with `' +\n style +\n '` for `options.listItemIndent`, expected `tab`, `one`, or `mixed`'\n )\n }\n\n return style\n}\n","/**\n * @typedef {import('../types.js').State} State\n * @typedef {import('../types.js').Options} Options\n */\n\n/**\n * @param {State} state\n * @returns {Exclude<Options['bullet'], null | undefined>}\n */\nexport function checkBullet(state) {\n const marker = state.options.bullet || '*'\n\n if (marker !== '*' && marker !== '+' && marker !== '-') {\n throw new Error(\n 'Cannot serialize items with `' +\n marker +\n '` for `options.bullet`, expected `*`, `+`, or `-`'\n )\n }\n\n return marker\n}\n","/**\n * @typedef {import('mdast').Content} Content\n * @typedef {import('mdast').ListItem} ListItem\n * @typedef {import('mdast').Paragraph} Paragraph\n * @typedef {import('mdast').Parent} Parent\n * @typedef {import('mdast').Root} Root\n * @typedef {import('mdast-util-from-markdown').CompileContext} CompileContext\n * @typedef {import('mdast-util-from-markdown').Extension} FromMarkdownExtension\n * @typedef {import('mdast-util-from-markdown').Handle} FromMarkdownHandle\n * @typedef {import('mdast-util-to-markdown').Options} ToMarkdownExtension\n * @typedef {import('mdast-util-to-markdown').Handle} ToMarkdownHandle\n */\n\n/**\n * @typedef {Extract<Root | Content, Parent>} Parents\n */\n\nimport {listItem} from 'mdast-util-to-markdown/lib/handle/list-item.js'\nimport {track} from 'mdast-util-to-markdown/lib/util/track.js'\n\n// To do: next major: rename `context` -> `state`, `safeOptions` -> `info`, use\n// `track` from `state`.\n// To do: next major: replace exports with functions.\n// To do: next major: use `defaulthandlers.listItem`.\n\n/**\n * Extension for `mdast-util-from-markdown` to enable GFM task list items.\n *\n * @type {FromMarkdownExtension}\n */\nexport const gfmTaskListItemFromMarkdown = {\n exit: {\n taskListCheckValueChecked: exitCheck,\n taskListCheckValueUnchecked: exitCheck,\n paragraph: exitParagraphWithTaskListItem\n }\n}\n\n/**\n * Extension for `mdast-util-to-markdown` to enable GFM task list items.\n *\n * @type {ToMarkdownExtension}\n */\nexport const gfmTaskListItemToMarkdown = {\n unsafe: [{atBreak: true, character: '-', after: '[:|-]'}],\n handlers: {listItem: listItemWithTaskListItem}\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitCheck(token) {\n const node = /** @type {ListItem} */ (this.stack[this.stack.length - 2])\n // We’re always in a paragraph, in a list item.\n node.checked = token.type === 'taskListCheckValueChecked'\n}\n\n/**\n * @this {CompileContext}\n * @type {FromMarkdownHandle}\n */\nfunction exitParagraphWithTaskListItem(token) {\n const parent = /** @type {Parents} */ (this.stack[this.stack.length - 2])\n\n if (\n parent &&\n parent.type === 'listItem' &&\n typeof parent.checked === 'boolean'\n ) {\n const node = /** @type {Paragraph} */ (this.stack[this.stack.length - 1])\n const head = node.children[0]\n\n if (head && head.type === 'text') {\n const siblings = parent.children\n let index = -1\n /** @type {Paragraph | undefined} */\n let firstParaghraph\n\n while (++index < siblings.length) {\n const sibling = siblings[index]\n if (sibling.type === 'paragraph') {\n firstParaghraph = sibling\n break\n }\n }\n\n if (firstParaghraph === node) {\n // Must start with a space or a tab.\n head.value = head.value.slice(1)\n\n if (head.value.length === 0) {\n node.children.shift()\n } else if (\n node.position &&\n head.position &&\n typeof head.position.start.offset === 'number'\n ) {\n head.position.start.column++\n head.position.start.offset++\n node.position.start = Object.assign({}, head.position.start)\n }\n }\n }\n }\n\n this.exit(token)\n}\n\n/**\n * @type {ToMarkdownHandle}\n * @param {ListItem} node\n */\nfunction listItemWithTaskListItem(node, parent, context, safeOptions) {\n const head = node.children[0]\n const checkable =\n typeof node.checked === 'boolean' && head && head.type === 'paragraph'\n const checkbox = '[' + (node.checked ? 'x' : ' ') + '] '\n const tracker = track(safeOptions)\n\n if (checkable) {\n tracker.move(checkbox)\n }\n\n let value = listItem(node, parent, context, {\n ...safeOptions,\n ...tracker.current()\n })\n\n if (checkable) {\n value = value.replace(/^(?:[*+-]|\\d+\\.)([\\r\\n]| {1,3})/, check)\n }\n\n return value\n\n /**\n * @param {string} $0\n * @returns {string}\n */\n function check($0) {\n return $0 + checkbox\n }\n}\n","/**\n * @typedef {import('mdast').Root} Root\n * @typedef {import('micromark-extension-gfm').Options & import('mdast-util-gfm').Options} Options\n */\n\nimport {gfm} from 'micromark-extension-gfm'\nimport {gfmFromMarkdown, gfmToMarkdown} from 'mdast-util-gfm'\n\n/**\n * Plugin to support GFM (autolink literals, footnotes, strikethrough, tables, tasklists).\n *\n * @type {import('unified').Plugin<[Options?]|void[], Root>}\n */\nexport default function remarkGfm(options = {}) {\n const data = this.data()\n\n add('micromarkExtensions', gfm(options))\n add('fromMarkdownExtensions', gfmFromMarkdown())\n add('toMarkdownExtensions', gfmToMarkdown(options))\n\n /**\n * @param {string} field\n * @param {unknown} value\n */\n function add(field, value) {\n const list = /** @type {unknown[]} */ (\n // Other extensions\n /* c8 ignore next 2 */\n data[field] ? data[field] : (data[field] = [])\n )\n\n list.push(value)\n }\n}\n","/**\n * @typedef {import('micromark-extension-gfm-footnote').HtmlOptions} HtmlOptions\n * @typedef {import('micromark-extension-gfm-strikethrough').Options} Options\n * @typedef {import('micromark-util-types').Extension} Extension\n * @typedef {import('micromark-util-types').HtmlExtension} HtmlExtension\n */\n\nimport {\n combineExtensions,\n combineHtmlExtensions\n} from 'micromark-util-combine-extensions'\nimport {\n gfmAutolinkLiteral,\n gfmAutolinkLiteralHtml\n} from 'micromark-extension-gfm-autolink-literal'\nimport {gfmFootnote, gfmFootnoteHtml} from 'micromark-extension-gfm-footnote'\nimport {\n gfmStrikethrough,\n gfmStrikethroughHtml\n} from 'micromark-extension-gfm-strikethrough'\nimport {gfmTable, gfmTableHtml} from 'micromark-extension-gfm-table'\nimport {gfmTagfilterHtml} from 'micromark-extension-gfm-tagfilter'\nimport {\n gfmTaskListItem,\n gfmTaskListItemHtml\n} from 'micromark-extension-gfm-task-list-item'\n\n/**\n * Create an extension for `micromark` to enable GFM syntax.\n *\n * @param {Options | null | undefined} [options]\n * Configuration (optional).\n *\n * Passed to `micromark-extens-gfm-strikethrough`.\n * @returns {Extension}\n * Extension for `micromark` that can be passed in `extensions` to enable GFM\n * syntax.\n */\nexport function gfm(options) {\n return combineExtensions([\n gfmAutolinkLiteral,\n gfmFootnote(),\n gfmStrikethrough(options),\n gfmTable,\n gfmTaskListItem\n ])\n}\n\n/**\n * Create an extension for `micromark` to support GFM when serializing to HTML.\n *\n * @param {HtmlOptions | null | undefined} [options]\n * Configuration.\n *\n * Passed to `micromark-extens-gfm-footnote`.\n * @returns {HtmlExtension}\n * Extension for `micromark` that can be passed in `htmlExtensions` to\n * support GFM when serializing to HTML.\n */\nexport function gfmHtml(options) {\n return combineHtmlExtensions([\n gfmAutolinkLiteralHtml,\n gfmFootnoteHtml(options),\n gfmStrikethroughHtml,\n gfmTableHtml,\n gfmTagfilterHtml,\n gfmTaskListItemHtml\n ])\n}\n","/**\n * @typedef {import('mdast-util-from-markdown').Extension} FromMarkdownExtension\n * @typedef {import('mdast-util-to-markdown').Options} ToMarkdownExtension\n */\n\n/**\n * @typedef {import('mdast-util-gfm-table').Options} Options\n * Configuration.\n */\n\nimport {\n gfmAutolinkLiteralFromMarkdown,\n gfmAutolinkLiteralToMarkdown\n} from 'mdast-util-gfm-autolink-literal'\nimport {\n gfmFootnoteFromMarkdown,\n gfmFootnoteToMarkdown\n} from 'mdast-util-gfm-footnote'\nimport {\n gfmStrikethroughFromMarkdown,\n gfmStrikethroughToMarkdown\n} from 'mdast-util-gfm-strikethrough'\nimport {gfmTableFromMarkdown, gfmTableToMarkdown} from 'mdast-util-gfm-table'\nimport {\n gfmTaskListItemFromMarkdown,\n gfmTaskListItemToMarkdown\n} from 'mdast-util-gfm-task-list-item'\n\n/**\n * Create an extension for `mdast-util-from-markdown` to enable GFM (autolink\n * literals, footnotes, strikethrough, tables, tasklists).\n *\n * @returns {Array<FromMarkdownExtension>}\n * Extension for `mdast-util-from-markdown` to enable GFM (autolink literals,\n * footnotes, strikethrough, tables, tasklists).\n */\nexport function gfmFromMarkdown() {\n return [\n gfmAutolinkLiteralFromMarkdown,\n gfmFootnoteFromMarkdown(),\n gfmStrikethroughFromMarkdown,\n gfmTableFromMarkdown,\n gfmTaskListItemFromMarkdown\n ]\n}\n\n/**\n * Create an extension for `mdast-util-to-markdown` to enable GFM (autolink\n * literals, footnotes, strikethrough, tables, tasklists).\n *\n * @param {Options | null | undefined} [options]\n * Configuration.\n * @returns {ToMarkdownExtension}\n * Extension for `mdast-util-to-markdown` to enable GFM (autolink literals,\n * footnotes, strikethrough, tables, tasklists).\n */\nexport function gfmToMarkdown(options) {\n return {\n extensions: [\n gfmAutolinkLiteralToMarkdown,\n gfmFootnoteToMarkdown(),\n gfmStrikethroughToMarkdown,\n gfmTableToMarkdown(options),\n gfmTaskListItemToMarkdown\n ]\n }\n}\n","/**\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('hast').Element} Element\n */\n\nconst search = /[#.]/g\n\n/**\n * Create a hast element from a simple CSS selector.\n *\n * @template {string} Selector\n * Type of selector.\n * @template {string} [DefaultTagName='div']\n * Type of default tag name.\n * @param {Selector | null | undefined} [selector]\n * Simple CSS selector.\n *\n * Can contain a tag name (`foo`), classes (`.bar`), and an ID (`#baz`).\n * Multiple classes are allowed.\n * Uses the last ID if multiple IDs are found.\n * @param {DefaultTagName | null | undefined} [defaultTagName='div']\n * Tag name to use if `selector` does not specify one (default: `'div'`).\n * @returns {Element & {tagName: import('./extract.js').ExtractTagName<Selector, DefaultTagName>}}\n * Built element.\n */\nexport function parseSelector(selector, defaultTagName) {\n const value = selector || ''\n /** @type {Properties} */\n const props = {}\n let start = 0\n /** @type {string | undefined} */\n let previous\n /** @type {string | undefined} */\n let tagName\n\n while (start < value.length) {\n search.lastIndex = start\n const match = search.exec(value)\n const subvalue = value.slice(start, match ? match.index : value.length)\n\n if (subvalue) {\n if (!previous) {\n tagName = subvalue\n } else if (previous === '#') {\n props.id = subvalue\n } else if (Array.isArray(props.className)) {\n props.className.push(subvalue)\n } else {\n props.className = [subvalue]\n }\n\n start += subvalue.length\n }\n\n if (match) {\n previous = match[0]\n start++\n }\n }\n\n return {\n type: 'element',\n // @ts-expect-error: fine.\n tagName: tagName || defaultTagName || 'div',\n properties: props,\n children: []\n }\n}\n","/**\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast').Content} Content\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Properties} Properties\n * @typedef {import('property-information').Info} Info\n * @typedef {import('property-information').Schema} Schema\n */\n\n/**\n * @typedef {Content | Root} Node\n * Any concrete `hast` node.\n * @typedef {Root | Element} HResult\n * Result from a `h` (or `s`) call.\n *\n * @typedef {string | number} HStyleValue\n * Value for a CSS style field.\n * @typedef {Record<string, HStyleValue>} HStyle\n * Supported value of a `style` prop.\n * @typedef {string | number | boolean | null | undefined} HPrimitiveValue\n * Primitive property value.\n * @typedef {Array<string | number>} HArrayValue\n * List of property values for space- or comma separated values (such as `className`).\n * @typedef {HPrimitiveValue | HArrayValue} HPropertyValue\n * Primitive value or list value.\n * @typedef {{[property: string]: HPropertyValue | HStyle}} HProperties\n * Acceptable value for element properties.\n *\n * @typedef {string | number | null | undefined} HPrimitiveChild\n * Primitive children, either ignored (nullish), or turned into text nodes.\n * @typedef {Array<Node | HPrimitiveChild>} HArrayChild\n * List of children.\n * @typedef {Node | HPrimitiveChild | HArrayChild} HChild\n * Acceptable child value.\n */\n\nimport {find, normalize} from 'property-information'\nimport {parseSelector} from 'hast-util-parse-selector'\nimport {parse as spaces} from 'space-separated-tokens'\nimport {parse as commas} from 'comma-separated-tokens'\n\nconst buttonTypes = new Set(['menu', 'submit', 'reset', 'button'])\n\nconst own = {}.hasOwnProperty\n\n/**\n * @param {Schema} schema\n * @param {string} defaultTagName\n * @param {Array<string>} [caseSensitive]\n */\nexport function core(schema, defaultTagName, caseSensitive) {\n const adjust = caseSensitive && createAdjustMap(caseSensitive)\n\n const h =\n /**\n * @type {{\n * (): Root\n * (selector: null | undefined, ...children: Array<HChild>): Root\n * (selector: string, properties?: HProperties, ...children: Array<HChild>): Element\n * (selector: string, ...children: Array<HChild>): Element\n * }}\n */\n (\n /**\n * Hyperscript compatible DSL for creating virtual hast trees.\n *\n * @param {string | null} [selector]\n * @param {HProperties | HChild} [properties]\n * @param {Array<HChild>} children\n * @returns {HResult}\n */\n function (selector, properties, ...children) {\n let index = -1\n /** @type {HResult} */\n let node\n\n if (selector === undefined || selector === null) {\n node = {type: 'root', children: []}\n // @ts-expect-error Properties are not supported for roots.\n children.unshift(properties)\n } else {\n node = parseSelector(selector, defaultTagName)\n // Normalize the name.\n node.tagName = node.tagName.toLowerCase()\n if (adjust && own.call(adjust, node.tagName)) {\n node.tagName = adjust[node.tagName]\n }\n\n // Handle props.\n if (isProperties(properties, node.tagName)) {\n /** @type {string} */\n let key\n\n for (key in properties) {\n if (own.call(properties, key)) {\n // @ts-expect-error `node.properties` is set.\n addProperty(schema, node.properties, key, properties[key])\n }\n }\n } else {\n children.unshift(properties)\n }\n }\n\n // Handle children.\n while (++index < children.length) {\n addChild(node.children, children[index])\n }\n\n if (node.type === 'element' && node.tagName === 'template') {\n node.content = {type: 'root', children: node.children}\n node.children = []\n }\n\n return node\n }\n )\n\n return h\n}\n\n/**\n * @param {HProperties | HChild} value\n * @param {string} name\n * @returns {value is HProperties}\n */\nfunction isProperties(value, name) {\n if (\n value === null ||\n value === undefined ||\n typeof value !== 'object' ||\n Array.isArray(value)\n ) {\n return false\n }\n\n if (name === 'input' || !value.type || typeof value.type !== 'string') {\n return true\n }\n\n if ('children' in value && Array.isArray(value.children)) {\n return false\n }\n\n if (name === 'button') {\n return buttonTypes.has(value.type.toLowerCase())\n }\n\n return !('value' in value)\n}\n\n/**\n * @param {Schema} schema\n * @param {Properties} properties\n * @param {string} key\n * @param {HStyle | HPropertyValue} value\n * @returns {void}\n */\nfunction addProperty(schema, properties, key, value) {\n const info = find(schema, key)\n let index = -1\n /** @type {HPropertyValue} */\n let result\n\n // Ignore nullish and NaN values.\n if (value === undefined || value === null) return\n\n if (typeof value === 'number') {\n // Ignore NaN.\n if (Number.isNaN(value)) return\n\n result = value\n }\n // Booleans.\n else if (typeof value === 'boolean') {\n result = value\n }\n // Handle list values.\n else if (typeof value === 'string') {\n if (info.spaceSeparated) {\n result = spaces(value)\n } else if (info.commaSeparated) {\n result = commas(value)\n } else if (info.commaOrSpaceSeparated) {\n result = spaces(commas(value).join(' '))\n } else {\n result = parsePrimitive(info, info.property, value)\n }\n } else if (Array.isArray(value)) {\n result = value.concat()\n } else {\n result = info.property === 'style' ? style(value) : String(value)\n }\n\n if (Array.isArray(result)) {\n /** @type {Array<string | number>} */\n const finalResult = []\n\n while (++index < result.length) {\n // @ts-expect-error Assume no booleans in array.\n finalResult[index] = parsePrimitive(info, info.property, result[index])\n }\n\n result = finalResult\n }\n\n // Class names (which can be added both on the `selector` and here).\n if (info.property === 'className' && Array.isArray(properties.className)) {\n // @ts-expect-error Assume no booleans in `className`.\n result = properties.className.concat(result)\n }\n\n properties[info.property] = result\n}\n\n/**\n * @param {Array<Content>} nodes\n * @param {HChild} value\n * @returns {void}\n */\nfunction addChild(nodes, value) {\n let index = -1\n\n if (value === undefined || value === null) {\n // Empty.\n } else if (typeof value === 'string' || typeof value === 'number') {\n nodes.push({type: 'text', value: String(value)})\n } else if (Array.isArray(value)) {\n while (++index < value.length) {\n addChild(nodes, value[index])\n }\n } else if (typeof value === 'object' && 'type' in value) {\n if (value.type === 'root') {\n addChild(nodes, value.children)\n } else {\n nodes.push(value)\n }\n } else {\n throw new Error('Expected node, nodes, or string, got `' + value + '`')\n }\n}\n\n/**\n * Parse a single primitives.\n *\n * @param {Info} info\n * @param {string} name\n * @param {HPrimitiveValue} value\n * @returns {HPrimitiveValue}\n */\nfunction parsePrimitive(info, name, value) {\n if (typeof value === 'string') {\n if (info.number && value && !Number.isNaN(Number(value))) {\n return Number(value)\n }\n\n if (\n (info.boolean || info.overloadedBoolean) &&\n (value === '' || normalize(value) === normalize(name))\n ) {\n return true\n }\n }\n\n return value\n}\n\n/**\n * Serialize a `style` object as a string.\n *\n * @param {HStyle} value\n * Style object.\n * @returns {string}\n * CSS string.\n */\nfunction style(value) {\n /** @type {Array<string>} */\n const result = []\n /** @type {string} */\n let key\n\n for (key in value) {\n if (own.call(value, key)) {\n result.push([key, value[key]].join(': '))\n }\n }\n\n return result.join('; ')\n}\n\n/**\n * Create a map to adjust casing.\n *\n * @param {Array<string>} values\n * List of properly cased keys.\n * @returns {Record<string, string>}\n * Map of lowercase keys to uppercase keys.\n */\nfunction createAdjustMap(values) {\n /** @type {Record<string, string>} */\n const result = {}\n let index = -1\n\n while (++index < values.length) {\n result[values[index].toLowerCase()] = values[index]\n }\n\n return result\n}\n","export const svgCaseSensitiveTagNames = [\n 'altGlyph',\n 'altGlyphDef',\n 'altGlyphItem',\n 'animateColor',\n 'animateMotion',\n 'animateTransform',\n 'clipPath',\n 'feBlend',\n 'feColorMatrix',\n 'feComponentTransfer',\n 'feComposite',\n 'feConvolveMatrix',\n 'feDiffuseLighting',\n 'feDisplacementMap',\n 'feDistantLight',\n 'feDropShadow',\n 'feFlood',\n 'feFuncA',\n 'feFuncB',\n 'feFuncG',\n 'feFuncR',\n 'feGaussianBlur',\n 'feImage',\n 'feMerge',\n 'feMergeNode',\n 'feMorphology',\n 'feOffset',\n 'fePointLight',\n 'feSpecularLighting',\n 'feSpotLight',\n 'feTile',\n 'feTurbulence',\n 'foreignObject',\n 'glyphRef',\n 'linearGradient',\n 'radialGradient',\n 'solidColor',\n 'textArea',\n 'textPath'\n]\n","/**\n * @typedef {import('./core.js').HChild} Child\n * Acceptable child value.\n * @typedef {import('./core.js').HProperties} Properties\n * Acceptable value for element properties.\n * @typedef {import('./core.js').HResult} Result\n * Result from a `h` (or `s`) call.\n *\n * @typedef {import('./jsx-classic.js').Element} s.JSX.Element\n * @typedef {import('./jsx-classic.js').IntrinsicAttributes} s.JSX.IntrinsicAttributes\n * @typedef {import('./jsx-classic.js').IntrinsicElements} s.JSX.IntrinsicElements\n * @typedef {import('./jsx-classic.js').ElementChildrenAttribute} s.JSX.ElementChildrenAttribute\n */\n\nimport {svg} from 'property-information'\nimport {core} from './core.js'\nimport {svgCaseSensitiveTagNames} from './svg-case-sensitive-tag-names.js'\n\nexport const s = core(svg, 'g', svgCaseSensitiveTagNames)\n","/**\n * @typedef {import('./core.js').HChild} Child\n * Acceptable child value.\n * @typedef {import('./core.js').HProperties} Properties\n * Acceptable value for element properties.\n * @typedef {import('./core.js').HResult} Result\n * Result from a `h` (or `s`) call.\n *\n * @typedef {import('./jsx-classic.js').Element} h.JSX.Element\n * @typedef {import('./jsx-classic.js').IntrinsicAttributes} h.JSX.IntrinsicAttributes\n * @typedef {import('./jsx-classic.js').IntrinsicElements} h.JSX.IntrinsicElements\n * @typedef {import('./jsx-classic.js').ElementChildrenAttribute} h.JSX.ElementChildrenAttribute\n */\n\nimport {html} from 'property-information'\nimport {core} from './core.js'\n\nexport const h = core(html, 'div')\n","/**\n * Map of web namespaces.\n *\n * @type {Record<string, string>}\n */\nexport const webNamespaces = {\n html: 'http://www.w3.org/1999/xhtml',\n mathml: 'http://www.w3.org/1998/Math/MathML',\n svg: 'http://www.w3.org/2000/svg',\n xlink: 'http://www.w3.org/1999/xlink',\n xml: 'http://www.w3.org/XML/1998/namespace',\n xmlns: 'http://www.w3.org/2000/xmlns/'\n}\n","/**\n * @typedef {import('vfile').VFile} VFile\n * @typedef {import('property-information').Schema} Schema\n * @typedef {import('unist').Position} Position\n * @typedef {import('unist').Point} Point\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast').Content} Content\n * @typedef {import('parse5').DefaultTreeAdapterMap} DefaultTreeAdapterMap\n * @typedef {import('parse5').Token.ElementLocation} P5ElementLocation\n * @typedef {import('parse5').Token.Location} P5Location\n */\n\n/**\n * @typedef {Content | Root} Node\n * @typedef {DefaultTreeAdapterMap['document']} P5Document\n * @typedef {DefaultTreeAdapterMap['documentFragment']} P5DocumentFragment\n * @typedef {DefaultTreeAdapterMap['documentType']} P5DocumentType\n * @typedef {DefaultTreeAdapterMap['commentNode']} P5Comment\n * @typedef {DefaultTreeAdapterMap['textNode']} P5Text\n * @typedef {DefaultTreeAdapterMap['element']} P5Element\n * @typedef {DefaultTreeAdapterMap['node']} P5Node\n * @typedef {DefaultTreeAdapterMap['template']} P5Template\n *\n * @typedef {'html' | 'svg'} Space\n * Namespace.\n *\n * @typedef Options\n * Configuration.\n * @property {Space | null | undefined} [space='html']\n * Which space the document is in.\n *\n * When an `<svg>` element is found in the HTML space, this package already\n * automatically switches to and from the SVG space when entering and exiting\n * it.\n * @property {VFile | null | undefined} [file]\n * File used to add positional info to nodes.\n *\n * If given, the file should represent the original HTML source.\n * @property {boolean} [verbose=false]\n * Whether to add extra positional info about starting tags, closing tags,\n * and attributes to elements.\n *\n * > 👉 **Note**: only used when `file` is given.\n *\n * @typedef State\n * Info passed around about the current state.\n * @property {Schema} schema\n * Current schema.\n * @property {VFile | undefined} file\n * Corresponding file.\n * @property {boolean | undefined} verbose\n * Add extra positional info.\n * @property {boolean} location\n * Whether location info was found.\n */\n\nimport {h, s} from 'hastscript'\nimport {html, svg, find} from 'property-information'\nimport {location} from 'vfile-location'\nimport {webNamespaces} from 'web-namespaces'\n\nconst own = {}.hasOwnProperty\n/** @type {unknown} */\n// type-coverage:ignore-next-line\nconst proto = Object.prototype\n\n/**\n * Transform a `parse5` AST to hast.\n *\n * @param {P5Node} tree\n * `parse5` tree to transform.\n * @param {Options | VFile | null | undefined} [options]\n * Configuration.\n * @returns {Node}\n * hast tree.\n */\nexport function fromParse5(tree, options) {\n const options_ = options || {}\n /** @type {Options} */\n let settings\n /** @type {VFile | undefined} */\n let file\n\n if (isFile(options_)) {\n file = options_\n settings = {}\n } else {\n file = options_.file || undefined\n settings = options_\n }\n\n return one(\n {\n schema: settings.space === 'svg' ? svg : html,\n file,\n verbose: settings.verbose,\n location: false\n },\n tree\n )\n}\n\n/**\n * Transform a node.\n *\n * @param {State} state\n * Info passed around about the current state.\n * @param {P5Node} node\n * p5 node.\n * @returns {Node}\n * hast node.\n */\nfunction one(state, node) {\n /** @type {Node} */\n let result\n\n switch (node.nodeName) {\n case '#comment': {\n const reference = /** @type {P5Comment} */ (node)\n result = {type: 'comment', value: reference.data}\n patch(state, reference, result)\n return result\n }\n\n case '#document':\n case '#document-fragment': {\n const reference = /** @type {P5Document | P5DocumentFragment} */ (node)\n const quirksMode =\n 'mode' in reference\n ? reference.mode === 'quirks' || reference.mode === 'limited-quirks'\n : false\n\n result = {\n type: 'root',\n children: all(state, node.childNodes),\n data: {quirksMode}\n }\n\n if (state.file && state.location) {\n const doc = String(state.file)\n const loc = location(doc)\n const start = loc.toPoint(0)\n const end = loc.toPoint(doc.length)\n // @ts-expect-error: always defined as we give valid input.\n result.position = {start, end}\n }\n\n return result\n }\n\n case '#documentType': {\n const reference = /** @type {P5DocumentType} */ (node)\n // @ts-expect-error Types are out of date.\n result = {type: 'doctype'}\n patch(state, reference, result)\n return result\n }\n\n case '#text': {\n const reference = /** @type {P5Text} */ (node)\n result = {type: 'text', value: reference.value}\n patch(state, reference, result)\n return result\n }\n\n // Element.\n default: {\n const reference = /** @type {P5Element} */ (node)\n result = element(state, reference)\n return result\n }\n }\n}\n\n/**\n * Transform children.\n *\n * @param {State} state\n * Info passed around about the current state.\n * @param {Array<P5Node>} nodes\n * Nodes.\n * @returns {Array<Content>}\n * hast nodes.\n */\nfunction all(state, nodes) {\n let index = -1\n /** @type {Array<Content>} */\n const result = []\n\n while (++index < nodes.length) {\n // @ts-expect-error Assume no roots in `nodes`.\n result[index] = one(state, nodes[index])\n }\n\n return result\n}\n\n/**\n * Transform an element.\n *\n * @param {State} state\n * Info passed around about the current state.\n * @param {P5Element} node\n * `parse5` node to transform.\n * @returns {Element}\n * hast node.\n */\nfunction element(state, node) {\n const schema = state.schema\n\n state.schema = node.namespaceURI === webNamespaces.svg ? svg : html\n\n // Props.\n let index = -1\n /** @type {Record<string, string>} */\n const props = {}\n\n while (++index < node.attrs.length) {\n const attribute = node.attrs[index]\n const name =\n (attribute.prefix ? attribute.prefix + ':' : '') + attribute.name\n if (!own.call(proto, name)) {\n props[name] = attribute.value\n }\n }\n\n // Build.\n const fn = state.schema.space === 'svg' ? s : h\n const result = fn(node.tagName, props, all(state, node.childNodes))\n patch(state, node, result)\n\n // Switch content.\n if (result.tagName === 'template') {\n const reference = /** @type {P5Template} */ (node)\n const pos = reference.sourceCodeLocation\n const startTag = pos && pos.startTag && position(pos.startTag)\n const endTag = pos && pos.endTag && position(pos.endTag)\n\n /** @type {Root} */\n // @ts-expect-error Types are wrong.\n const content = one(state, reference.content)\n\n if (startTag && endTag && state.file) {\n content.position = {start: startTag.end, end: endTag.start}\n }\n\n result.content = content\n }\n\n state.schema = schema\n\n return result\n}\n\n/**\n * Patch positional info from `from` onto `to`.\n *\n * @param {State} state\n * Info passed around about the current state.\n * @param {P5Node} from\n * p5 node.\n * @param {Node} to\n * hast node.\n * @returns {void}\n * Nothing.\n */\nfunction patch(state, from, to) {\n if ('sourceCodeLocation' in from && from.sourceCodeLocation && state.file) {\n const position = createLocation(state, to, from.sourceCodeLocation)\n\n if (position) {\n state.location = true\n to.position = position\n }\n }\n}\n\n/**\n * Create clean positional information.\n *\n * @param {State} state\n * Info passed around about the current state.\n * @param {Node} node\n * hast node.\n * @param {P5ElementLocation} location\n * p5 location info.\n * @returns {Position | undefined}\n * Position, or nothing.\n */\nfunction createLocation(state, node, location) {\n const result = position(location)\n\n if (node.type === 'element') {\n const tail = node.children[node.children.length - 1]\n\n // Bug for unclosed with children.\n // See: <https://github.com/inikulin/parse5/issues/109>.\n if (\n result &&\n !location.endTag &&\n tail &&\n tail.position &&\n tail.position.end\n ) {\n result.end = Object.assign({}, tail.position.end)\n }\n\n if (state.verbose) {\n /** @type {Record<string, Position | undefined>} */\n const props = {}\n /** @type {string} */\n let key\n\n if (location.attrs) {\n for (key in location.attrs) {\n if (own.call(location.attrs, key)) {\n props[find(state.schema, key).property] = position(\n location.attrs[key]\n )\n }\n }\n }\n\n node.data = {\n position: {\n // @ts-expect-error: assume not `undefined`.\n opening: position(location.startTag),\n closing: location.endTag ? position(location.endTag) : null,\n properties: props\n }\n }\n }\n }\n\n return result\n}\n\n/**\n * Turn a p5 location into a position.\n *\n * @param {P5Location} loc\n * Location.\n * @returns {Position | undefined}\n * Position or nothing.\n */\nfunction position(loc) {\n const start = point({\n line: loc.startLine,\n column: loc.startCol,\n offset: loc.startOffset\n })\n const end = point({\n line: loc.endLine,\n column: loc.endCol,\n offset: loc.endOffset\n })\n // @ts-expect-error `undefined` is fine.\n return start || end ? {start, end} : undefined\n}\n\n/**\n * Filter out invalid points.\n *\n * @param {Point} point\n * Point with potentially `undefined` values.\n * @returns {Point | undefined}\n * Point or nothing.\n */\nfunction point(point) {\n return point.line && point.column ? point : undefined\n}\n\n/**\n * Check if something is a file.\n *\n * @param {VFile | Options} value\n * File or options.\n * @returns {value is VFile}\n * Whether `value` is a file.\n */\nfunction isFile(value) {\n return 'messages' in value\n}\n","/**\n * @typedef {import('vfile').VFile} VFile\n * @typedef {import('vfile').Value} Value\n */\n\n/**\n * @typedef Point\n * unist point, where `line` and `column` can be `undefined`.\n * @property {number | undefined} line\n * Line.\n * @property {number | undefined} column\n * Column.\n * @property {number | undefined} [offset]\n * Offset.\n *\n * @typedef PointLike\n * unist point, allowed as input.\n * @property {number | null | undefined} [line]\n * Line.\n * @property {number | null | undefined} [column]\n * Column.\n * @property {number | null | undefined} [offset]\n * Offset.\n *\n * @callback ToPoint\n * Get a line/column-based `point` from `offset`.\n * @param {number | null | undefined} [offset]\n * Something that should be an `offset.\n * @returns {Point}\n * Point, line/column are undefined for invalid or out of bounds input.\n *\n * @callback ToOffset\n * Get an offset from a line/column-based `point`.\n * @param {Point | null | undefined} [point]\n * Something that should be a `point.\n * @returns {number}\n * Offset or `-1` for invalid or out of bounds input.\n *\n * @typedef Location\n * Accessors for index.\n * @property {ToPoint} toPoint\n * Get a line/column-based `point` from `offset`.\n * @property {ToOffset} toOffset\n * Get an offset from a line/column-based `point`.\n */\n\n/**\n * Index the given document so you can translate between line/column and offset\n * based positional info.\n *\n * @param {VFile | Value} file\n * File to index.\n * @returns {Location}\n * Accessors for index.\n */\nexport function location(file) {\n const value = String(file)\n /** @type {Array<number>} */\n const indices = []\n const search = /\\r?\\n|\\r/g\n\n while (search.test(value)) {\n indices.push(search.lastIndex)\n }\n\n indices.push(value.length + 1)\n\n return {toPoint, toOffset}\n\n /** @type {ToPoint} */\n function toPoint(offset) {\n let index = -1\n\n if (\n typeof offset === 'number' &&\n offset > -1 &&\n offset < indices[indices.length - 1]\n ) {\n while (++index < indices.length) {\n if (indices[index] > offset) {\n return {\n line: index + 1,\n column: offset - (index > 0 ? indices[index - 1] : 0) + 1,\n offset\n }\n }\n }\n }\n\n return {line: undefined, column: undefined, offset: undefined}\n }\n\n /** @type {ToOffset} */\n function toOffset(point) {\n const line = point && point.line\n const column = point && point.column\n\n if (\n typeof line === 'number' &&\n typeof column === 'number' &&\n !Number.isNaN(line) &&\n !Number.isNaN(column) &&\n line - 1 in indices\n ) {\n const offset = (indices[line - 2] || 0) + column - 1 || 0\n\n if (offset > -1 && offset < indices[indices.length - 1]) {\n return offset\n }\n }\n\n return -1\n }\n}\n","/**\n * @callback Handler\n * Handle a value, with a certain ID field set to a certain value.\n * The ID field is passed to `zwitch`, and it’s value is this function’s\n * place on the `handlers` record.\n * @param {...any} parameters\n * Arbitrary parameters passed to the zwitch.\n * The first will be an object with a certain ID field set to a certain value.\n * @returns {any}\n * Anything!\n */\n\n/**\n * @callback UnknownHandler\n * Handle values that do have a certain ID field, but it’s set to a value\n * that is not listed in the `handlers` record.\n * @param {unknown} value\n * An object with a certain ID field set to an unknown value.\n * @param {...any} rest\n * Arbitrary parameters passed to the zwitch.\n * @returns {any}\n * Anything!\n */\n\n/**\n * @callback InvalidHandler\n * Handle values that do not have a certain ID field.\n * @param {unknown} value\n * Any unknown value.\n * @param {...any} rest\n * Arbitrary parameters passed to the zwitch.\n * @returns {void|null|undefined|never}\n * This should crash or return nothing.\n */\n\n/**\n * @template {InvalidHandler} [Invalid=InvalidHandler]\n * @template {UnknownHandler} [Unknown=UnknownHandler]\n * @template {Record<string, Handler>} [Handlers=Record<string, Handler>]\n * @typedef Options\n * Configuration (required).\n * @property {Invalid} [invalid]\n * Handler to use for invalid values.\n * @property {Unknown} [unknown]\n * Handler to use for unknown values.\n * @property {Handlers} [handlers]\n * Handlers to use.\n */\n\nconst own = {}.hasOwnProperty\n\n/**\n * Handle values based on a field.\n *\n * @template {InvalidHandler} [Invalid=InvalidHandler]\n * @template {UnknownHandler} [Unknown=UnknownHandler]\n * @template {Record<string, Handler>} [Handlers=Record<string, Handler>]\n * @param {string} key\n * Field to switch on.\n * @param {Options<Invalid, Unknown, Handlers>} [options]\n * Configuration (required).\n * @returns {{unknown: Unknown, invalid: Invalid, handlers: Handlers, (...parameters: Parameters<Handlers[keyof Handlers]>): ReturnType<Handlers[keyof Handlers]>, (...parameters: Parameters<Unknown>): ReturnType<Unknown>}}\n */\nexport function zwitch(key, options) {\n const settings = options || {}\n\n /**\n * Handle one value.\n *\n * Based on the bound `key`, a respective handler will be called.\n * If `value` is not an object, or doesn’t have a `key` property, the special\n * “invalid” handler will be called.\n * If `value` has an unknown `key`, the special “unknown” handler will be\n * called.\n *\n * All arguments, and the context object, are passed through to the handler,\n * and it’s result is returned.\n *\n * @this {unknown}\n * Any context object.\n * @param {unknown} [value]\n * Any value.\n * @param {...unknown} parameters\n * Arbitrary parameters passed to the zwitch.\n * @property {Handler} invalid\n * Handle for values that do not have a certain ID field.\n * @property {Handler} unknown\n * Handle values that do have a certain ID field, but it’s set to a value\n * that is not listed in the `handlers` record.\n * @property {Handlers} handlers\n * Record of handlers.\n * @returns {unknown}\n * Anything.\n */\n function one(value, ...parameters) {\n /** @type {Handler|undefined} */\n let fn = one.invalid\n const handlers = one.handlers\n\n if (value && own.call(value, key)) {\n // @ts-expect-error Indexable.\n const id = String(value[key])\n // @ts-expect-error Indexable.\n fn = own.call(handlers, id) ? handlers[id] : one.unknown\n }\n\n if (fn) {\n return fn.call(this, value, ...parameters)\n }\n }\n\n one.handlers = settings.handlers || {}\n one.invalid = settings.invalid\n one.unknown = settings.unknown\n\n // @ts-expect-error: matches!\n return one\n}\n","/**\n * @typedef {import('parse5').DefaultTreeAdapterMap} DefaultTreeAdapterMap\n * @typedef {DefaultTreeAdapterMap['document']} P5Document\n * @typedef {DefaultTreeAdapterMap['documentFragment']} P5Fragment\n * @typedef {DefaultTreeAdapterMap['element']} P5Element\n * @typedef {DefaultTreeAdapterMap['node']} P5Node\n * @typedef {DefaultTreeAdapterMap['documentType']} P5Doctype\n * @typedef {DefaultTreeAdapterMap['commentNode']} P5Comment\n * @typedef {DefaultTreeAdapterMap['textNode']} P5Text\n * @typedef {DefaultTreeAdapterMap['parentNode']} P5Parent\n * @typedef {import('parse5').Token.Attribute} P5Attribute\n * @typedef {Exclude<P5Node, P5Document | P5Fragment>} P5Child\n * @typedef {import('property-information').Schema} Schema\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast').DocType} Doctype\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Text} Text\n * @typedef {import('hast').Comment} Comment\n * @typedef {import('hast').Content} Content\n */\n\n/**\n * @typedef {Content | Root} Node\n * @typedef {'html' | 'svg'} Space\n *\n * @typedef Options\n * Configuration.\n * @property {Space | null | undefined} [space='html']\n * Which space the document is in.\n *\n * When an `<svg>` element is found in the HTML space, this package already\n * automatically switches to and from the SVG space when entering and exiting\n * it.\n */\n\nimport {stringify as commas} from 'comma-separated-tokens'\nimport {html, svg, find} from 'property-information'\nimport {stringify as spaces} from 'space-separated-tokens'\nimport {webNamespaces} from 'web-namespaces'\nimport {zwitch} from 'zwitch'\n\nconst own = {}.hasOwnProperty\n\n/** @type {(from: Node, schema: Schema) => P5Node} */\nconst one = zwitch('type', {handlers: {root, element, text, comment, doctype}})\n\n/**\n * Transform a hast tree to Parse5’s AST.\n *\n * @param {Node} tree\n * Tree to transform.\n * @param {Options | Space | null | undefined} [options]\n * Configuration.\n * @returns {P5Node}\n * `parse5` node.\n */\nexport function toParse5(tree, options) {\n const space = options && typeof options === 'object' ? options.space : options\n return one(tree, space === 'svg' ? svg : html)\n}\n\n/**\n * @param {Root} node\n * @param {Schema} schema\n * Current schema.\n * @returns {P5Document}\n */\nfunction root(node, schema) {\n /** @type {P5Document} */\n const result = {\n nodeName: '#document',\n // @ts-expect-error: `parse5` uses enums, which are actually strings.\n mode: (node.data || {}).quirksMode ? 'quirks' : 'no-quirks',\n childNodes: []\n }\n result.childNodes = all(node.children, result, schema)\n patch(node, result)\n return result\n}\n\n/**\n * @param {Root} node\n * @param {Schema} schema\n * Current schema.\n * @returns {P5Fragment}\n */\nfunction fragment(node, schema) {\n /** @type {P5Fragment} */\n const result = {nodeName: '#document-fragment', childNodes: []}\n result.childNodes = all(node.children, result, schema)\n patch(node, result)\n return result\n}\n\n/**\n * @param {Doctype} node\n * @returns {P5Doctype}\n */\nfunction doctype(node) {\n /** @type {P5Doctype} */\n const result = {\n nodeName: '#documentType',\n name: 'html',\n publicId: '',\n systemId: '',\n // @ts-expect-error: change to `null` in a major?\n parentNode: undefined\n }\n\n patch(node, result)\n return result\n}\n\n/**\n * @param {Text} node\n * @returns {P5Text}\n */\nfunction text(node) {\n /** @type {P5Text} */\n const result = {\n nodeName: '#text',\n value: node.value,\n // @ts-expect-error: no `parentNode`\n parentNode: undefined\n }\n patch(node, result)\n return result\n}\n\n/**\n * @param {Comment} node\n * @returns {P5Comment}\n */\nfunction comment(node) {\n /** @type {P5Comment} */\n const result = {\n nodeName: '#comment',\n data: node.value,\n // @ts-expect-error: no `parentNode`\n parentNode: undefined\n }\n\n patch(node, result)\n\n return result\n}\n\n/**\n * @param {Element} node\n * @param {Schema} schema\n * Current schema.\n * @returns {P5Element}\n * `parse5` node.\n */\nfunction element(node, schema) {\n const parentSchema = schema\n let currentSchema = parentSchema\n\n if (\n node.type === 'element' &&\n node.tagName.toLowerCase() === 'svg' &&\n parentSchema.space === 'html'\n ) {\n currentSchema = svg\n }\n\n /** @type {Array<P5Attribute>} */\n const attrs = []\n /** @type {string} */\n let prop\n\n if (node.properties) {\n for (prop in node.properties) {\n if (prop !== 'children' && own.call(node.properties, prop)) {\n const result = createProperty(\n currentSchema,\n prop,\n node.properties[prop]\n )\n\n if (result) {\n attrs.push(result)\n }\n }\n }\n }\n\n /** @type {P5Element} */\n const result = {\n nodeName: node.tagName,\n tagName: node.tagName,\n attrs,\n // @ts-expect-error: html and svg both have a space.\n namespaceURI: webNamespaces[currentSchema.space],\n childNodes: [],\n // @ts-expect-error: no `parentNode`\n parentNode: undefined\n }\n result.childNodes = all(node.children, result, currentSchema)\n patch(node, result)\n\n if (node.tagName === 'template' && node.content) {\n // @ts-expect-error: `parse5` types are wrong.\n result.content = fragment(node.content, currentSchema)\n }\n\n return result\n}\n\n/**\n * Handle a property.\n *\n * @param {Schema} schema\n * Current schema.\n * @param {string} prop\n * Key.\n * @param {Array<string | number> | string | number | boolean | null | undefined} value\n * hast property value.\n * @returns {P5Attribute | void}\n * Field for runtime, optional.\n */\nfunction createProperty(schema, prop, value) {\n const info = find(schema, prop)\n\n // Ignore nullish and `NaN` values.\n if (\n value === undefined ||\n value === null ||\n value === false ||\n (typeof value === 'number' && Number.isNaN(value)) ||\n (!value && info.boolean)\n ) {\n return\n }\n\n if (Array.isArray(value)) {\n // Accept `array`.\n // Most props are space-separated.\n value = info.commaSeparated ? commas(value) : spaces(value)\n }\n\n /** @type {P5Attribute} */\n const attribute = {\n name: info.attribute,\n value: value === true ? '' : String(value)\n }\n\n if (info.space && info.space !== 'html' && info.space !== 'svg') {\n const index = attribute.name.indexOf(':')\n\n if (index < 0) {\n attribute.prefix = ''\n } else {\n attribute.name = attribute.name.slice(index + 1)\n attribute.prefix = info.attribute.slice(0, index)\n }\n\n attribute.namespace = webNamespaces[info.space]\n }\n\n return attribute\n}\n\n/**\n * Transform all hast nodes.\n *\n * @param {Array<Content>} children\n * List of children.\n * @param {P5Parent} parentNode\n * `parse5` parent node.\n * @param {Schema} schema\n * Current schema.\n * @returns {Array<P5Child>}\n * Transformed children.\n */\nfunction all(children, parentNode, schema) {\n let index = -1\n /** @type {Array<P5Child>} */\n const results = []\n\n if (children) {\n while (++index < children.length) {\n /** @type {P5Child} */\n // @ts-expect-error assume no document.\n const child = one(children[index], schema)\n\n child.parentNode = parentNode\n\n results.push(child)\n }\n }\n\n return results\n}\n\n/**\n * Add position info from `from` to `to`.\n *\n * @param {Node} from\n * hast node.\n * @param {P5Node} to\n * `parse5` node.\n * @returns {void}\n * Nothing.\n */\nfunction patch(from, to) {\n const position = from.position\n\n if (position && position.start && position.end) {\n to.sourceCodeLocation = {\n startLine: position.start.line,\n startCol: position.start.column,\n // @ts-expect-error assume this is set.\n startOffset: position.start.offset,\n endLine: position.end.line,\n endCol: position.end.column,\n // @ts-expect-error assume this is set.\n endOffset: position.end.offset\n }\n }\n}\n","/**\n * List of HTML void tag names.\n *\n * @type {Array<string>}\n */\nexport const htmlVoidElements = [\n 'area',\n 'base',\n 'basefont',\n 'bgsound',\n 'br',\n 'col',\n 'command',\n 'embed',\n 'frame',\n 'hr',\n 'image',\n 'img',\n 'input',\n 'isindex',\n 'keygen',\n 'link',\n 'menuitem',\n 'meta',\n 'nextid',\n 'param',\n 'source',\n 'track',\n 'wbr'\n]\n","/**\n * @typedef {import('vfile').VFile} VFile\n * @typedef {import('parse5').Document} P5Document\n * @typedef {import('parse5').DocumentFragment} P5Fragment\n * @typedef {Omit<import('parse5').Element, 'parentNode'>} P5Element\n * @typedef {import('parse5').Attribute} P5Attribute\n * @typedef {Omit<import('parse5').Location, 'startOffset' | 'endOffset'> & {startOffset: number|undefined, endOffset: number|undefined}} P5Location\n * @typedef {import('parse5').ParserOptions} P5ParserOptions\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast').DocType} Doctype\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Text} Text\n * @typedef {import('hast').Comment} Comment\n * @typedef {import('hast').Content} Content\n * @typedef {Root|Content} Node\n * @typedef {import('../complex-types').Raw} Raw\n *\n * @typedef {Omit<Comment, 'value'> & {value: {stitch: Node}}} Stitch\n *\n * @typedef Options\n * @property {Array<string>} [passThrough]\n * List of custom hast node types to pass through (keep) in hast.\n * If the passed through nodes have children, those children are expected to\n * be hast and will be handled.\n *\n * @typedef HiddenTokenizer\n * @property {Array<HiddenLocationTracker>} __mixins\n * Way too simple, but works for us.\n * @property {HiddenPreprocessor} preprocessor\n * @property {(value: string) => void} write\n * @property {() => number} _consume\n * @property {Array<HiddenToken>} tokenQueue\n * @property {string} state\n * @property {string} returnState\n * @property {number} charRefCode\n * @property {Array<number>} tempBuff\n * @property {Function} _flushCodePointsConsumedAsCharacterReference\n * @property {string} lastStartTagName\n * @property {number} consumedAfterSnapshot\n * @property {boolean} active\n * @property {HiddenToken|undefined} currentCharacterToken\n * @property {HiddenToken|undefined} currentToken\n * @property {unknown} currentAttr\n * @property {Function} NAMED_CHARACTER_REFERENCE_STATE\n * @property {Function} NUMERIC_CHARACTER_REFERENCE_END_STATE\n *\n * @typedef {Record<string, unknown> & {location: P5Location}} HiddenToken\n *\n * @typedef HiddenPreprocessor\n * @property {string|undefined} html\n * @property {number} pos\n * @property {number} lastGapPos\n * @property {number} lastCharPos\n * @property {Array<number>} gapStack\n * @property {boolean} skipNextNewLine\n * @property {boolean} lastChunkWritten\n * @property {boolean} endOfChunkHit\n *\n * @typedef HiddenLocationTracker\n * @property {P5Location|undefined} currentAttrLocation\n * @property {P5Location} ctLoc\n * @property {HiddenPosTracker} posTracker\n *\n * @typedef HiddenPosTracker\n * @property {boolean} isEol\n * @property {number} lineStartPos\n * @property {number} droppedBufferSize\n * @property {number} offset\n * @property {number} col\n * @property {number} line\n */\n\n// @ts-expect-error: untyped.\nimport Parser from 'parse5/lib/parser/index.js'\nimport {pointStart, pointEnd} from 'unist-util-position'\nimport {visit} from 'unist-util-visit'\nimport {fromParse5} from 'hast-util-from-parse5'\nimport {toParse5} from 'hast-util-to-parse5'\nimport {htmlVoidElements} from 'html-void-elements'\nimport {webNamespaces} from 'web-namespaces'\nimport {zwitch} from 'zwitch'\n\nconst inTemplateMode = 'IN_TEMPLATE_MODE'\nconst dataState = 'DATA_STATE'\nconst characterToken = 'CHARACTER_TOKEN'\nconst startTagToken = 'START_TAG_TOKEN'\nconst endTagToken = 'END_TAG_TOKEN'\nconst commentToken = 'COMMENT_TOKEN'\nconst doctypeToken = 'DOCTYPE_TOKEN'\n\n/** @type {P5ParserOptions} */\nconst parseOptions = {sourceCodeLocationInfo: true, scriptingEnabled: false}\n\n/**\n * Given a hast tree and an optional vfile (for positional info), return a new\n * parsed-again hast tree.\n *\n * @param tree\n * Original hast tree.\n * @param file\n * Virtual file for positional info, optional.\n * @param options\n * Configuration.\n */\nexport const raw =\n /**\n * @type {(\n * ((tree: Node, file: VFile|undefined, options?: Options) => Node) &\n * ((tree: Node, options?: Options) => Node)\n * )}\n */\n (\n /**\n * @param {Node} tree\n * @param {VFile} [file]\n * @param {Options} [options]\n */\n function (tree, file, options) {\n let index = -1\n const parser = new Parser(parseOptions)\n const one = zwitch('type', {\n handlers: {root, element, text, comment, doctype, raw: handleRaw},\n // @ts-expect-error: hush.\n unknown\n })\n /** @type {boolean|undefined} */\n let stitches\n /** @type {HiddenTokenizer|undefined} */\n let tokenizer\n /** @type {HiddenPreprocessor|undefined} */\n let preprocessor\n /** @type {HiddenPosTracker|undefined} */\n let posTracker\n /** @type {HiddenLocationTracker|undefined} */\n let locationTracker\n\n if (isOptions(file)) {\n options = file\n file = undefined\n }\n\n if (options && options.passThrough) {\n while (++index < options.passThrough.length) {\n // @ts-expect-error: hush.\n one.handlers[options.passThrough[index]] = stitch\n }\n }\n\n const result = fromParse5(\n documentMode(tree) ? document() : fragment(),\n file\n )\n\n if (stitches) {\n visit(result, 'comment', (node, index, parent) => {\n const stitch = /** @type {Stitch} */ (/** @type {unknown} */ (node))\n if (stitch.value.stitch && parent !== null && index !== null) {\n // @ts-expect-error: assume the stitch is allowed.\n parent.children[index] = stitch.value.stitch\n return index\n }\n })\n }\n\n // Unpack if possible and when not given a `root`.\n if (\n tree.type !== 'root' &&\n result.type === 'root' &&\n result.children.length === 1\n ) {\n return result.children[0]\n }\n\n return result\n\n /**\n * @returns {P5Fragment}\n */\n function fragment() {\n /** @type {P5Element} */\n const context = {\n nodeName: 'template',\n tagName: 'template',\n attrs: [],\n namespaceURI: webNamespaces.html,\n childNodes: []\n }\n /** @type {P5Element} */\n const mock = {\n nodeName: 'documentmock',\n tagName: 'documentmock',\n attrs: [],\n namespaceURI: webNamespaces.html,\n childNodes: []\n }\n /** @type {P5Fragment} */\n const doc = {nodeName: '#document-fragment', childNodes: []}\n\n parser._bootstrap(mock, context)\n parser._pushTmplInsertionMode(inTemplateMode)\n parser._initTokenizerForFragmentParsing()\n parser._insertFakeRootElement()\n parser._resetInsertionMode()\n parser._findFormInFragmentContext()\n\n tokenizer = parser.tokenizer\n /* c8 ignore next */\n if (!tokenizer) throw new Error('Expected `tokenizer`')\n preprocessor = tokenizer.preprocessor\n locationTracker = tokenizer.__mixins[0]\n posTracker = locationTracker.posTracker\n\n one(tree)\n\n resetTokenizer()\n\n parser._adoptNodes(mock.childNodes[0], doc)\n\n return doc\n }\n\n /**\n * @returns {P5Document}\n */\n function document() {\n /** @type {P5Document} */\n const doc = parser.treeAdapter.createDocument()\n\n parser._bootstrap(doc, undefined)\n tokenizer = parser.tokenizer\n /* c8 ignore next */\n if (!tokenizer) throw new Error('Expected `tokenizer`')\n preprocessor = tokenizer.preprocessor\n locationTracker = tokenizer.__mixins[0]\n posTracker = locationTracker.posTracker\n\n one(tree)\n\n resetTokenizer()\n\n return doc\n }\n\n /**\n * @param {Array<Content>} nodes\n * @returns {void}\n */\n function all(nodes) {\n let index = -1\n\n /* istanbul ignore else - invalid nodes, see rehypejs/rehype-raw#7. */\n if (nodes) {\n while (++index < nodes.length) {\n one(nodes[index])\n }\n }\n }\n\n /**\n * @param {Root} node\n * @returns {void}\n */\n function root(node) {\n all(node.children)\n }\n\n /**\n * @param {Element} node\n * @returns {void}\n */\n function element(node) {\n resetTokenizer()\n parser._processInputToken(startTag(node))\n\n all(node.children)\n\n if (!htmlVoidElements.includes(node.tagName)) {\n resetTokenizer()\n parser._processInputToken(endTag(node))\n }\n }\n\n /**\n * @param {Text} node\n * @returns {void}\n */\n function text(node) {\n resetTokenizer()\n parser._processInputToken({\n type: characterToken,\n chars: node.value,\n location: createParse5Location(node)\n })\n }\n\n /**\n * @param {Doctype} node\n * @returns {void}\n */\n function doctype(node) {\n resetTokenizer()\n parser._processInputToken({\n type: doctypeToken,\n name: 'html',\n forceQuirks: false,\n publicId: '',\n systemId: '',\n location: createParse5Location(node)\n })\n }\n\n /**\n * @param {Comment|Stitch} node\n * @returns {void}\n */\n function comment(node) {\n resetTokenizer()\n parser._processInputToken({\n type: commentToken,\n data: node.value,\n location: createParse5Location(node)\n })\n }\n\n /**\n * @param {Raw} node\n * @returns {void}\n */\n function handleRaw(node) {\n const start = pointStart(node)\n const line = start.line || 1\n const column = start.column || 1\n const offset = start.offset || 0\n\n /* c8 ignore next 4 */\n if (!preprocessor) throw new Error('Expected `preprocessor`')\n if (!tokenizer) throw new Error('Expected `tokenizer`')\n if (!posTracker) throw new Error('Expected `posTracker`')\n if (!locationTracker) throw new Error('Expected `locationTracker`')\n\n // Reset preprocessor:\n // See: <https://github.com/inikulin/parse5/blob/9c683e1/packages/parse5/lib/tokenizer/preprocessor.js#L17>.\n preprocessor.html = undefined\n preprocessor.pos = -1\n preprocessor.lastGapPos = -1\n preprocessor.lastCharPos = -1\n preprocessor.gapStack = []\n preprocessor.skipNextNewLine = false\n preprocessor.lastChunkWritten = false\n preprocessor.endOfChunkHit = false\n\n // Reset preprocessor mixin:\n // See: <https://github.com/inikulin/parse5/blob/9c683e1/packages/parse5/lib/extensions/position-tracking/preprocessor-mixin.js>.\n posTracker.isEol = false\n posTracker.lineStartPos = -column + 1 // Looks weird, but ensures we get correct positional info.\n posTracker.droppedBufferSize = offset\n posTracker.offset = 0\n posTracker.col = 1\n posTracker.line = line\n\n // Reset location tracker:\n // See: <https://github.com/inikulin/parse5/blob/9c683e1/packages/parse5/lib/extensions/location-info/tokenizer-mixin.js>.\n locationTracker.currentAttrLocation = undefined\n locationTracker.ctLoc = createParse5Location(node)\n\n // See the code for `parse` and `parseFragment`:\n // See: <https://github.com/inikulin/parse5/blob/9c683e1/packages/parse5/lib/parser/index.js#L371>.\n tokenizer.write(node.value)\n parser._runParsingLoop(null)\n\n // Character references hang, so if we ended there, we need to flush\n // those too.\n // We reset the preprocessor as if the document ends here.\n // Then one single call to the relevant state does the trick, parse5\n // consumes the whole token.\n if (\n tokenizer.state === 'NAMED_CHARACTER_REFERENCE_STATE' ||\n tokenizer.state === 'NUMERIC_CHARACTER_REFERENCE_END_STATE'\n ) {\n preprocessor.lastChunkWritten = true\n tokenizer[tokenizer.state](tokenizer._consume())\n }\n }\n\n /**\n * @param {Node} node\n */\n function stitch(node) {\n stitches = true\n\n /** @type {Node} */\n let clone\n\n // Recurse, because to somewhat handle `[<x>]</x>` (where `[]` denotes the\n // passed through node).\n if ('children' in node) {\n clone = {\n ...node,\n children: raw(\n {type: 'root', children: node.children},\n file,\n options\n // @ts-expect-error Assume a given parent yields a parent.\n ).children\n }\n } else {\n clone = {...node}\n }\n\n // Hack: `value` is supposed to be a string, but as none of the tools\n // (`parse5` or `hast-util-from-parse5`) looks at it, we can pass nodes\n // through.\n comment({type: 'comment', value: {stitch: clone}})\n }\n\n function resetTokenizer() {\n /* c8 ignore next 2 */\n if (!tokenizer) throw new Error('Expected `tokenizer`')\n if (!posTracker) throw new Error('Expected `posTracker`')\n\n // Process final characters if they’re still there after hibernating.\n // Similar to:\n // See: <https://github.com/inikulin/parse5/blob/9c683e1/packages/parse5/lib/extensions/location-info/tokenizer-mixin.js#L95>.\n const token = tokenizer.currentCharacterToken\n\n if (token) {\n token.location.endLine = posTracker.line\n token.location.endCol = posTracker.col + 1\n token.location.endOffset = posTracker.offset + 1\n parser._processInputToken(token)\n }\n\n // Reset tokenizer:\n // See: <https://github.com/inikulin/parse5/blob/9c683e1/packages/parse5/lib/tokenizer/index.js#L218-L234>.\n // Especially putting it back in the `data` state is useful: some elements,\n // like textareas and iframes, change the state.\n // See GH-7.\n // But also if broken HTML is in `raw`, and then a correct element is given.\n // See GH-11.\n tokenizer.tokenQueue = []\n tokenizer.state = dataState\n tokenizer.returnState = ''\n tokenizer.charRefCode = -1\n tokenizer.tempBuff = []\n tokenizer.lastStartTagName = ''\n tokenizer.consumedAfterSnapshot = -1\n tokenizer.active = false\n tokenizer.currentCharacterToken = undefined\n tokenizer.currentToken = undefined\n tokenizer.currentAttr = undefined\n }\n }\n )\n/**\n * @param {Element} node\n * @returns {HiddenToken}\n */\nfunction startTag(node) {\n /** @type {P5Location} */\n const location = Object.assign(createParse5Location(node))\n // @ts-expect-error extra positional info.\n location.startTag = Object.assign({}, location)\n\n // Untyped token.\n return {\n type: startTagToken,\n tagName: node.tagName,\n selfClosing: false,\n attrs: attributes(node),\n location\n }\n}\n\n/**\n * @param {Element} node\n * @returns {Array<P5Attribute>}\n */\nfunction attributes(node) {\n return toParse5({\n tagName: node.tagName,\n type: 'element',\n properties: node.properties,\n children: []\n // @ts-expect-error Assume element.\n }).attrs\n}\n\n/**\n * @param {Element} node\n * @returns {HiddenToken}\n */\nfunction endTag(node) {\n /** @type {P5Location} */\n const location = Object.assign(createParse5Location(node))\n // @ts-expect-error extra positional info.\n location.startTag = Object.assign({}, location)\n\n // Untyped token.\n return {\n type: endTagToken,\n tagName: node.tagName,\n attrs: [],\n location\n }\n}\n\n/**\n * @param {Node} node\n */\nfunction unknown(node) {\n throw new Error('Cannot compile `' + node.type + '` node')\n}\n\n/**\n * @param {Node} node\n * @returns {boolean}\n */\nfunction documentMode(node) {\n const head = node.type === 'root' ? node.children[0] : node\n return Boolean(\n head &&\n (head.type === 'doctype' ||\n (head.type === 'element' && head.tagName === 'html'))\n )\n}\n\n/**\n * @param {Node|Stitch} node\n * @returns {P5Location}\n */\nfunction createParse5Location(node) {\n const start = pointStart(node)\n const end = pointEnd(node)\n\n return {\n startLine: start.line,\n startCol: start.column,\n startOffset: start.offset,\n endLine: end.line,\n endCol: end.column,\n endOffset: end.offset\n }\n}\n\n/**\n * @param {VFile|Options|undefined} value\n * @return {value is Options}\n */\nfunction isOptions(value) {\n return Boolean(value && !('message' in value && 'messages' in value))\n}\n","/**\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast-util-raw').Options} Options\n * @typedef {import('hast-util-raw')} DoNotTouchAsThisImportIncludesRawInTree\n */\n\nimport {raw} from 'hast-util-raw'\n\n/**\n * Plugin to parse the tree again (and raw nodes).\n * Keeping positional info OK. 🙌\n *\n * @type {import('unified').Plugin<[Options?] | Array<void>, Root>}\n */\nexport default function rehypeRaw(options = {}) {\n return (tree, file) => {\n // Assume that when a root was given, it’s also returned.\n const result = /** @type {Root} */ (raw(tree, file, options))\n return result\n }\n}\n","import './App.css';\nimport { useState, useEffect, useCallback } from \"react\";\nimport data from \"./data\";\nimport ReactMarkdown from 'react-markdown';\nimport { BiMessageDetail } from \"react-icons/bi\";\nimport { LuClipboardSignature } from \"react-icons/lu\";\nimport { LuBookOpen } from \"react-icons/lu\";\nimport { LuCoffee } from \"react-icons/lu\";\nimport { TbSunMoon } from \"react-icons/tb\";\nimport { Prism as SyntaxHighlighter } from 'react-syntax-highlighter';\nimport { coldarkDark } from 'react-syntax-highlighter/dist/esm/styles/prism';\nimport remarkGfm from 'remark-gfm';\nimport rehypeRaw from 'rehype-raw';\n\nfunction debounce(fn, wait, immediate) {\n let timeout;\n\n return (...args) => {\n const context = this;\n\n const later = () => {\n timeout = null;\n if (!immediate) fn.apply(context, args);\n };\n\n const callNow = immediate && !timeout;\n clearTimeout(timeout);\n timeout = setTimeout(later, wait);\n\n if (callNow) {\n fn.apply(context, args);\n }\n };\n}\n\nfunction filterData(data, filter = \"\", typeFilter = \"all\") {\n if (typeFilter === \"all\" && filter.length) return data;\n\n const typeFilteredItems = {};\n typeFilteredItems.items = typeFilter !== \"all\" ? data.items.filter(item => item.type === typeFilter) : data.items;\n\n if (filter.length) return typeFilteredItems;\n\n const filtered = {};\n filtered.items = filter.length ? typeFilteredItems.items.filter(item => {\n return item.title.toLowerCase().includes(filter.toLowerCase()) || item.content.toLowerCase().includes(filter.toLowerCase());\n }) : typeFilteredItems.items;\n\n return filtered;\n}\n\nfunction sanitizeTitle(title) {\n return title.toLowerCase().replace(/\\s+/g, '-').replace(/[^\\w-]+/g, '');\n}\n\nfunction CopyButton({ valueToCopy }) {\n const [copied, setCopied] = useState(false);\n\n function handleClick(text) {\n navigator.clipboard.writeText(text);\n setCopied(true);\n\n setTimeout(() => {\n setCopied(false);\n }, 2000);\n }\n\n return (\n <button\n className={`copy-button ${copied ? 'copied' : ''}`}\n onClick={() => handleClick(valueToCopy)}\n >\n {copied ? 'Copied!' : 'Copy'}\n </button>\n );\n}\n\nfunction App() {\n const [filter, setFilter] = useState(\"\");\n const [typeFilter, setTypeFilter] = useState(\"all\");\n const [expandedItems, setExpandedItems] = useState([]);\n const [expandedCategory, setExpandedCategory] = useState(null);\n const [theme, setTheme] = useState(\"dark\"); // Initialize theme state\n\n useEffect(() => {\n const hash = window.location.hash.substring(1); // Remove the '#' from the hash\n if (hash) {\n const item = data.items.find(item => sanitizeTitle(item.title) === hash);\n if (item) {\n setExpandedCategory(item.category);\n setExpandedItems([sanitizeTitle(item.title)]);\n } else {\n setExpandedCategory(hash);\n }\n }\n }, []);\n\n function handleFilter(event) {\n debounce(setFilter(event.target.value), 300);\n }\n\n const handleExpandItem = useCallback((item) => {\n const itemId = sanitizeTitle(item.title);\n const newExpanded = expandedItems.includes(itemId) ? expandedItems.filter(i => i !== itemId) : [...expandedItems, itemId];\n setExpandedItems(newExpanded);\n window.history.pushState(null, '', `#${itemId}`);\n }, [expandedItems]);\n\n const handleCategoryClick = useCallback((category) => {\n setExpandedCategory(expandedCategory === category ? null : category);\n setExpandedItems([]); // Close all items when a new category is clicked\n window.history.pushState(null, '', `#${sanitizeTitle(category)}`);\n }, [expandedCategory]);\n\n function clearFilters() {\n setFilter(\"\");\n setTypeFilter(\"all\");\n setExpandedItems([]); // Close all items\n setExpandedCategory(null); // Close all categories\n window.history.pushState(null, '', window.location.pathname); // Clear the hash in the URL\n }\n\n function toggleTheme() {\n const newTheme = theme === \"dark\" ? \"light\" : \"dark\";\n console.log(\"Toggling theme to:\", newTheme);\n setTheme(newTheme);\n document.documentElement.setAttribute('data-theme', newTheme); // Apply the new theme to the document\n console.log(\"Current theme attribute:\", document.documentElement.getAttribute('data-theme'));\n }\n\n const filtered = filter.length || typeFilter !== \"all\" ? filterData(data, filter, typeFilter) : data;\n\n // Group items by category and sort within each category\n const groupedItems = filtered.items.reduce((acc, item) => {\n const category = item.category;\n if (!acc[category]) acc[category] = [];\n acc[category].push(item);\n return acc;\n }, {});\n\n Object.keys(groupedItems).forEach(category => {\n groupedItems[category].sort((a, b) => a.title.localeCompare(b.title));\n });\n\n const totalScripts = data.items.length;\n\n return (\n <div className=\"App\">\n <div className=\"header\">\n <h6>Proudly serving {totalScripts} scripts</h6>\n </div>\n <div className={\"inputFilter\"}>\n <span align=\"center\">\n <input type=\"text\" onChange={handleFilter} value={filter} placeholder=\"Search\"/>\n <button onClick={clearFilters} style={{ background: 'none', border: 'none', color: 'var(--text-color)', cursor: 'pointer', transition: 'background-color 0.5s, color 0.5s, border-radius 0.5s', padding: '3px 6px', marginLeft: '1px' }} onMouseOver={(e) => { e.target.style.backgroundColor = 'var(--background-hover)'; e.target.style.color = 'var(--button4-hover)'; e.target.style.borderRadius = '8px'; }} onMouseOut={(e) => { e.target.style.backgroundColor = 'transparent'; e.target.style.color = 'var(--text-color)'; e.target.style.borderRadius = '0'; }}>\n Reset\n </button>\n </span>\n <span align=\"center\"> \n <a className=\"button4 animation\" href=\"https://github.com/tteck/Proxmox/blob/main/CHANGELOG.md\" target=\"_blank\" rel=\"noopener noreferrer\" data-umami-event=\"Changelog\"><LuClipboardSignature className=\"icon-container\"/> Changelog</a>\n <a className=\"button4\" href=\"https://github.com/tteck/Proxmox/discussions\" target=\"_blank\" rel=\"noopener noreferrer\" data-umami-event=\"Discussion\"><BiMessageDetail className=\"icon-container\"/> Discussions</a>\n <a className=\"button4\" href=\"https://github.com/tteck/Proxmox/blob/main/USER_SUBMITTED_GUIDES.md\" target=\"_blank\" rel=\"noopener noreferrer\" data-umami-event=\"Guides\"><LuBookOpen className=\"icon-container\"/> Guides</a>\n <a className=\"button4\" href=\"https://ko-fi.com/proxmoxhelperscripts\" target=\"_blank\" rel=\"noopener noreferrer\" data-umami-event=\"Support this Project\"><LuCoffee className=\"icon-container\"/> Support this Project</a>\n <button className=\"button4\" onClick={toggleTheme}style={{ padding: '5px 10px'}}><TbSunMoon className=\"icon-container\"/> Theme</button>\n </span>\n </div>\n {Object.keys(groupedItems).length === 0 && <div>No items match your criteria <button onClick={clearFilters}>Clear Filters</button></div>}\n {Object.keys(groupedItems).map((category) => (\n <div key={sanitizeTitle(category)}>\n <div onClick={() => handleCategoryClick(category)} className={\"itemCategory\"}>\n {category}\n </div>\n {groupedItems[category].map((item) => {\n const itemId = sanitizeTitle(item.title);\n return (\n <div className={\"App-items\"} key={itemId}>\n {((filter && item.title.toLowerCase().includes(filter.toLowerCase())) || expandedItems.includes(itemId) || expandedCategory === item.category) && (\n <span onClick={() => handleExpandItem(item)} className={\"itemTitle\"}>\n {item.title}\n </span>\n )}\n {((filter && item.title.toLowerCase().includes(filter.toLowerCase())) || expandedItems.includes(itemId)) && (\n <div className=\"item\">\n <ReactMarkdown\n children={item.content}\n remarkPlugins={[remarkGfm]}\n rehypePlugins={[rehypeRaw]}\n components={{\n code({ node, inline, className, children, ...props }) {\n const match = /language-(\\w+)/.exec(className || '');\n return !inline && match ? (\n <>\n <CopyButton valueToCopy={String(children)} />\n <SyntaxHighlighter\n children={String(children).replace(/\\n$/, '')}\n style={coldarkDark}\n language={match[1]}\n PreTag=\"div\"\n {...props}\n />\n </>\n ) : (\n <code className={className} {...props}>\n {children}\n </code>\n );\n }\n }}\n />\n </div>\n )}\n </div>\n );\n })}\n </div>\n ))}\n </div>\n );\n}\n\nexport default App;\n","import React from 'react';\nimport ReactDOM from 'react-dom/client';\nimport App from './App';\n\nconst root = ReactDOM.createRoot(document.getElementById('root'));\nroot.render(\n <React.StrictMode>\n <App />\n </React.StrictMode>\n);\n\n"],"names":["hasOwn","Object","prototype","hasOwnProperty","toStr","toString","defineProperty","gOPD","getOwnPropertyDescriptor","isArray","arr","Array","call","isPlainObject","obj","key","hasOwnConstructor","hasIsPrototypeOf","constructor","setProperty","target","options","name","enumerable","configurable","value","newValue","writable","getProperty","module","exports","extend","src","copy","copyIsArray","clone","arguments","i","length","deep","selector","defaultTagName","subvalue","previous","match","props","start","search","lastIndex","exec","slice","index","id","className","push","type","tagName","properties","children","find","require","normalize","parseSelector","spaces","commas","schema","caseSensitive","adjust","values","result","toLowerCase","createAdjustMap","property","node","own","isNode","isChildren","unshift","addProperty","addChild","content","info","undefined","spaceSeparated","commaSeparated","commaOrSpaceSeparated","join","style","concat","parsePrimitive","parsePrimitives","nodes","Error","String","number","positiveNumber","isNaN","Number","boolean","overloadedBoolean","html","factory","displayName","val","input","empty","indexOf","comma","end","trim","space","DefinedInfo","Info","data","normal","prop","Type","valid","test","charAt","attribute","replace","dash","camelcase","toUpperCase","datasetToProperty","cap","kebab","datasetToAttribute","$0","merge","xlink","xml","xmlns","aria","types","create","booleanish","transform","_","ariaActiveDescendant","ariaAtomic","ariaAutoComplete","ariaBusy","ariaChecked","ariaColCount","ariaColIndex","ariaColSpan","ariaControls","ariaCurrent","ariaDescribedBy","ariaDetails","ariaDisabled","ariaDropEffect","ariaErrorMessage","ariaExpanded","ariaFlowTo","ariaGrabbed","ariaHasPopup","ariaHidden","ariaInvalid","ariaKeyShortcuts","ariaLabel","ariaLabelledBy","ariaLevel","ariaLive","ariaModal","ariaMultiLine","ariaMultiSelectable","ariaOrientation","ariaOwns","ariaPlaceholder","ariaPosInSet","ariaPressed","ariaReadOnly","ariaRelevant","ariaRequired","ariaRoleDescription","ariaRowCount","ariaRowIndex","ariaRowSpan","ariaSelected","ariaSetSize","ariaSort","ariaValueMax","ariaValueMin","ariaValueNow","ariaValueText","role","caseInsensitiveTransform","attributes","acceptcharset","classname","htmlfor","httpequiv","mustUseProperty","abbr","accept","acceptCharset","accessKey","action","allow","allowFullScreen","allowPaymentRequest","allowUserMedia","alt","as","async","autoCapitalize","autoComplete","autoFocus","autoPlay","capture","charSet","checked","cite","cols","colSpan","contentEditable","controls","controlsList","coords","crossOrigin","dateTime","decoding","default","defer","dir","dirName","disabled","download","draggable","encType","enterKeyHint","form","formAction","formEncType","formMethod","formNoValidate","formTarget","headers","height","hidden","high","href","hrefLang","htmlFor","httpEquiv","imageSizes","imageSrcSet","inputMode","integrity","is","isMap","itemId","itemProp","itemRef","itemScope","itemType","kind","label","lang","language","list","loading","loop","low","manifest","max","maxLength","media","method","min","minLength","multiple","muted","nonce","noModule","noValidate","onAbort","onAfterPrint","onAuxClick","onBeforePrint","onBeforeUnload","onBlur","onCancel","onCanPlay","onCanPlayThrough","onChange","onClick","onClose","onContextMenu","onCopy","onCueChange","onCut","onDblClick","onDrag","onDragEnd","onDragEnter","onDragExit","onDragLeave","onDragOver","onDragStart","onDrop","onDurationChange","onEmptied","onEnded","onError","onFocus","onFormData","onHashChange","onInput","onInvalid","onKeyDown","onKeyPress","onKeyUp","onLanguageChange","onLoad","onLoadedData","onLoadedMetadata","onLoadEnd","onLoadStart","onMessage","onMessageError","onMouseDown","onMouseEnter","onMouseLeave","onMouseMove","onMouseOut","onMouseOver","onMouseUp","onOffline","onOnline","onPageHide","onPageShow","onPaste","onPause","onPlay","onPlaying","onPopState","onProgress","onRateChange","onRejectionHandled","onReset","onResize","onScroll","onSecurityPolicyViolation","onSeeked","onSeeking","onSelect","onSlotChange","onStalled","onStorage","onSubmit","onSuspend","onTimeUpdate","onToggle","onUnhandledRejection","onUnload","onVolumeChange","onWaiting","onWheel","open","optimum","pattern","ping","placeholder","playsInline","poster","preload","readOnly","referrerPolicy","rel","required","reversed","rows","rowSpan","sandbox","scope","scoped","seamless","selected","shape","size","sizes","slot","span","spellCheck","srcDoc","srcLang","srcSet","step","tabIndex","title","translate","typeMustMatch","useMap","width","wrap","align","aLink","archive","axis","background","bgColor","border","borderColor","bottomMargin","cellPadding","cellSpacing","char","charOff","classId","clear","code","codeBase","codeType","color","compact","declare","event","face","frame","frameBorder","hSpace","leftMargin","link","longDesc","lowSrc","marginHeight","marginWidth","noResize","noHref","noShade","noWrap","object","profile","prompt","rev","rightMargin","rules","scheme","scrolling","standby","summary","text","topMargin","valueType","version","vAlign","vLink","vSpace","allowTransparency","autoCorrect","autoSave","disablePictureInPicture","disableRemotePlayback","prefix","results","security","unselectable","caseSensitiveTransform","Schema","definition","defined","checks","checksLength","mask","check","mark","this","proto","xtend","definitions","apply","powers","increment","Math","pow","xLinkActuate","xLinkArcRole","xLinkHref","xLinkRole","xLinkShow","xLinkTitle","xLinkType","xmlLang","xmlBase","xmlSpace","xmlnsxlink","xmlnsXLink","split","whiteSpace","COMMENT_REGEX","NEWLINE_REGEX","WHITESPACE_REGEX","PROPERTY_REGEX","COLON_REGEX","VALUE_REGEX","SEMICOLON_REGEX","TRIM_REGEX","EMPTY_STRING","str","TypeError","lineno","column","updatePosition","lines","lastIndexOf","position","line","Position","whitespace","source","errorsList","error","msg","err","reason","filename","silent","re","m","comments","c","comment","pos","declaration","ret","decl","decls","declarations","character","charCodeAt","alphabetical","decimal","isBuffer","el","characters","entity","document","createElement","innerHTML","textContent","legacy","invalid","hexadecimal","alphanumerical","decodeEntity","option","settings","defaults","indent","entityCharacters","namedEntity","terminated","reference","following","warning","output","begin","prev","next","diff","additional","nonTerminated","handleText","handleReference","handleWarning","textContext","referenceContext","warningContext","queue","now","parseError","noop","lineFeed","ampersand","tab","formFeed","lessThan","fromCharCode","numberSign","uppercaseX","lowercaseX","hexa","deci","tests","semicolon","namedUnknown","namedNotTerminated","namedEmpty","equalsTo","numericNotTerminated","prohibited","parseInt","bases","numericProhibited","replacementCharacter","numericDisallowed","disallowed","numericEmpty","flush","offset","messages","parse","Function","DOCUMENT_MODE","VALID_DOCTYPE_NAME","QUIRKS_MODE_PUBLIC_ID_PREFIXES","QUIRKS_MODE_NO_SYSTEM_ID_PUBLIC_ID_PREFIXES","QUIRKS_MODE_PUBLIC_IDS","LIMITED_QUIRKS_PUBLIC_ID_PREFIXES","LIMITED_QUIRKS_WITH_SYSTEM_ID_PUBLIC_ID_PREFIXES","enquoteDoctypeId","quote","hasPrefix","publicId","prefixes","isConforming","token","systemId","getDocumentMode","QUIRKS","LIMITED_QUIRKS","NO_QUIRKS","serializeContent","controlCharacterInInputStream","noncharacterInInputStream","surrogateInInputStream","nonVoidHtmlElementStartTagWithTrailingSolidus","endTagWithAttributes","endTagWithTrailingSolidus","unexpectedSolidusInTag","unexpectedNullCharacter","unexpectedQuestionMarkInsteadOfTagName","invalidFirstCharacterOfTagName","unexpectedEqualsSignBeforeAttributeName","missingEndTagName","unexpectedCharacterInAttributeName","unknownNamedCharacterReference","missingSemicolonAfterCharacterReference","unexpectedCharacterAfterDoctypeSystemIdentifier","unexpectedCharacterInUnquotedAttributeValue","eofBeforeTagName","eofInTag","missingAttributeValue","missingWhitespaceBetweenAttributes","missingWhitespaceAfterDoctypePublicKeyword","missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers","missingWhitespaceAfterDoctypeSystemKeyword","missingQuoteBeforeDoctypePublicIdentifier","missingQuoteBeforeDoctypeSystemIdentifier","missingDoctypePublicIdentifier","missingDoctypeSystemIdentifier","abruptDoctypePublicIdentifier","abruptDoctypeSystemIdentifier","cdataInHtmlContent","incorrectlyOpenedComment","eofInScriptHtmlCommentLikeText","eofInDoctype","nestedComment","abruptClosingOfEmptyComment","eofInComment","incorrectlyClosedComment","eofInCdata","absenceOfDigitsInNumericCharacterReference","nullCharacterReference","surrogateCharacterReference","characterReferenceOutsideUnicodeRange","controlCharacterReference","noncharacterCharacterReference","missingWhitespaceBeforeDoctypeName","missingDoctypeName","invalidCharacterSequenceAfterDoctypeName","duplicateAttribute","nonConformingDoctype","missingDoctype","misplacedDoctype","endTagWithoutMatchingOpenElement","closingOfElementWithOpenChildElements","disallowedContentInNoscriptInHead","openElementsLeftAfterEof","abandonedHeadElementChild","misplacedStartTagForHeadElement","nestedNoscriptInHead","eofInElementThatCanContainOnlyText","Tokenizer","HTML","$","TAG_NAMES","NS","NAMESPACES","ATTRS","MIME_TYPES","SVG_ATTRS_ADJUSTMENT_MAP","attributename","attributetype","basefrequency","baseprofile","calcmode","clippathunits","diffuseconstant","edgemode","filterunits","glyphref","gradienttransform","gradientunits","kernelmatrix","kernelunitlength","keypoints","keysplines","keytimes","lengthadjust","limitingconeangle","markerheight","markerunits","markerwidth","maskcontentunits","maskunits","numoctaves","pathlength","patterncontentunits","patterntransform","patternunits","pointsatx","pointsaty","pointsatz","preservealpha","preserveaspectratio","primitiveunits","refx","refy","repeatcount","repeatdur","requiredextensions","requiredfeatures","specularconstant","specularexponent","spreadmethod","startoffset","stddeviation","stitchtiles","surfacescale","systemlanguage","tablevalues","targetx","targety","textlength","viewbox","viewtarget","xchannelselector","ychannelselector","zoomandpan","XML_ATTRS_ADJUSTMENT_MAP","namespace","XLINK","XML","XMLNS","SVG_TAG_NAMES_ADJUSTMENT_MAP","altglyph","altglyphdef","altglyphitem","animatecolor","animatemotion","animatetransform","clippath","feblend","fecolormatrix","fecomponenttransfer","fecomposite","feconvolvematrix","fediffuselighting","fedisplacementmap","fedistantlight","feflood","fefunca","fefuncb","fefuncg","fefuncr","fegaussianblur","feimage","femerge","femergenode","femorphology","feoffset","fepointlight","fespecularlighting","fespotlight","fetile","feturbulence","foreignobject","lineargradient","radialgradient","textpath","EXITS_FOREIGN_CONTENT","B","BIG","BLOCKQUOTE","BODY","BR","CENTER","CODE","DD","DIV","DL","DT","EM","EMBED","H1","H2","H3","H4","H5","H6","HEAD","HR","I","IMG","LI","LISTING","MENU","META","NOBR","OL","P","PRE","RUBY","S","SMALL","SPAN","STRONG","STRIKE","SUB","SUP","TABLE","TT","U","UL","VAR","causesExit","startTagToken","tn","FONT","getTokenAttr","COLOR","SIZE","FACE","adjustTokenMathMLAttrs","attrs","adjustTokenSVGAttrs","adjustedAttrName","adjustTokenXMLAttrs","adjustedAttrEntry","adjustTokenSVGTagName","adjustedTagName","isIntegrationPoint","ns","foreignNS","MATHML","ANNOTATION_XML","ENCODING","SVG","FOREIGN_OBJECT","DESC","TITLE","isHtmlIntegrationPoint","MI","MO","MN","MS","MTEXT","isMathMLTextIntegrationPoint","TYPE","ACTION","PROMPT","NAME","A","ADDRESS","APPLET","AREA","ARTICLE","ASIDE","BASE","BASEFONT","BGSOUND","BUTTON","CAPTION","COL","COLGROUP","DETAILS","DIALOG","DIR","FIELDSET","FIGCAPTION","FIGURE","FOOTER","FORM","FRAME","FRAMESET","HEADER","HGROUP","IMAGE","INPUT","IFRAME","KEYGEN","LABEL","LINK","MAIN","MALIGNMARK","MARQUEE","MATH","MGLYPH","NAV","NOFRAMES","NOEMBED","NOSCRIPT","OBJECT","OPTGROUP","OPTION","PARAM","PLAINTEXT","RB","RP","RT","RTC","SCRIPT","SECTION","SELECT","SOURCE","STYLE","SUMMARY","TBODY","TEMPLATE","TEXTAREA","TFOOT","TD","TH","THEAD","TR","TRACK","WBR","XMP","SPECIAL_ELEMENTS","UNDEFINED_CODE_POINTS","REPLACEMENT_CHARACTER","CODE_POINTS","EOF","NULL","TABULATION","CARRIAGE_RETURN","LINE_FEED","FORM_FEED","SPACE","EXCLAMATION_MARK","QUOTATION_MARK","NUMBER_SIGN","AMPERSAND","APOSTROPHE","HYPHEN_MINUS","SOLIDUS","DIGIT_0","DIGIT_9","SEMICOLON","LESS_THAN_SIGN","EQUALS_SIGN","GREATER_THAN_SIGN","QUESTION_MARK","LATIN_CAPITAL_A","LATIN_CAPITAL_F","LATIN_CAPITAL_X","LATIN_CAPITAL_Z","RIGHT_SQUARE_BRACKET","GRAVE_ACCENT","LATIN_SMALL_A","LATIN_SMALL_F","LATIN_SMALL_X","LATIN_SMALL_Z","CODE_POINT_SEQUENCES","DASH_DASH_STRING","DOCTYPE_STRING","CDATA_START_STRING","SCRIPT_STRING","PUBLIC_STRING","SYSTEM_STRING","isSurrogate","cp","isSurrogatePair","getSurrogatePairCodePoint","cp1","cp2","isControlCodePoint","isUndefinedCodePoint","Mixin","host","opts","super","posTracker","onParseError","_setErrorLocation","startLine","endLine","startCol","endCol","col","startOffset","endOffset","_reportError","_getOverriddenMethods","mxn","_err","ErrorReportingMixinBase","ErrorReportingTokenizerMixin","LocationInfoTokenizerMixin","parser","ctLoc","locBeforeToken","orig","_bootstrap","fragmentContext","install","tokenizer","_processInputToken","location","beforeToken","PositionTrackingPreprocessorMixin","preprocessor","lastErrOffset","ErrorReportingPreprocessorMixin","preprocessorMixin","stack","onItemPop","pop","current","popAllUpToHtmlElement","stackTop","items","remove","element","LocationInfoOpenElementStackMixin","treeAdapter","lastStartTagToken","lastFosterParentingLocation","currentToken","_setStartLocation","loc","assign","startTag","setNodeSourceCodeLocation","_setEndLocation","closingToken","getNodeSourceCodeLocation","getTagName","endLoc","END_TAG_TOKEN","endTag","updateNodeSourceCodeLocation","tokenizerMixin","openElements","_runParsingLoop","scriptHandler","_processTokenInForeignContent","_processToken","hasInScope","_setDocumentType","documentChildren","getChildNodes","cnLength","isDocumentTypeNode","_attachElementToTree","_appendElement","namespaceURI","_insertElement","_insertTemplate","tmplContent","getTemplateContent","_insertFakeRootElement","_appendCommentNode","parent","commentNode","_findFosterParentingLocation","_insertCharacters","hasFosterParent","_shouldFosterParentOnInsertion","currentTmplContent","siblings","textNodeIdx","beforeElement","textNode","currentAttrLocation","_getCurrentLocation","_attachCurrentAttrLocationInfo","currentAttr","methods","_createStartTagToken","_createEndTagToken","_createCommentToken","_createDoctypeToken","initialName","_createCharacterToken","ch","currentCharacterToken","_createEOFToken","_createAttr","attrNameFirstCh","_leaveAttrName","toState","_leaveAttrValue","_emitCurrentToken","EOF_TOKEN","_emitCurrentCharacterToken","keys","MODE","forEach","modeName","state","isEol","lineStartPos","droppedBufferSize","advance","retreat","dropParsedChunk","prevPos","reduction","FormattingElementList","entries","bookmark","_getNoahArkConditionCandidates","newElement","candidates","neAttrsLength","getAttrList","neTagName","neNamespaceURI","getNamespaceURI","entry","MARKER_ENTRY","elementAttrs","idx","_ensureNoahArkCondition","cLength","neAttrs","neAttrsMap","neAttr","j","cAttr","splice","NOAH_ARK_CAPACITY","insertMarker","pushElement","ELEMENT_ENTRY","insertElementAfterBookmark","bookmarkIdx","removeEntry","clearToLastMarker","getElementEntryInScopeWithTagName","getElementEntry","OpenElementStack","LocationInfoParserMixin","ErrorReportingParserMixin","defaultTreeAdapter","mergeOptions","doctype","foreignContent","ERR","unicode","DEFAULT_OPTIONS","scriptingEnabled","sourceCodeLocationInfo","HIDDEN_INPUT_TYPE","AA_OUTER_LOOP_ITER","AA_INNER_LOOP_ITER","INITIAL_MODE","BEFORE_HTML_MODE","BEFORE_HEAD_MODE","IN_HEAD_MODE","IN_HEAD_NO_SCRIPT_MODE","AFTER_HEAD_MODE","IN_BODY_MODE","TEXT_MODE","IN_TABLE_MODE","IN_TABLE_TEXT_MODE","IN_CAPTION_MODE","IN_COLUMN_GROUP_MODE","IN_TABLE_BODY_MODE","IN_ROW_MODE","IN_CELL_MODE","IN_SELECT_MODE","IN_SELECT_IN_TABLE_MODE","IN_TEMPLATE_MODE","AFTER_BODY_MODE","IN_FRAMESET_MODE","AFTER_FRAMESET_MODE","AFTER_AFTER_BODY_MODE","AFTER_AFTER_FRAMESET_MODE","INSERTION_MODE_RESET_MAP","TEMPLATE_INSERTION_MODE_SWITCH_MAP","TOKEN_HANDLERS","CHARACTER_TOKEN","tokenInInitialMode","NULL_CHARACTER_TOKEN","WHITESPACE_CHARACTER_TOKEN","ignoreToken","COMMENT_TOKEN","appendComment","DOCTYPE_TOKEN","p","mode","forceQuirks","setDocumentMode","insertionMode","START_TAG_TOKEN","tokenBeforeHtml","tokenBeforeHead","startTagInBody","headElement","tokenInHead","insertCharacters","startTagInHead","endTagInHead","tokenInHeadNoScript","tokenAfterHead","framesetOk","characterInBody","whitespaceCharacterInBody","endTagInBody","eofInBody","pendingScript","originalInsertionMode","characterInTable","startTagInTable","endTagInTable","pendingCharacterTokens","hasNonWhitespacePendingCharacterToken","tokenInTableText","hasInTableScope","generateImpliedEndTags","popUntilTagNamePopped","activeFormattingElements","tokenInColumnGroup","ackSelfClosing","currentTagName","clearBackToTableBodyContext","_insertFakeElement","hasTableBodyContextInTableScope","clearBackToTableRowContext","_closeTableCell","startTagInSelect","endTagInSelect","_resetInsertionMode","newInsertionMode","_popTmplInsertionMode","_pushTmplInsertionMode","eofInTemplate","tokenAfterBody","stopParsing","isRootHtmlElementCurrent","tokenAfterAfterBody","appendCommentToDocument","aaObtainFormattingElementEntry","formattingElementEntry","contains","genericEndTagInBody","aaObtainFurthestBlock","furthestBlock","_isSpecialElement","popUntilElementPopped","aaInnerLoop","formattingElement","lastElement","nextElement","getCommonAncestor","elementEntry","counterOverflow","aaRecreateElementFromEntry","detachNode","appendChild","aaInsertLastNodeInCommonAncestor","commonAncestor","_isElementCausesFosterParenting","_fosterParentElement","aaReplaceFormattingElement","_adoptNodes","insertAfter","callAdoptionAgency","stopped","_switchToTextParsing","RCDATA","RAWTEXT","SCRIPT_DATA","tmplCount","generateImpliedEndTagsThoroughly","errCode","_reconstructActiveFormattingElements","addressStartTagInBody","hasInButtonScope","_closePElement","preStartTagInBody","skipNextNewLine","bStartTagInBody","appletStartTagInBody","areaStartTagInBody","paramStartTagInBody","noembedStartTagInBody","optgroupStartTagInBody","rbStartTagInBody","genericStartTagInBody","activeElementEntry","aStartTagInBody","numberedHeaderStartTagInBody","elementTn","closeTn","generateImpliedEndTagsWithExclusion","listItemStartTagInBody","hrStartTagInBody","rtStartTagInBody","xmpStartTagInBody","selfClosing","svgStartTagInBody","adoptAttributes","htmlStartTagInBody","bodyElement","tryPeekProperlyNestedBodyElement","bodyStartTagInBody","inTemplate","formElement","formStartTagInBody","nobrStartTagInBody","mathStartTagInBody","menuStartTagInBody","tableStartTagInBody","inputType","inputStartTagInBody","imageStartTagInBody","buttonStartTagInBody","iframeStartTagInBody","selectStartTagInBody","framesetStartTagInBody","textareaStartTagInBody","plaintextStartTagInBody","addressEndTagInBody","appletEndTagInBody","pEndTagInBody","hasInListItemScope","liEndTagInBody","ddEndTagInBody","hasNumberedHeaderInScope","popUntilNumberedHeaderPopped","numberedHeaderEndTagInBody","brEndTagInBody","bodyEndTagInBody","htmlEndTagInBody","formEndTagInBody","tmplInsertionModeStackTop","curTn","tokenInTable","clearBackToTableContext","tdStartTagInTable","colStartTagInTable","formStartTagInTable","tableStartTagInTable","tbodyStartTagInTable","inputStartTagInTable","captionStartTagInTable","colgroupStartTagInTable","savedFosterParentingState","fosterParentingEnabled","_processTokenInBodyMode","hasInSelectScope","prevOpenElement","prevOpenElementTn","createDocument","write","parseFragment","documentMock","_initTokenizerForFragmentParsing","_findFormInFragmentContext","rootElement","getFirstChild","fragment","createDocumentFragment","tmplInsertionModeStack","currentTmplInsertionMode","_setupTokenizerCDATAMode","getNextToken","HIBERNATION_TOKEN","chars","substr","runParsingLoopForCurrentChunk","writeCallback","script","_getAdjustedCurrentElement","allowCDATA","_isIntegrationPoint","nextTokenizerState","switchToPlaintextParsing","getParentNode","setDocumentType","tmpl","setTemplateContent","createCommentNode","_fosterParentText","insertText","donor","recipient","child","_shouldProcessTokenInForeignContent","isCharacterToken","characterInForeignContent","nullCharacterInForeignContent","currentNs","startTagInForeignContent","endTagInForeignContent","listLength","unopenIdx","popUntilTableCellPopped","last","_resetInsertionModeForSelect","selectIdx","ancestor","openElement","insertBefore","insertTextBefore","isImpliedEndTagRequired","isImpliedEndTagRequiredThoroughly","isScopingElement","_indexOf","_isInTemplate","_updateCurrentElement","oldElement","referenceElement","insertionIdx","poppedElement","elementIdx","exclusionTagName","Preprocessor","neTree","$$","C1_CONTROLS_REFERENCE_REPLACEMENTS","DATA_STATE","RCDATA_STATE","RAWTEXT_STATE","SCRIPT_DATA_STATE","PLAINTEXT_STATE","TAG_OPEN_STATE","END_TAG_OPEN_STATE","TAG_NAME_STATE","RCDATA_LESS_THAN_SIGN_STATE","RCDATA_END_TAG_OPEN_STATE","RCDATA_END_TAG_NAME_STATE","RAWTEXT_LESS_THAN_SIGN_STATE","RAWTEXT_END_TAG_OPEN_STATE","RAWTEXT_END_TAG_NAME_STATE","SCRIPT_DATA_LESS_THAN_SIGN_STATE","SCRIPT_DATA_END_TAG_OPEN_STATE","SCRIPT_DATA_END_TAG_NAME_STATE","SCRIPT_DATA_ESCAPE_START_STATE","SCRIPT_DATA_ESCAPE_START_DASH_STATE","SCRIPT_DATA_ESCAPED_STATE","SCRIPT_DATA_ESCAPED_DASH_STATE","SCRIPT_DATA_ESCAPED_DASH_DASH_STATE","SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE","SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE","SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE","SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE","SCRIPT_DATA_DOUBLE_ESCAPED_STATE","SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE","SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE","SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE","SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE","BEFORE_ATTRIBUTE_NAME_STATE","ATTRIBUTE_NAME_STATE","AFTER_ATTRIBUTE_NAME_STATE","BEFORE_ATTRIBUTE_VALUE_STATE","ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE","ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE","ATTRIBUTE_VALUE_UNQUOTED_STATE","AFTER_ATTRIBUTE_VALUE_QUOTED_STATE","SELF_CLOSING_START_TAG_STATE","BOGUS_COMMENT_STATE","MARKUP_DECLARATION_OPEN_STATE","COMMENT_START_STATE","COMMENT_START_DASH_STATE","COMMENT_STATE","COMMENT_LESS_THAN_SIGN_STATE","COMMENT_LESS_THAN_SIGN_BANG_STATE","COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE","COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE","COMMENT_END_DASH_STATE","COMMENT_END_STATE","COMMENT_END_BANG_STATE","DOCTYPE_STATE","BEFORE_DOCTYPE_NAME_STATE","DOCTYPE_NAME_STATE","AFTER_DOCTYPE_NAME_STATE","AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE","BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE","DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE","DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE","AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE","BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE","AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE","BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE","DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE","DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE","AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE","BOGUS_DOCTYPE_STATE","CDATA_SECTION_STATE","CDATA_SECTION_BRACKET_STATE","CDATA_SECTION_END_STATE","CHARACTER_REFERENCE_STATE","NAMED_CHARACTER_REFERENCE_STATE","AMBIGUOUS_AMPERSAND_STATE","NUMERIC_CHARACTER_REFERENCE_STATE","HEXADEMICAL_CHARACTER_REFERENCE_START_STATE","DECIMAL_CHARACTER_REFERENCE_START_STATE","HEXADEMICAL_CHARACTER_REFERENCE_STATE","DECIMAL_CHARACTER_REFERENCE_STATE","NUMERIC_CHARACTER_REFERENCE_END_STATE","isWhitespace","isAsciiDigit","isAsciiUpper","isAsciiLower","isAsciiLetter","isAsciiAlphaNumeric","isAsciiUpperHexDigit","isAsciiLowerHexDigit","toAsciiLowerCodePoint","toChar","toAsciiLowerChar","findNamedEntityTreeBranch","nodeIx","branchCount","lo","hi","mid","midCp","tokenQueue","returnState","charRefCode","tempBuff","lastStartTagName","consumedAfterSnapshot","active","_errOnNextCodePoint","_consume","_unconsume","_ensureHibernation","shift","chunk","isLastChunk","insertHtmlAtCurrentPos","endOfChunkHit","_reconsumeInState","_consumeSequenceIfMatch","startCp","consumedCount","isMatch","patternLength","patternCp","patternPos","_isTempBufferEqualToScriptString","ct","_emitEOFToken","_appendCharToCurrentCharacterToken","_emitCodePoint","_emitSeveralCodePoints","codePoints","_emitChars","_matchNamedCharacterReference","excess","inNode","HAS_DATA_FLAG","_isCharacterReferenceInAttribute","_isCharacterReferenceAttributeQuirk","withSemicolon","nextCp","_flushCodePointsConsumedAsCharacterReference","matchResult","isAsciiHexDigit","replacement","DATA","attrName","Uint16Array","lastGapPos","lastCharPos","gapStack","lastChunkWritten","bufferWaterline","_addGap","_processSurrogate","substring","_checkForProblematicCharacters","nodeName","childNodes","parentNode","createTextNode","newNode","referenceNode","templateElement","contentElement","doctypeNode","prevNode","recipientAttrsMap","getTextNodeContent","getCommentNodeContent","getDocumentTypeNodeName","getDocumentTypeNodePublicId","getDocumentTypeNodeSystemId","isTextNode","isCommentNode","isElementNode","sourceCodeLocation","endLocation","reduce","merged","optObj","originalMethods","overriddenMethods","Ctor","__mixins","mixin","ReactPropTypesSecret","emptyFunction","emptyFunctionWithReset","resetWarningCache","shim","propName","componentName","propFullName","secret","getShim","isRequired","ReactPropTypes","array","bigint","bool","func","string","symbol","any","arrayOf","elementType","instanceOf","objectOf","oneOf","oneOfType","exact","checkPropTypes","PropTypes","aa","ca","a","b","encodeURIComponent","da","Set","ea","fa","ha","add","ia","window","ja","ka","la","ma","v","d","e","f","g","acceptsBooleans","attributeName","attributeNamespace","propertyName","sanitizeURL","removeEmptyString","z","ra","sa","ta","pa","qa","oa","removeAttribute","setAttribute","setAttributeNS","xlinkHref","ua","__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED","va","Symbol","for","wa","ya","za","Aa","Ba","Ca","Da","Ea","Fa","Ga","Ha","Ia","Ja","iterator","Ka","La","Ma","Na","Oa","prepareStackTrace","set","Reflect","construct","l","h","k","includes","Pa","tag","render","Qa","$$typeof","_context","_payload","_init","Ra","Sa","Ta","Va","_valueTracker","get","getValue","setValue","stopTracking","Ua","Wa","Xa","activeElement","body","Ya","defaultChecked","defaultValue","_wrapperState","initialChecked","Za","initialValue","controlled","ab","bb","cb","db","ownerDocument","eb","fb","defaultSelected","gb","dangerouslySetInnerHTML","hb","ib","jb","kb","lb","mb","nb","valueOf","firstChild","removeChild","MSApp","execUnsafeLocalFunction","ob","lastChild","nodeType","nodeValue","pb","animationIterationCount","aspectRatio","borderImageOutset","borderImageSlice","borderImageWidth","boxFlex","boxFlexGroup","boxOrdinalGroup","columnCount","columns","flex","flexGrow","flexPositive","flexShrink","flexNegative","flexOrder","gridArea","gridRow","gridRowEnd","gridRowSpan","gridRowStart","gridColumn","gridColumnEnd","gridColumnSpan","gridColumnStart","fontWeight","lineClamp","lineHeight","opacity","order","orphans","tabSize","widows","zIndex","zoom","fillOpacity","floodOpacity","stopOpacity","strokeDasharray","strokeDashoffset","strokeMiterlimit","strokeOpacity","strokeWidth","qb","rb","sb","tb","menuitem","area","base","br","embed","hr","img","keygen","meta","param","track","wbr","ub","vb","wb","xb","srcElement","correspondingUseElement","yb","zb","Ab","Bb","Cb","stateNode","Db","Eb","Fb","Gb","Hb","Ib","Jb","Kb","Lb","Mb","addEventListener","removeEventListener","Nb","Ob","Pb","Qb","Rb","Sb","Tb","Vb","alternate","return","flags","Wb","memoizedState","dehydrated","Xb","Zb","sibling","Yb","$b","ac","unstable_scheduleCallback","bc","unstable_cancelCallback","cc","unstable_shouldYield","dc","unstable_requestPaint","unstable_now","ec","unstable_getCurrentPriorityLevel","fc","unstable_ImmediatePriority","gc","unstable_UserBlockingPriority","hc","unstable_NormalPriority","ic","unstable_LowPriority","jc","unstable_IdlePriority","kc","lc","oc","clz32","pc","qc","log","LN2","rc","sc","tc","uc","pendingLanes","suspendedLanes","pingedLanes","entangledLanes","entanglements","vc","xc","yc","zc","Ac","eventTimes","Cc","C","Dc","Ec","Fc","Gc","Hc","Ic","Jc","Kc","Lc","Mc","Nc","Oc","Map","Pc","Qc","Rc","Sc","delete","pointerId","Tc","nativeEvent","blockedOn","domEventName","eventSystemFlags","targetContainers","Vc","Wc","priority","isDehydrated","containerInfo","Xc","Yc","dispatchEvent","Zc","$c","ad","bd","cd","ReactCurrentBatchConfig","dd","ed","transition","fd","gd","hd","Uc","stopPropagation","jd","kd","ld","md","nd","od","keyCode","charCode","pd","qd","rd","_reactName","_targetInst","currentTarget","isDefaultPrevented","defaultPrevented","returnValue","isPropagationStopped","preventDefault","cancelBubble","persist","isPersistent","wd","xd","yd","sd","eventPhase","bubbles","cancelable","timeStamp","Date","isTrusted","td","ud","view","detail","vd","Ad","screenX","screenY","clientX","clientY","pageX","pageY","ctrlKey","shiftKey","altKey","metaKey","getModifierState","zd","button","buttons","relatedTarget","fromElement","toElement","movementX","movementY","Bd","Dd","dataTransfer","Fd","Hd","animationName","elapsedTime","pseudoElement","Id","clipboardData","Jd","Ld","Md","Esc","Spacebar","Left","Up","Right","Down","Del","Win","Menu","Apps","Scroll","MozPrintableKey","Nd","Od","Alt","Control","Meta","Shift","Pd","Qd","repeat","locale","which","Rd","Td","pressure","tangentialPressure","tiltX","tiltY","twist","pointerType","isPrimary","Vd","touches","targetTouches","changedTouches","Xd","Yd","deltaX","wheelDeltaX","deltaY","wheelDeltaY","wheelDelta","deltaZ","deltaMode","Zd","$d","ae","be","documentMode","ce","de","ee","fe","ge","he","ie","le","date","datetime","email","month","password","range","tel","time","url","week","me","ne","oe","listeners","pe","qe","se","te","ue","ve","we","xe","ye","ze","oninput","Ae","detachEvent","Be","Ce","attachEvent","De","Ee","Fe","He","Ie","Je","Ke","nextSibling","Le","compareDocumentPosition","Me","HTMLIFrameElement","contentWindow","Ne","Oe","focusedElem","selectionRange","documentElement","selectionStart","selectionEnd","defaultView","getSelection","rangeCount","anchorNode","anchorOffset","focusNode","focusOffset","createRange","setStart","removeAllRanges","addRange","setEnd","left","scrollLeft","top","scrollTop","focus","Pe","Qe","Re","Se","Te","Ue","Ve","We","animationend","animationiteration","animationstart","transitionend","Xe","Ye","Ze","animation","$e","af","bf","cf","df","ef","ff","gf","hf","lf","mf","nf","Ub","instance","listener","D","of","has","pf","qf","rf","random","sf","bind","passive","n","t","J","x","u","w","F","tf","uf","parentWindow","vf","wf","na","xa","$a","ba","je","ke","xf","yf","zf","Af","Bf","Cf","Df","Ef","__html","Ff","setTimeout","Gf","clearTimeout","Hf","Promise","Jf","queueMicrotask","resolve","then","catch","If","Kf","Lf","Mf","previousSibling","Nf","Of","Pf","Qf","Rf","Sf","Tf","Uf","E","G","Vf","H","Wf","Xf","Yf","contextTypes","__reactInternalMemoizedUnmaskedChildContext","__reactInternalMemoizedMaskedChildContext","Zf","childContextTypes","$f","ag","bg","getChildContext","cg","__reactInternalMemoizedMergedChildContext","dg","eg","fg","gg","hg","jg","kg","lg","mg","ng","og","pg","qg","rg","sg","tg","ug","vg","wg","xg","yg","zg","Ag","Bg","deletions","Cg","pendingProps","overflow","treeContext","retryLane","Dg","Eg","Fg","Gg","memoizedProps","Hg","Ig","Jg","Kg","Lg","ref","_owner","_stringRef","refs","Mg","Ng","Og","Pg","Qg","Rg","implementation","Sg","Tg","q","r","y","done","Ug","Vg","Wg","Xg","Yg","Zg","$g","ah","_currentValue","bh","childLanes","dependencies","firstContext","lanes","dh","eh","context","memoizedValue","fh","gh","hh","interleaved","ih","jh","kh","updateQueue","baseState","firstBaseUpdate","lastBaseUpdate","shared","pending","effects","lh","mh","eventTime","lane","payload","callback","nh","K","oh","ph","qh","rh","sh","th","uh","vh","wh","xh","yh","zh","Ah","Bh","L","Ch","revealOrder","Dh","Eh","_workInProgressVersionPrimary","Fh","ReactCurrentDispatcher","Gh","Hh","M","N","O","Ih","Jh","Kh","Lh","Mh","Nh","Oh","Ph","Qh","Rh","Sh","Th","baseQueue","Uh","Vh","Wh","lastRenderedReducer","hasEagerState","eagerState","lastRenderedState","dispatch","Xh","Yh","Zh","$h","ai","getSnapshot","bi","ci","Q","di","lastEffect","stores","ei","fi","gi","ii","destroy","deps","ji","ki","li","mi","ni","oi","pi","qi","ri","si","ti","ui","vi","wi","xi","yi","zi","Ai","R","Bi","readContext","useCallback","useContext","useEffect","useImperativeHandle","useInsertionEffect","useLayoutEffect","useMemo","useReducer","useRef","useState","useDebugValue","useDeferredValue","useTransition","useMutableSource","useSyncExternalStore","useId","unstable_isNewReconciler","identifierPrefix","Ci","defaultProps","Di","Ei","isMounted","_reactInternals","enqueueSetState","enqueueReplaceState","enqueueForceUpdate","Fi","shouldComponentUpdate","isPureReactComponent","Gi","contextType","updater","Hi","componentWillReceiveProps","UNSAFE_componentWillReceiveProps","Ii","getDerivedStateFromProps","getSnapshotBeforeUpdate","UNSAFE_componentWillMount","componentWillMount","componentDidMount","Ji","message","digest","Ki","Li","console","Mi","WeakMap","Ni","Oi","Pi","Qi","getDerivedStateFromError","componentDidCatch","Ri","componentStack","Si","pingCache","Ti","Ui","Vi","Wi","ReactCurrentOwner","Xi","Yi","Zi","$i","aj","compare","bj","cj","dj","baseLanes","cachePool","transitions","ej","fj","gj","hj","ij","UNSAFE_componentWillUpdate","componentWillUpdate","componentDidUpdate","jj","kj","pendingContext","lj","zj","Aj","Bj","Cj","mj","nj","oj","fallback","pj","qj","sj","dataset","dgst","tj","uj","_reactRetry","rj","subtreeFlags","vj","wj","isBackwards","rendering","renderingStartTime","tail","tailMode","xj","Dj","Ej","Fj","wasMultiple","suppressHydrationWarning","onclick","createElementNS","T","Gj","Hj","Ij","Jj","Kj","WeakSet","V","Lj","W","Mj","Nj","Pj","Qj","Rj","Sj","Tj","Uj","Vj","_reactRootContainer","Wj","X","Xj","Yj","Zj","onCommitFiberUnmount","componentWillUnmount","ak","bk","ck","dk","ek","isHidden","fk","gk","display","hk","ik","jk","kk","__reactInternalSnapshotBeforeUpdate","Vk","lk","ceil","mk","nk","ok","Y","Z","pk","qk","rk","sk","tk","Infinity","uk","vk","wk","xk","yk","zk","Ak","Bk","Ck","Dk","callbackNode","expirationTimes","expiredLanes","wc","callbackPriority","ig","Ek","Fk","Gk","Hk","Ik","Jk","Kk","Lk","Mk","Nk","Ok","finishedWork","finishedLanes","Pk","timeoutHandle","Qk","Rk","Sk","Tk","Uk","mutableReadLanes","Bc","Oj","onCommitFiberRoot","mc","onRecoverableError","Wk","onPostCommitFiberRoot","Xk","Yk","$k","isReactComponent","pendingChildren","al","mutableSourceEagerHydrationData","bl","cache","pendingSuspenseBoundaries","dl","fl","gl","hl","il","yj","Zk","kl","reportError","ll","_internalRoot","ml","nl","ol","pl","rl","ql","unmount","unstable_scheduleHydration","querySelectorAll","JSON","stringify","sl","usingClientEntryPoint","Events","tl","findFiberByHostInstance","bundleType","rendererPackageName","ul","rendererConfig","overrideHookState","overrideHookStateDeletePath","overrideHookStateRenamePath","overrideProps","overridePropsDeletePath","overridePropsRenamePath","setErrorHandler","setSuspenseHandler","scheduleUpdate","currentDispatcherRef","findHostInstanceByFiber","findHostInstancesForRefresh","scheduleRefresh","scheduleRoot","setRefreshHandler","getCurrentFiber","reconcilerVersion","__REACT_DEVTOOLS_GLOBAL_HOOK__","vl","isDisabled","supportsFiber","inject","createPortal","cl","createRoot","unstable_strictMode","findDOMNode","flushSync","hydrate","hydrateRoot","hydratedSources","_getVersion","_source","unmountComponentAtNode","unstable_batchedUpdates","unstable_renderSubtreeIntoContainer","checkDCE","ContextConsumer","ContextProvider","Element","ForwardRef","Fragment","Lazy","Memo","Portal","Profiler","StrictMode","Suspense","SuspenseList","isAsyncMode","isConcurrentMode","isContextConsumer","isContextProvider","isElement","isForwardRef","isFragment","isLazy","isMemo","isPortal","isProfiler","isStrictMode","isSuspense","isSuspenseList","isValidElementType","getModuleId","typeOf","__self","__source","jsx","jsxs","setState","forceUpdate","escape","_status","_result","Children","map","count","toArray","only","Component","PureComponent","act","cloneElement","createContext","_currentValue2","_threadCount","Provider","Consumer","_defaultValue","_globalName","createFactory","createRef","forwardRef","isValidElement","lazy","memo","startTransition","unstable_act","ctx","globalThis","self","global","restore","Prism","manual","disableWorkerMessageHandler","decode","markup","css","clike","js","Refractor","refract","register","grammar","languages","highlight","sup","util","alias","registered","listLanguages","encode","tokens","Token","env","stringifyAll","classes","hooks","run","refractor","abap","lookbehind","keyword","operator","punctuation","aliases","abnf","coreRules","greedy","inside","terminal","repetition","RegExp","rule","actionscript","ada","variable","agda","function","antlr4","command","annotation","constant","g4","apacheconf","regex","refractorSql","apex","keywords","insertClassName","classNameInside","sql","trigger","apl","statement","assignment","dfn","applescript","aql","identifier","refractorCpp","arduino","builtin","ino","arff","asciidoc","quoted","interpreted","table","specifiers","admonition","callout","macro","inline","italic","bold","copyFromAsciiDoc","o","rest","adoc","asm6502","directive","asmatmel","refractorCsharp","aspnet","csharp","javascript","autohotkey","important","autoit","avisynth","replacements","allinternals","argument","avs","avroIdl","avdl","bash","envVars","commandAfterHeredoc","insideString","environment","shebang","toBeCopied","shell","basic","batch","parameter","bbcode","shortcode","bicep","interpolation","expression","datatype","decorator","birb","metadata","refractorC","bison","delimiter","bnf","rbnf","brainfuck","pointer","decrement","branching","brightscript","bro","bsl","oscript","cfscript","cfc","chaiscript","cpp","cil","clojure","cmake","inserted","cobol","level","coffeescript","coffee","concurnas","langext","conc","coq","commentSource","punct","modName","generic","refractorRuby","crystal","ruby","args","expansion","nested","depthLog2","keywordKinds","keywordsToPattern","words","typeDeclarationKeywords","nonTypeKeywords","nonContextualKeywords","nestedRound","genericName","typeExpressionWithoutTuple","tupleElement","tuple","typeExpression","typeInside","regularString","verbatimString","regularStringOrCharacter","regularStringCharacterOrComment","roundExpression","attrTarget","attr","formatString","mInterpolationRound","mInterpolation","sInterpolationRound","sInterpolation","createInterpolationInside","interpolationRound","dotnet","cs","cshtml","commentLike","stringLike","round","square","curly","angle","tagAttrs","tagContent","tagRegion","block","razor","csp","none","hash","cssExtras","selectorInside","class","combinator","unit","hexcode","atrule","addInlined","addAttribute","csv","cypher","relationship","dart","packagePrefix","generics","dataweave","dax","measure","dhall","coord","PREFIXES","unchanged","refractorMarkupTemplating","django","filter","markupTemplating","buildPlaceholders","tokenizePlaceholders","jinja2","dnsZoneFile","docker","spaceAfterBackSlash","stringRule","commentRule","instruction","dockerfile","dot","ID","IDInside","withID","gv","ebnf","special","editorconfig","section","eiffel","ejs","eta","elixir","doc","atom","elm","hvariable","erb","erlang","refractorLua","etlua","lua","excelFormula","sheet","file","path","cell","row","factor","comment_inside","string_inside","regexp","combinators","arrToWordsRegExp","builtins","$false","firestoreSecurityRules","flow","fortran","fsharp","ftl","FTL_EXPR","gap","continuation","gcode","checksum","gdscript","gedcom","gherkin","tableRow","pystring","feature","scenario","outline","git","deleted","glsl","gml","gamemakerlanguage","gn","gni","goModule","go","graphql","description","markdown","scalar","validTokens","currentIndex","startToken","inputVariables","isTokenType","getToken","definitionEnd","findClosingBracket","addAlias","mutationEnd","varToken","close","stackHeight","groovy","haml","filters","all_filters","handlebars","brackets","hbs","haskell","hs","haxe","reification","hcl","heredoc","hlsl","hoon","hpkp","hsts","http","headerValueOf","uri","header","langs","httpLanguages","json","plain","suffixTypes","getSuffixPattern","contentType","suffix","ichigojam","icon","icuMessageFormat","stringPattern","argumentSource","nestedMessage","refractorHaskell","idris","idr","iecst","address","ignore","gitignore","hgignore","npmignore","inform7","substitution","verb","ini","io","adverb","conjunction","java","classNamePrefix","refractorJava","refractorJavadoclike","javadoc","codeLinePattern","memberReference","field","javadoclike","addSupport","javaDocLike","docLanguage","tokenName","docCommentSupport","hashbang","javastacktrace","exceptions","more","jexl","jolie","aggregates","redirects","jq","stringInterpolation","jsExtras","withId","imports","spread","arrow","dom","maybeClassNameTokens","jsTemplates","templateString","templateLiteralPattern","interpolationObject","interpolationPunctuationObject","interpolationPattern","createTemplate","getPlaceholder","counter","tokenizeWithHooks","tokenize","tokenizeInterpolationExpression","tempGrammar","tokenizeEmbedded","_tokens","placeholderCounter","placeholderMap","embeddedTokens","interpolationExpression","placeholders","walkTokens","s","before","middle","after","afterTokens","Boolean","supportedLanguages","typescript","ts","tsx","stringContent","findTemplateStrings","embedded","refractorTypescript","jsdoc","parameterPrefix","example","null","webmanifest","refractorJson","json5","jsonp","jsstacktrace","braces","stringifyToken","openedTags","notTagNorBrace","openedBraces","plainText","julia","keepalived","ip","keyman","kotlin","interpolationInside","kt","kts","kumir","nonId","wrapId","prolog","kum","kusto","latex","funcPattern","insideEqu","cdata","equation","headline","tex","refractorPhp","latte","php","markupLatte","less","refractorScheme","lilypond","schemeExpression","ly","liquid","insideRaw","tagMatch","lisp","simple_form","primitive","marker","par","nestedPar","heading","interactive","defvar","defun","lambda","car","arg","varform","forms","arglist","sublist","elisp","emacs","livescript","llvm","exception","separator","domain","uuid","lolcode","magma","generator","makefile","inner","createInline","tableCell","tableLine","yaml","blockquote","strike","codeLang","codeBlock","cls","tagPattern","fromCodePoint","known","KNOWN_ENTITY_NAMES","plugins","autoloader","floor","loadLanguages","ele","getElementById","amp","lt","gt","quot","defineProperties","placeholderPattern","replaceFilter","tokenStack","includedCdataInside","def","mathml","svg","ssml","rss","matlab","maxscript","mel","flag","mermaid","mizar","mongodb","operators","operatorsSource","monkey","moonscript","moon","n1ql","n4js","n4jsd","nand2tetrisHdl","naniscript","expressionDef","params","getTextContent","define","nani","bracket","bracketsIndex","isBracketsBalanced","nasm","neon","literal","nevod","fields","quantifier","colon","nginx","nim","nix","antiquotation","nsis","objectivec","objc","ocaml","variant","opencl","openqasm","qasm","oz","parigp","pascal","asm","objectpascal","pascaligo","accum","pcaxis","tlist","px","peoplecode","pcode","perl","filehandle","phpExtras","package","string_interpolation","phpdoc","plsql","powerquery","pq","mscript","powershell","processing","promql","vectorMatching","protobuf","builtinTypes","psl","pug","each","branch","filter_pattern","puppet","pure","inlineLanguageRe","purebasic","pbfasm","purescript","purs","python","py","qml","jsString","jsComment","jsExpr","qore","qsharp","qualifiedName","interpolationExpr","qs","ellipsis","racket","rkt","specialEscape","rangeChar","groupName","backreference","anchor","group","alternation","rego","renpy","rpy","rip","roboconf","component","optional","wildcard","robotframework","createSection","extendecInside","docTag","testNameLike","testPropertyLike","documentation","variables","tasks","robot","percentExpression","symbolName","rust","multilineComment","sas","numericConstant","macroVariable","macroKeyword","format","equals","altformat","globalStatements","submitStatement","actionSets","casActions","datalines","sass","scala","SortedBNF","scss","refractorBash","shellSession","strings","user","smali","smalltalk","smarty","smartyPattern","smartyLiteralMode","sml","word","smlnj","solidity","sol","solutionFile","guid","soy","numberPattern","soyLitteralMode","refractorTurtle","sparql","rq","splunkSpl","sqf","squirrel","stan","higherOrderFunctions","constraint","stylus","swift","nil","omit","systemd","quotesSource","refractorT4Templating","t4Cs","t4","createT4","t4Templating","createBlock","contentAlias","insideLang","standard","refractorVbnet","t4Vb","refractorYaml","tap","fail","pass","pragma","bailout","plan","subtest","yamlish","tcl","textile","modifierRegex","parenthesesRegex","withModifier","modifierTokens","phrase","modifier","image","footnote","acronym","phraseInside","nestedPatterns","phraseInlineInside","phraseTableInside","toml","insertKey","tremor","extractor","troy","trickle","refractorJsx","tt2","turtle","trig","twig","at","typoscript","tsconfig","unrealscript","category","uscript","uorazor","query","pair","authority","port","vala","refractorBasic","vbnet","velocity","unparsed","verilog","vhdl","vim","visualBasic","vba","warpscript","wasm","webIdl","wiki","emphasis","nowiki","wolfram","blank","mathematica","wl","wren","xeora","xeoracube","xmlDoc","insertDocComment","docComment","slashDocComment","tickDocComment","xojo","xquery","extension","anchorOrAlias","plainKey","createValuePattern","yml","yang","zig","IDENTIFIER","ALIGN","_self","uniqueId","plainTextGrammar","objId","deepClone","visited","getLanguage","parentElement","setLanguage","classList","currentScript","scripts","getElementsByTagName","isActive","defaultActivation","no","plaintext","txt","redef","insert","root","newToken","old","DFS","propertyType","highlightAll","highlightAllUnder","container","elements","highlightElement","insertHighlightedCode","highlightedCode","hasAttribute","Worker","worker","onmessage","evt","postMessage","immediateClose","tokenList","LinkedList","addAfter","head","matchGrammar","all","callbacks","matchedStr","matchPattern","lookbehindLength","startNode","startPos","rematch","patterns","cause","patternObj","currentNode","reach","removeCount","from","to","matchStr","removeFrom","removeRange","nestedRematch","highlightAutomaticallyCallback","readyState","requestAnimationFrame","WorkerGlobalScope","sortIndex","performance","setImmediate","startTime","expirationTime","priorityLevel","navigator","scheduling","isInputPending","MessageChannel","port2","port1","unstable_Profiling","unstable_continueExecution","unstable_forceFrameRate","unstable_getFirstCallbackNode","unstable_next","unstable_pauseExecution","unstable_runWithPriority","delay","unstable_wrapCallback","StyleToObject","hasIterator","len","__webpack_module_cache__","__webpack_require__","moduleId","cachedModule","__webpack_modules__","getter","__esModule","toStringTag","stringifyPosition","point","VFileMessage","place","origin","parts","fatal","ruleId","actual","expected","note","basename","ext","assertPath","seenNonSlash","firstNonSlashEnd","extIndex","dirname","unmatchedSlash","extname","startPart","startDot","preDotState","joined","_len","segments","_key","absolute","allowAboveRoot","lastSlashIndex","lastSegmentLength","lastSlash","dots","normalizeString","sep","proc","cwd","isUrl","fileUrlOrPath","urlToPath","URL","protocol","hostname","pathname","third","decodeURIComponent","getPathFromURLPosix","VFile","bufferLike","buffer","history","stored","assertNonEmpty","assertPart","stem","encoding","part","bail","getPrototypeOf","trough","fns","pipeline","middlewareIndex","fn","_len2","_key2","middleware","called","wrapped","_len3","parameters","_key3","fnExpectsCallback","_len4","_key4","use","middelware","unified","transformers","attachers","frozen","freezeIndex","processor","assertUnfrozen","Parser","Compiler","freeze","attacher","transformer","POSITIVE_INFINITY","addPlugin","addList","addPreset","plugin","isPlainObj","vfile","assertParser","newable","assertCompiler","assertNode","compile","executor","reject","tree","runSync","complete","assertDone","process","processSync","destination","asyncName","looksLikeAVFile","emptyOptions","one","includeImageAlt","includeHtml","chunkStart","combineExtensions","extensions","syntaxExtension","hook","right","constructs","existing","asciiAlpha","regexCheck","asciiAlphanumeric","asciiAtext","asciiControl","asciiDigit","asciiHexDigit","asciiPunctuation","markdownLineEnding","markdownLineEndingOrSpace","markdownSpace","unicodePunctuation","unicodeWhitespace","factorySpace","limit","enter","consume","exit","contentStart","attempt","contentInitial","lineStart","childFlow","childToken","lineStartOffset","continued","item","containerState","documentContinue","checkNewContainers","_closeFlow","closeFlow","indexBeforeExits","events","indexBeforeFlow","exitContainers","documentContinued","currentConstruct","concrete","flowStart","interrupt","_gfmTableDynamicInterruptHack","containerConstruct","thereIsANewContainer","thereIsNoNewContainer","containerContinue","_tokenizer","flowContinue","writeToChild","eof","stream","sliceStream","defineSkip","seen","nok","disable","blankLine","partial","subtokenize","jumps","lineIndex","otherIndex","otherEvent","subevents","_isInFirstContentOfListItem","subcontent","_container","eventIndex","startPosition","startPositions","childEvents","gaps","breaks","_gfmTasklistFirstContentOfListItem","chunkInside","contentEnd","continuationConstruct","contentContinue","prefixed","sliceSerialize","initial","flowInitial","afterConstruct","resolver","resolveAll","createResolver","initializeFactory","notText","atBreak","resolveAllLineSuffixes","extraResolver","chunks","tabs","bufferIndex","_index","_bufferIndex","createTokenizer","initialize","columnStart","resolveAllConstructs","consumed","accountForPotentialSkip","constructFactory","addResult","onsuccessfulcheck","expandTabs","atTab","serializeChunks","main","expectedCode","startIndex","startBufferIndex","endIndex","endBufferIndex","sliceChunks","chunkIndex","onreturn","bogusState","listOfConstructs","constructIndex","handleListOfConstructs","handleMapOfConstructs","handleConstruct","startPoint","startPrevious","startCurrentConstruct","startEventsIndex","startStack","store","resolveTo","thematicBreak","sequence","initialSize","atMarker","onBlank","listItemPrefixWhitespaceConstruct","endOfPrefix","otherPrefix","initialBlankLine","notBlank","furtherBlankLines","notInCurrentItem","indentConstruct","blockQuote","contBefore","factoryDestination","literalType","literalMarkerType","rawType","stringType","balance","enclosedBefore","raw","enclosed","enclosedEscape","rawEscape","factoryLabel","markerType","labelInside","labelEscape","factoryTitle","factoryWhitespace","normalizeIdentifier","labelAfter","markerAfter","destinationBefore","destinationAfter","titleBefore","afterWhitespace","beforeMarker","titleAfter","titleAfterOptionalWhitespace","codeIndented","afterPrefix","furtherStart","headingAtx","sequenceOpen","sequenceFurther","setextUnderline","paragraph","htmlBlockNames","htmlRawNames","htmlFlow","closingTag","markerB","declarationOpen","tagCloseStart","continuationDeclarationInside","commentOpenInside","cdataOpenInside","slash","basicSelfClosing","completeClosingTagAfter","completeAttributeNameBefore","completeEnd","completeAttributeName","completeAttributeNameAfter","completeAttributeValueBefore","completeAttributeValueQuoted","completeAttributeValueUnquoted","completeAttributeValueQuotedAfter","completeAfter","continuationCommentInside","continuationRawTagOpen","continuationClose","continuationCdataInside","continuationStart","blankLineBefore","continuationAfter","nonLazyContinuationStart","continuationStartNonLazy","continuationBefore","continuationRawEndTag","nonLazyContinuation","codeFenced","closeStart","startBefore","beforeSequenceClose","sequenceClose","sizeOpen","sequenceCloseAfter","initialPrefix","beforeSequenceOpen","infoBefore","atNonLazyBreak","metaBefore","contentBefore","beforeContentChunk","contentChunk","decodeNamedCharacterReference","characterReference","numeric","characterEscape","lineEnding","labelEnd","labelStart","_balanced","_inactive","labelEndNok","resourceConstruct","labelEndOk","referenceFullConstruct","referenceNotFull","referenceCollapsedConstruct","insideSpan","resourceBefore","resourceOpen","resourceEnd","resourceDestinationAfter","resourceDestinationMissing","resourceBetween","resourceTitleAfter","referenceFullAfter","referenceFullMissing","referenceCollapsedOpen","labelStartImage","classifyCharacter","attention","attentionMarkers","_open","_close","openingSequence","closingSequence","nextEvents","movePoint","autolink","schemeOrEmailAtext","emailAtext","schemeInsideOrEmailAtext","urlInside","emailAtSignOrDot","emailLabel","emailValue","htmlText","tagOpen","commentEnd","commentClose","lineEndingBefore","cdataClose","cdataEnd","instructionClose","tagClose","tagCloseBetween","tagOpenBetween","tagOpenAttributeName","tagOpenAttributeNameAfter","tagOpenAttributeValueBefore","tagOpenAttributeValueQuoted","tagOpenAttributeValueUnquoted","tagOpenAttributeValueQuotedAfter","lineEndingAfter","lineEndingAfterPrefix","labelStartLink","hardBreakEscape","codeText","between","tailExitIndex","headEnterIndex","resolveText","decodeNumericCharacterReference","characterEscapeOrReference","decodeString","$1","$2","hex","fromMarkdown","config","transforms","canContainEols","opener","autolinkProtocol","onenterdata","autolinkEmail","atxHeading","codeFlow","codeFencedFenceInfo","codeFencedFenceMeta","codeTextData","codeFlowValue","definitionDestinationString","definitionLabelString","definitionTitleString","hardBreak","hardBreakTrailing","htmlFlowData","htmlTextData","listItem","listItemValue","onenterlistitemvalue","listOrdered","onenterlistordered","listUnordered","onenterreference","referenceString","resourceDestinationString","resourceTitleString","setextHeading","strong","closer","atxHeadingSequence","onexitatxheadingsequence","onexitautolinkemail","onexitautolinkprotocol","characterEscapeValue","onexitdata","characterReferenceMarkerHexadecimal","onexitcharacterreferencemarker","characterReferenceMarkerNumeric","characterReferenceValue","onexitcharacterreferencevalue","onexitcodefenced","codeFencedFence","onexitcodefencedfence","onexitcodefencedfenceinfo","onexitcodefencedfencemeta","onexitcodeindented","onexitcodetext","onexitdefinitiondestinationstring","onexitdefinitionlabelstring","onexitdefinitiontitlestring","onexithardbreak","onexithtmlflow","onexithtmltext","onexitimage","onexitlabel","labelText","onexitlabeltext","onexitlineending","onexitlink","onexitreferencestring","onexitresourcedestinationstring","onexitresourcetitlestring","resource","onexitresource","onexitsetextheading","setextHeadingLineSequence","onexitsetextheadinglinesequence","setextHeadingText","onexitsetextheadingtext","configure","mdastExtensions","resume","setData","getData","listStack","prepareList","handler","defaultOnError","firstBlankLineIndex","containerBalance","listSpread","tailIndex","tailEvent","_spread","and","errorHandler","onExitError","depth","referenceType","ordered","compiler","postprocess","defaultConstructs","atCarriageReturn","endPosition","preprocess","combined","remarkParse","normalizeUri","skip","convert","castFactory","typeFactory","anyFactory","propsFactory","CONTINUE","EXIT","visitParents","visitor","reverse","parents","visit","subresult","grandparents","toResult","pointStart","pointEnd","clean","footnoteReference","safeId","footnoteOrder","footnoteCounts","reuseCounter","clobberPrefix","dataFootnoteRef","patch","applyData","revert","subtype","contents","listItemLoose","trimLines","trimLine","codePointAt","handlers","break","footnoteById","dangerous","imageReference","inlineCode","linkReference","loose","listLoose","firstRow","tableContent","cellIndex","cells","alignValue","footnoteDefinition","createState","allowDangerousHtml","footnoteLabel","footnoteLabelTagName","footnoteLabelProperties","footnoteBackLabel","unknownHandler","passThrough","augment","hName","hProperties","hChildren","generated","defaultUnknownHandler","toHast","foot","listItems","referenceIndex","backReferences","backReference","dataFootnoteBackref","tailTail","dataFootnotes","footer","bridge","mutate","blocking","fetchPriority","inert","onBeforeMatch","onBeforeToggle","onContextLost","onContextRestored","onScrollEnd","popover","popoverTarget","popoverTargetAction","shadowRootClonable","shadowRootDelegatesFocus","shadowRootMode","writingSuggestions","accentHeight","alignmentBaseline","arabicForm","baselineShift","capHeight","clipPath","clipRule","colorInterpolation","colorInterpolationFilters","colorProfile","colorRendering","dataType","dominantBaseline","enableBackground","fillRule","floodColor","fontFamily","fontSize","fontSizeAdjust","fontStretch","fontStyle","fontVariant","glyphName","glyphOrientationHorizontal","glyphOrientationVertical","horizAdvX","horizOriginX","horizOriginY","imageRendering","letterSpacing","lightingColor","markerEnd","markerMid","markerStart","navDown","navDownLeft","navDownRight","navLeft","navNext","navPrev","navRight","navUp","navUpLeft","navUpRight","onActivate","onBegin","onEnd","onFocusIn","onFocusOut","onMouseWheel","onRepeat","onShow","onZoom","overlinePosition","overlineThickness","paintOrder","panose1","pointerEvents","renderingIntent","shapeRendering","stopColor","strikethroughPosition","strikethroughThickness","strokeDashArray","strokeDashOffset","strokeLineCap","strokeLineJoin","strokeMiterLimit","textAnchor","textDecoration","textRendering","transformOrigin","underlinePosition","underlineThickness","unicodeBidi","unicodeRange","unitsPerEm","vAlphabetic","vHanging","vIdeographic","vMathematical","vectorEffect","vertAdvY","vertOriginX","vertOriginY","wordSpacing","writingMode","xHeight","playbackOrder","timelineBegin","about","accumulate","additive","alphabetic","amplitude","ascent","attributeType","azimuth","bandwidth","baseFrequency","baseProfile","bbox","bias","by","calcMode","clip","clipPathUnits","contentScriptType","contentStyleType","cursor","cx","cy","defaultAction","descent","diffuseConstant","direction","dur","divisor","dx","dy","edgeMode","editable","elevation","exponent","externalResourcesRequired","fill","filterRes","filterUnits","focusable","focusHighlight","fr","fx","fy","g1","g2","glyphRef","gradientTransform","gradientUnits","hanging","hatchContentUnits","hatchUnits","ideographic","initialVisibility","in","in2","intercept","k1","k2","k3","k4","kernelMatrix","kernelUnitLength","keyPoints","keySplines","keyTimes","kerning","lengthAdjust","limitingConeAngle","local","markerHeight","markerUnits","markerWidth","maskContentUnits","maskUnits","mathematical","mediaCharacterEncoding","mediaContentEncodings","mediaSize","mediaTime","numOctaves","observer","orient","orientation","overlay","pathLength","patternContentUnits","patternTransform","patternUnits","phase","pitch","points","pointsAtX","pointsAtY","pointsAtZ","preserveAlpha","preserveAspectRatio","primitiveUnits","propagate","radius","refX","refY","repeatCount","repeatDur","requiredExtensions","requiredFeatures","requiredFonts","requiredFormats","restart","rotate","rx","ry","scale","seed","side","slope","snapshotTime","specularConstant","specularExponent","spreadMethod","spacing","stdDeviation","stemh","stemv","stitchTiles","stroke","surfaceScale","syncBehavior","syncBehaviorDefault","syncMaster","syncTolerance","syncToleranceDefault","systemLanguage","tableValues","targetX","targetY","textLength","transformBehavior","u1","u2","viewBox","viewTarget","visibility","widths","x1","x2","xChannelSelector","y1","y2","yChannelSelector","zoomAndPan","htmlBase","svgBase","rehypeFilter","allowedElements","disallowedElements","allowElement","parent_","unwrapDisallowed","thing","dashes","hastToReact","padRight","padLeft","protocols","uriTransformer","first","tableElements","childrenToReact","childIndex","toReact","skipHtml","transformLinkUri","parentSchema","listDepth","components","React","ReactIs","linkTarget","transformImageUri","getInputElement","getElementsBeforeCount","textAlign","isHeader","sourcePos","rawSourcePos","sourcePosition","includeElementIndex","siblingCount","styleReplacer","parseStyle","changelog","deprecated","renderers","astPlugins","escapeHtml","allowNode","allowedTypes","disallowedTypes","includeNodeIndex","ReactMarkdown","deprecation","warn","remarkPlugins","remarkRehype","remarkRehypeOptions","rehypePlugins","hastNode","propTypes","DefaultContext","IconContext","_excluded","_objectWithoutProperties","excluded","_objectWithoutPropertiesLoose","getOwnPropertySymbols","sourceSymbolKeys","propertyIsEnumerable","_extends","ownKeys","_objectSpread","_defineProperty","getOwnPropertyDescriptors","toPrimitive","_toPrimitive","_toPropertyKey","Tree2Element","GenIcon","IconBase","elem","conf","svgProps","computedSize","BiMessageDetail","LuBookOpen","LuClipboardSignature","LuCoffee","TbSunMoon","_arrayLikeToArray","_toConsumableArray","_typeof","toPropertyKey","enumerableOnly","symbols","sym","classNameCombinations","createStyleObject","classNames","elementStyle","stylesheet","arrLength","powerSetPermutations","getClassNameCombinations","styleObject","createClassNameString","_ref","_ref$style","useInlineStyles","TagName","childrenCreator","childrenCount","createChildren","allStylesheetSelectors","startingClassName","astGenerator","newLineRegex","AllLineNumbers","_ref2","codeString","codeStyle","_ref2$containerStyle","containerStyle","paddingRight","_ref2$numberStyle","numberStyle","startingLineNumber","getAllLineNumbers","getInlineLineNumber","lineNumber","inlineLineNumberStyle","assembleLineNumberStyles","lineNumberStyle","largestLineNumber","num","defaultLineNumberStyle","minWidth","userSelect","customLineNumberStyle","createLineElement","_ref3","showInlineLineNumbers","_ref3$lineProps","lineProps","_ref3$className","showLineNumbers","wrapLongLines","flattenCodeTree","newTree","processLines","codeTree","wrapLines","_ref4","lastLineBreakIndex","createLine","createWrappedLine","createUnwrappedLine","_loop","splitValue","newChild","_line","stringChild","lastLineInPreviousSpan","newElem","_line2","_line3","defaultRenderer","_ref5","isHighlightJs","highlightAuto","defaultAstGenerator","defaultStyle","highlighter","_ref7","_ref7$style","_ref7$customStyle","customStyle","_ref7$codeTagProps","codeTagProps","_ref7$useInlineStyles","_ref7$showLineNumbers","_ref7$showInlineLineN","_ref7$startingLineNum","lineNumberContainerStyle","_ref7$lineNumberStyle","_ref7$wrapLongLines","_ref7$lineProps","renderer","_ref7$PreTag","PreTag","_ref7$CodeTag","CodeTag","_ref7$code","allLineNumbers","defaultPreStyle","hljs","backgroundColor","generatorClassName","preProps","defaultCodeValue","_ref6","hasLanguage","checkForListedLanguage","getCodeTree","wwwPrefix","wwwPrefixInside","wwwPrefixAfter","underscoreInLastSegment","underscoreInLastLastSegment","domainInside","trail","domainAfter","domainAtPunctuation","sizeClose","pathInside","pathAtPunctuation","trailCharRefStart","trailBracketAfter","trailCharRefInside","emailDomainDotTrail","wwwAutolink","previousWww","previousUnbalanced","wwwAfter","protocolAutolink","previousProtocol","protocolPrefixInside","protocolSlashesInside","afterProtocol","protocolAfter","emailAutolink","gfmAtext","previousEmail","atext","emailDomain","emailDomainAfter","emailDomainDot","gfmAutolinkLiteral","_gfmAutolinkLiteralWalkedInto","tokenizePotentialGfmFootnoteCall","gfmFootnotes","resolveToPotentialGfmFootnoteCall","tokenizeGfmFootnoteCall","callStart","callData","callEscape","tokenizeDefinitionStart","labelAtMarker","whitespaceAfter","tokenizeDefinitionContinuation","gfmFootnoteDefinitionEnd","gfmStrikethrough","single","singleTilde","strikethrough","EditMap","editMap","addImpl","sort","vecs","gfmTableAlign","inDelimiterRow","alignIndex","gfmTable","sizeB","bodyRowStart","headRowBefore","headRowBreak","headRowStart","headDelimiterStart","headRowData","headRowEscape","headDelimiterBefore","headDelimiterValueBefore","headDelimiterCellBefore","headDelimiterNok","headDelimiterLeftAlignmentAfter","headDelimiterCellAfter","headDelimiterFiller","headDelimiterRightAlignmentAfter","bodyRowBreak","bodyRowData","bodyRowEscape","currentTable","currentBody","currentCell","inFirstCellAwaitingPipe","rowKind","lastCell","afterHeadAwaitingFirstBodyRow","lastTableEnd","flushTableEnd","flushCell","_align","rowEnd","previousCell","getPoint","relatedStart","relatedEnd","valueToken","tableBody","exits","related","tasklistCheck","spaceThenNonSpace","gfmTaskListItem","ccount","findAndReplace","ignored","pairs","toExpression","toFunction","toPairs","pairIndex","grandparent","change","matchObject","inConstruct","notInConstruct","gfmAutolinkLiteralFromMarkdown","findUrl","findEmail","literalAutolink","literalAutolinkEmail","enterLiteralAutolinkValue","literalAutolinkHttp","literalAutolinkWww","gfmAutolinkLiteralToMarkdown","unsafe","isCorrectDomain","trailExec","closingParenIndex","openingParens","closingParens","splitUrl","association","eol","patternCompile","_compiled","patternInScope","listInScope","safe","positions","infos","numerical","escapeBackslashes","whole","lineShift","move","enterFootnoteDefinition","enterFootnoteDefinitionLabelString","exitFootnoteDefinitionLabelString","exitFootnoteDefinition","enterFootnoteCall","enterFootnoteCallString","exitFootnoteCallString","exitFootnoteCall","safeOptions","tracker","subexit","indentLines","indexStack","createTracker","handle","bulletLastUsed","containerFlow","containerPhrasing","peek","handleDelete","gfmStrikethroughFromMarkdown","gfmStrikethroughToMarkdown","defaultStringLength","toAlignment","gfmTableFromMarkdown","tableData","enterCell","tableHeader","gfmTableToMarkdown","padding","tableCellPadding","alignDelimiters","tablePipeAlign","stringLength","around","serializeData","handleTableRowAsData","handleTableAsData","handleTableCell","matrix","alignments","cellMatrix","sizeMatrix","longestCellByColumn","mostCellsPerRow","rowIndex","columnIndex","delimiterStart","delimiterEnd","markdownTable","listItemIndent","checkListItemIndent","bullet","bulletCurrent","checkBullet","incrementListMarker","gfmTaskListItemFromMarkdown","taskListCheckValueChecked","exitCheck","taskListCheckValueUnchecked","firstParaghraph","gfmTaskListItemToMarkdown","checkable","checkbox","remarkGfm","gfm","gfmFootnoteDefinition","gfmFootnoteDefinitionLabelString","gfmFootnoteCall","gfmFootnoteCallString","gfmToMarkdown","buttonTypes","core","isProperties","finalResult","webNamespaces","quirksMode","indices","toPoint","toOffset","verbose","opening","closing","createLocation","zwitch","unknown","currentSchema","createProperty","htmlVoidElements","endTagToken","parseOptions","resetTokenizer","createParse5Location","locationTracker","stitches","stitch","options_","fromParse5","mock","toParse5","rehypeRaw","sanitizeTitle","CopyButton","valueToCopy","copied","setCopied","_jsx","handleClick","clipboard","writeText","setFilter","typeFilter","setTypeFilter","expandedItems","setExpandedItems","expandedCategory","setExpandedCategory","theme","setTheme","handleExpandItem","newExpanded","pushState","handleCategoryClick","clearFilters","filtered","typeFilteredItems","filterData","groupedItems","acc","localeCompare","totalScripts","_jsxs","wait","immediate","_this","timeout","debounce","marginLeft","borderRadius","newTheme","getAttribute","_Fragment","SyntaxHighlighter","coldarkDark","ReactDOM","App"],"sourceRoot":""}